Jetson Orin 32GB with Jetpack 5.0.2 or Jetpack 5.1 unable to recognize CSI cameras

We are using VEYE IMX-307 MIPI CSI camera and camera interposer module (CS-TX2-XAVIER-nCAM-IMX307 | VEYE IMAGING MIPI Camera Modules-VEYE IMAGING) with Jetson Orin 32GB. Here’s the link to the camera drivers - (VEYE CS Camera for Jetson TX2 - wiki_veye)

Interposer module and the cameras were working perfectly fine with Jetpack 5.0.1 DP. We followed the below steps -




However, Jetpack 5.0.1 DP is not available anymore. With Jetpack 5.0.2, we are able to install camera and the interposer module drivers without any errors. But the system is unable to recognize the interposer module and the cameras (Verification steps in shown in the picture above gives not output).

In the most recent release Jetpack 5.1, after installing the drivers, interposer module gets recognized (ls /proc/device-tree/i2c@3180000/tca9548@70/i2c@*), however the cameras are not recognized by the system (ls /dev/video* does not output available cameras).

Need help in looking into this issue with Jetpack 5.0.2 or Jetpack 5.1 on Orin 32GB not being able to recognize CSI cameras and interposer module.

hello meontechno,

please see-also [r35.2.1][Jetson-IO] Configure Jetson AGX CSI Connector. you may update the compatibility strings.

Hello JerryChang,

We applied the dtbo binaries, to include the update of compatibility strings and rebooted the system. It still does not recognize the module and the camera sensors. Here’s the terminal output -

hello meontechno,

those dtbo binary did not include your IMX307 camera sensor. please do disassembler the dtb file. you’ll see compatibility strings update for Orin 32GB, SKU4.
besides, you may also contact with sensor vendor for driver updates, or test results on JP-5.1 for confirmation.

Yes that dtbo did not include our IMX307 camera sensor. We are working with our sensor vendor as well to look into this. Will post updates here.

This topic was automatically closed 14 days after the last reply. New replies are no longer allowed.