____________________________________________ Start of NVIDIA bug report log file. Please include this file, along with a detailed description of your problem, when reporting a graphics driver bug via the NVIDIA Linux forum (see devtalk.nvidia.com) or by sending email to 'linux-bugs@nvidia.com'. nvidia-bug-report.sh Version: 27505028 Date: sam 09 mai 2020 22:07:13 CEST uname: Linux desktop 5.4.36-1-MANJARO #1 SMP PREEMPT Wed Apr 29 18:47:01 UTC 2020 x86_64 GNU/Linux command line flags: ____________________________________________ *** /etc/issue *** ls: -rw-r--r-- 1 root root 30 2019-11-19 23:24:08.000000000 +0100 /etc/issue Manjaro Linux \r (\n) (\l) ____________________________________________ *** /var/log/nvidia-installer.log does not exist ____________________________________________ journalctl -b -0 _COMM=Xorg -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -1 _COMM=Xorg -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -2 _COMM=Xorg -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -0 _COMM=Xorg.bin -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -1 _COMM=Xorg.bin -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -2 _COMM=Xorg.bin -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -0 _COMM=X -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -1 _COMM=X -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -2 _COMM=X -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -0 _COMM=gdm-x-session -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -1 _COMM=gdm-x-session -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ journalctl -b -2 _COMM=gdm-x-session -- Logs begin at Wed 2020-03-18 17:38:54 CET, end at Sat 2020-05-09 22:07:13 CEST. -- -- No entries -- ____________________________________________ /sbin/coredumpctl info COREDUMP_COMM=Xorg COREDUMP_COMM=Xorg.bin COREDUMP_COMM=X ____________________________________________ *** /var/log/Xorg.0.log *** ls: -rw-r--r-- 1 root root 110165 2020-05-09 22:06:31.497899674 +0200 /var/log/Xorg.0.log [ 96.791] (--) Log file renamed from "/var/log/Xorg.pid-1538.log" to "/var/log/Xorg.0.log" [ 96.792] X.Org X Server 1.20.8 X Protocol Version 11, Revision 0 [ 96.792] Build Operating System: Linux Manjaro Linux [ 96.792] Current Operating System: Linux desktop 5.4.36-1-MANJARO #1 SMP PREEMPT Wed Apr 29 18:47:01 UTC 2020 x86_64 [ 96.792] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-5.4-x86_64 root=UUID=516a50e0-c8c8-4dc1-a9a4-df5d24937bd6 rw loglevel=3 quiet [ 96.792] Build Date: 30 March 2020 06:33:12AM [ 96.792] [ 96.792] Current version of pixman: 0.40.0 [ 96.792] Before reporting problems, check http://wiki.x.org to make sure that you have the latest version. [ 96.792] Markers: (--) probed, (**) from config file, (==) default setting, (++) from command line, (!!) notice, (II) informational, (WW) warning, (EE) error, (NI) not implemented, (??) unknown. [ 96.792] (==) Log file: "/var/log/Xorg.0.log", Time: Sat May 9 13:06:57 2020 [ 96.792] (==) Using config directory: "/etc/X11/xorg.conf.d" [ 96.792] (==) Using system config directory "/usr/share/X11/xorg.conf.d" [ 96.792] (==) ServerLayout "layout" [ 96.792] (**) |-->Screen "Screen0" (0) [ 96.792] (**) | |-->Monitor "Monitor0" [ 96.792] (**) | |-->Device "Device0" [ 96.792] (==) Automatically adding devices [ 96.792] (==) Automatically enabling devices [ 96.792] (==) Automatically adding GPU devices [ 96.792] (==) Automatically binding GPU devices [ 96.792] (==) Max clients allowed: 256, resource mask: 0x1fffff [ 96.792] (WW) The directory "/usr/share/fonts/OTF" does not exist. [ 96.792] Entry deleted from font path. [ 96.792] (WW) The directory "/usr/share/fonts/Type1" does not exist. [ 96.792] Entry deleted from font path. [ 96.792] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/100dpi". [ 96.792] Entry deleted from font path. [ 96.792] (Run 'mkfontdir' on "/usr/share/fonts/100dpi"). [ 96.792] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/75dpi". [ 96.792] Entry deleted from font path. [ 96.792] (Run 'mkfontdir' on "/usr/share/fonts/75dpi"). [ 96.792] (==) FontPath set to: /usr/share/fonts/misc, /usr/share/fonts/TTF [ 96.792] (==) ModulePath set to "/usr/lib/xorg/modules" [ 96.792] (**) Extension "COMPOSITE" is enabled [ 96.792] (II) The server relies on udev to provide the list of input devices. If no devices become available, reconfigure udev or disable AutoAddDevices. [ 96.792] (II) Module ABI versions: [ 96.792] X.Org ANSI C Emulation: 0.4 [ 96.792] X.Org Video Driver: 24.1 [ 96.793] X.Org XInput driver : 24.1 [ 96.793] X.Org Server Extension : 10.0 [ 96.793] (++) using VT number 1 [ 96.793] (II) systemd-logind: logind integration requires -keeptty and -keeptty was not provided, disabling logind integration [ 96.793] (II) xfree86: Adding drm device (/dev/dri/card0) [ 96.795] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 96.795] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 96.797] (--) PCI:*(7@0:0:0) 10de:21c4:1462:c75a rev 161, Mem @ 0xf6000000/16777216, 0xe0000000/268435456, 0xf0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288 [ 96.797] (WW) Open ACPI failed (/var/run/acpid.socket) (No such file or directory) [ 96.797] (II) LoadModule: "glx" [ 96.797] (II) Loading /usr/lib/xorg/modules/extensions/libglx.so [ 96.798] (II) Module glx: vendor="X.Org Foundation" [ 96.798] compiled for 1.20.8, module version = 1.0.0 [ 96.798] ABI class: X.Org Server Extension, version 10.0 [ 96.798] (II) LoadModule: "nvidia" [ 96.798] (II) Loading /usr/lib/xorg/modules/drivers/nvidia_drv.so [ 96.798] (II) Module nvidia: vendor="NVIDIA Corporation" [ 96.798] compiled for 1.6.99.901, module version = 1.0.0 [ 96.798] Module class: X.Org Video Driver [ 96.798] (II) NVIDIA dlloader X Driver 440.82 Wed Apr 1 19:50:17 UTC 2020 [ 96.798] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs [ 96.799] (II) Loading sub module "fb" [ 96.799] (II) LoadModule: "fb" [ 96.799] (II) Loading /usr/lib/xorg/modules/libfb.so [ 96.799] (II) Module fb: vendor="X.Org Foundation" [ 96.799] compiled for 1.20.8, module version = 1.0.0 [ 96.799] ABI class: X.Org ANSI C Emulation, version 0.4 [ 96.799] (II) Loading sub module "wfb" [ 96.799] (II) LoadModule: "wfb" [ 96.799] (II) Loading /usr/lib/xorg/modules/libwfb.so [ 96.799] (II) Module wfb: vendor="X.Org Foundation" [ 96.799] compiled for 1.20.8, module version = 1.0.0 [ 96.799] ABI class: X.Org ANSI C Emulation, version 0.4 [ 96.799] (II) Loading sub module "ramdac" [ 96.799] (II) LoadModule: "ramdac" [ 96.799] (II) Module "ramdac" already built-in [ 96.799] (**) NVIDIA(0): Depth 24, (--) framebuffer bpp 32 [ 96.799] (==) NVIDIA(0): RGB weight 888 [ 96.799] (==) NVIDIA(0): Default visual is TrueColor [ 96.799] (==) NVIDIA(0): Using gamma correction (1.0, 1.0, 1.0) [ 96.799] (**) Option "AllowNVIDIAGpuScreens" [ 96.799] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 96.799] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 96.799] (**) NVIDIA(0): Option "TripleBuffer" "On" [ 96.799] (**) NVIDIA(0): Option "AllowEmptyInitialConfiguration" [ 96.800] (**) NVIDIA(0): Enabling 2D acceleration [ 96.800] (II) Loading sub module "glxserver_nvidia" [ 96.800] (II) LoadModule: "glxserver_nvidia" [ 96.800] (II) Loading /usr/lib/xorg/modules/extensions/libglxserver_nvidia.so [ 96.804] (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" [ 96.804] compiled for 1.6.99.901, module version = 1.0.0 [ 96.804] Module class: X.Org Server Extension [ 96.804] (II) NVIDIA GLX Module 440.82 Wed Apr 1 19:47:36 UTC 2020 [ 96.804] (II) NVIDIA: The X server supports PRIME Render Offload. [ 97.323] (--) NVIDIA(0): Valid display device(s) on GPU-0 at PCI:7:0:0 [ 97.323] (--) NVIDIA(0): DFP-0 [ 97.323] (--) NVIDIA(0): DFP-1 [ 97.323] (--) NVIDIA(0): DFP-2 [ 97.323] (--) NVIDIA(0): DFP-3 [ 97.323] (--) NVIDIA(0): DFP-4 [ 97.323] (--) NVIDIA(0): DFP-5 (boot) [ 97.323] (--) NVIDIA(0): DFP-6 [ 97.324] (II) NVIDIA(0): NVIDIA GPU GeForce GTX 1660 SUPER (TU116-B) at PCI:7:0:0 [ 97.324] (II) NVIDIA(0): (GPU-0) [ 97.324] (--) NVIDIA(0): Memory: 6291456 kBytes [ 97.324] (--) NVIDIA(0): VideoBIOS: 90.16.48.00.2e [ 97.324] (II) NVIDIA(0): Detected PCI Express Link width: 16X [ 97.324] (--) NVIDIA(GPU-0): DFP-0: disconnected [ 97.324] (--) NVIDIA(GPU-0): DFP-0: Internal DisplayPort [ 97.324] (--) NVIDIA(GPU-0): DFP-0: 2660.0 MHz maximum pixel clock [ 97.324] (--) NVIDIA(GPU-0): [ 97.324] (--) NVIDIA(GPU-0): DFP-1: disconnected [ 97.324] (--) NVIDIA(GPU-0): DFP-1: Internal TMDS [ 97.324] (--) NVIDIA(GPU-0): DFP-1: 165.0 MHz maximum pixel clock [ 97.324] (--) NVIDIA(GPU-0): [ 97.324] (--) NVIDIA(GPU-0): DFP-2: disconnected [ 97.324] (--) NVIDIA(GPU-0): DFP-2: Internal DisplayPort [ 97.324] (--) NVIDIA(GPU-0): DFP-2: 2660.0 MHz maximum pixel clock [ 97.324] (--) NVIDIA(GPU-0): [ 97.324] (--) NVIDIA(GPU-0): DFP-3: disconnected [ 97.324] (--) NVIDIA(GPU-0): DFP-3: Internal TMDS [ 97.324] (--) NVIDIA(GPU-0): DFP-3: 165.0 MHz maximum pixel clock [ 97.324] (--) NVIDIA(GPU-0): [ 97.324] (--) NVIDIA(GPU-0): DFP-4: disconnected [ 97.324] (--) NVIDIA(GPU-0): DFP-4: Internal TMDS [ 97.324] (--) NVIDIA(GPU-0): DFP-4: 165.0 MHz maximum pixel clock [ 97.324] (--) NVIDIA(GPU-0): [ 97.324] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 97.324] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 97.324] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 97.324] (--) NVIDIA(GPU-0): [ 97.325] (--) NVIDIA(GPU-0): DFP-6: disconnected [ 97.325] (--) NVIDIA(GPU-0): DFP-6: Internal TMDS [ 97.325] (--) NVIDIA(GPU-0): DFP-6: 165.0 MHz maximum pixel clock [ 97.325] (--) NVIDIA(GPU-0): [ 97.363] (==) NVIDIA(0): [ 97.363] (==) NVIDIA(0): No modes were requested; the default mode "nvidia-auto-select" [ 97.363] (==) NVIDIA(0): will be used as the requested mode. [ 97.363] (==) NVIDIA(0): [ 97.364] (II) NVIDIA(0): Validated MetaModes: [ 97.364] (II) NVIDIA(0): "DFP-5:nvidia-auto-select" [ 97.364] (II) NVIDIA(0): Virtual screen size determined to be 2560 x 1440 [ 97.423] (--) NVIDIA(0): DPI set to (118, 117); computed from "UseEdidDpi" X config [ 97.423] (--) NVIDIA(0): option [ 97.424] (II) NVIDIA: Using 24576.00 MB of virtual memory for indirect memory [ 97.424] (II) NVIDIA: access. [ 97.426] (II) NVIDIA(0): ACPI: failed to connect to the ACPI event daemon; the daemon [ 97.426] (II) NVIDIA(0): may not be running or the "AcpidSocketPath" X [ 97.426] (II) NVIDIA(0): configuration option may not be set correctly. When the [ 97.426] (II) NVIDIA(0): ACPI event daemon is available, the NVIDIA X driver will [ 97.426] (II) NVIDIA(0): try to use it to receive ACPI event notifications. For [ 97.426] (II) NVIDIA(0): details, please see the "ConnectToAcpid" and [ 97.426] (II) NVIDIA(0): "AcpidSocketPath" X configuration options in Appendix B: X [ 97.426] (II) NVIDIA(0): Config Options in the README. [ 97.470] (II) NVIDIA(0): Setting mode "DFP-5:nvidia-auto-select" [ 97.563] (==) NVIDIA(0): Disabling shared memory pixmaps [ 97.563] (==) NVIDIA(0): Backing store enabled [ 97.563] (==) NVIDIA(0): Silken mouse disabled [ 97.563] (**) NVIDIA(0): DPMS enabled [ 97.563] (WW) NVIDIA(0): Option "NoLogo" is not used [ 97.563] (II) Loading sub module "dri2" [ 97.563] (II) LoadModule: "dri2" [ 97.563] (II) Module "dri2" already built-in [ 97.563] (II) NVIDIA(0): [DRI2] Setup complete [ 97.563] (II) NVIDIA(0): [DRI2] VDPAU driver: nvidia [ 97.563] (II) Initializing extension Generic Event Extension [ 97.563] (II) Initializing extension SHAPE [ 97.563] (II) Initializing extension MIT-SHM [ 97.563] (II) Initializing extension XInputExtension [ 97.563] (II) Initializing extension XTEST [ 97.564] (II) Initializing extension BIG-REQUESTS [ 97.564] (II) Initializing extension SYNC [ 97.564] (II) Initializing extension XKEYBOARD [ 97.564] (II) Initializing extension XC-MISC [ 97.564] (II) Initializing extension SECURITY [ 97.564] (II) Initializing extension XFIXES [ 97.564] (II) Initializing extension RENDER [ 97.564] (II) Initializing extension RANDR [ 97.564] (II) Initializing extension COMPOSITE [ 97.564] (II) Initializing extension DAMAGE [ 97.564] (II) Initializing extension MIT-SCREEN-SAVER [ 97.564] (II) Initializing extension DOUBLE-BUFFER [ 97.564] (II) Initializing extension RECORD [ 97.564] (II) Initializing extension DPMS [ 97.564] (II) Initializing extension Present [ 97.564] (II) Initializing extension DRI3 [ 97.564] (II) Initializing extension X-Resource [ 97.564] (II) Initializing extension XVideo [ 97.564] (II) Initializing extension XVideo-MotionCompensation [ 97.564] (II) Initializing extension GLX [ 97.565] (II) Initializing extension GLX [ 97.565] (II) Indirect GLX disabled. [ 97.565] (II) GLX: Another vendor is already registered for screen 0 [ 97.565] (II) Initializing extension XFree86-VidModeExtension [ 97.565] (II) Initializing extension XFree86-DGA [ 97.565] (II) Initializing extension XFree86-DRI [ 97.565] (II) Initializing extension DRI2 [ 97.565] (II) Initializing extension NV-GLX [ 97.565] (II) Initializing extension NV-CONTROL [ 97.565] (II) Initializing extension XINERAMA [ 97.596] (II) config/udev: Adding input device Power Button (/dev/input/event1) [ 97.596] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 97.596] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 97.596] (**) Power Button: Applying InputClass "system-keyboard" [ 97.596] (**) Power Button: Applying InputClass "Keyboard Defaults" [ 97.596] (II) LoadModule: "libinput" [ 97.596] (II) Loading /usr/lib/xorg/modules/input/libinput_drv.so [ 97.597] (II) Module libinput: vendor="X.Org Foundation" [ 97.597] compiled for 1.20.7, module version = 0.29.0 [ 97.597] Module class: X.Org XInput Driver [ 97.597] ABI class: X.Org XInput driver, version 24.1 [ 97.597] (II) Using input driver 'libinput' for 'Power Button' [ 97.597] (**) Power Button: always reports core events [ 97.597] (**) Option "Device" "/dev/input/event1" [ 97.597] (**) Option "_source" "server/udev" [ 97.598] (II) event1 - Power Button: is tagged by udev as: Keyboard [ 97.598] (II) event1 - Power Button: device is a keyboard [ 97.598] (II) event1 - Power Button: device removed [ 97.653] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input1/event1" [ 97.653] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) [ 97.653] (**) Option "xkb_model" "pc105" [ 97.653] (**) Option "xkb_layout" "be" [ 97.653] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 97.662] (II) event1 - Power Button: is tagged by udev as: Keyboard [ 97.662] (II) event1 - Power Button: device is a keyboard [ 97.663] (II) config/udev: Adding input device Power Button (/dev/input/event0) [ 97.663] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 97.663] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 97.663] (**) Power Button: Applying InputClass "system-keyboard" [ 97.663] (**) Power Button: Applying InputClass "Keyboard Defaults" [ 97.663] (II) Using input driver 'libinput' for 'Power Button' [ 97.663] (**) Power Button: always reports core events [ 97.663] (**) Option "Device" "/dev/input/event0" [ 97.663] (**) Option "_source" "server/udev" [ 97.663] (II) event0 - Power Button: is tagged by udev as: Keyboard [ 97.663] (II) event0 - Power Button: device is a keyboard [ 97.663] (II) event0 - Power Button: device removed [ 97.720] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input0/event0" [ 97.720] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 7) [ 97.720] (**) Option "xkb_model" "pc105" [ 97.720] (**) Option "xkb_layout" "be" [ 97.720] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 97.721] (II) event0 - Power Button: is tagged by udev as: Keyboard [ 97.721] (II) event0 - Power Button: device is a keyboard [ 97.721] (II) config/udev: Adding input device Logitech USB Laser Mouse (/dev/input/event6) [ 97.721] (**) Logitech USB Laser Mouse: Applying InputClass "evdev pointer catchall" [ 97.721] (**) Logitech USB Laser Mouse: Applying InputClass "libinput pointer catchall" [ 97.722] (II) Using input driver 'libinput' for 'Logitech USB Laser Mouse' [ 97.722] (**) Logitech USB Laser Mouse: always reports core events [ 97.722] (**) Option "Device" "/dev/input/event6" [ 97.722] (**) Option "_source" "server/udev" [ 97.778] (II) event6 - Logitech USB Laser Mouse: is tagged by udev as: Mouse [ 97.778] (II) event6 - Logitech USB Laser Mouse: device set to 1200 DPI [ 97.778] (II) event6 - Logitech USB Laser Mouse: device is a pointer [ 97.778] (II) event6 - Logitech USB Laser Mouse: device removed [ 97.853] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.2/1-3.2:1.0/0003:046D:C062.0004/input/input6/event6" [ 97.853] (II) XINPUT: Adding extended input device "Logitech USB Laser Mouse" (type: MOUSE, id 8) [ 97.853] (**) Option "AccelerationScheme" "none" [ 97.853] (**) Logitech USB Laser Mouse: (accel) selected scheme none/0 [ 97.853] (**) Logitech USB Laser Mouse: (accel) acceleration factor: 2.000 [ 97.853] (**) Logitech USB Laser Mouse: (accel) acceleration threshold: 4 [ 97.911] (II) event6 - Logitech USB Laser Mouse: is tagged by udev as: Mouse [ 97.911] (II) event6 - Logitech USB Laser Mouse: device set to 1200 DPI [ 97.911] (II) event6 - Logitech USB Laser Mouse: device is a pointer [ 97.912] (II) config/udev: Adding input device Logitech USB Laser Mouse (/dev/input/mouse1) [ 97.912] (II) No input driver specified, ignoring this device. [ 97.912] (II) This device may have been added with another device file. [ 97.913] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/event8) [ 97.913] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "evdev keyboard catchall" [ 97.913] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "libinput keyboard catchall" [ 97.913] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "system-keyboard" [ 97.913] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "Keyboard Defaults" [ 97.913] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse' [ 97.913] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: always reports core events [ 97.913] (**) Option "Device" "/dev/input/event8" [ 97.913] (**) Option "_source" "server/udev" [ 97.915] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Keyboard [ 97.915] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a keyboard [ 97.915] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 97.946] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.0/0003:17EF:6022.0005/input/input8/event8" [ 97.946] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse" (type: KEYBOARD, id 9) [ 97.946] (**) Option "xkb_model" "pc105" [ 97.946] (**) Option "xkb_layout" "be" [ 97.946] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 97.948] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Keyboard [ 97.948] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a keyboard [ 97.949] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control (/dev/input/event10) [ 97.949] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "evdev keyboard catchall" [ 97.949] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "libinput keyboard catchall" [ 97.949] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "system-keyboard" [ 97.949] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "Keyboard Defaults" [ 97.949] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control' [ 97.949] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: always reports core events [ 97.949] (**) Option "Device" "/dev/input/event10" [ 97.949] (**) Option "_source" "server/udev" [ 97.951] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is tagged by udev as: Keyboard [ 97.951] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device is a keyboard [ 97.951] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device removed [ 97.986] (II) libinput: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: needs a virtual subdevice [ 97.986] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input10/event10" [ 97.986] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control" (type: MOUSE, id 10) [ 97.986] (**) Option "AccelerationScheme" "none" [ 97.986] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) selected scheme none/0 [ 97.986] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) acceleration factor: 2.000 [ 97.986] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) acceleration threshold: 4 [ 97.988] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is tagged by udev as: Keyboard [ 97.988] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device is a keyboard [ 97.989] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control (/dev/input/event11) [ 97.989] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "evdev keyboard catchall" [ 97.989] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "libinput keyboard catchall" [ 97.989] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "system-keyboard" [ 97.989] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "Keyboard Defaults" [ 97.989] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control' [ 97.989] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: always reports core events [ 97.989] (**) Option "Device" "/dev/input/event11" [ 97.989] (**) Option "_source" "server/udev" [ 97.991] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: is tagged by udev as: Keyboard [ 97.991] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device is a keyboard [ 97.991] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device removed [ 98.026] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input11/event11" [ 98.026] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control" (type: KEYBOARD, id 11) [ 98.026] (**) Option "xkb_model" "pc105" [ 98.026] (**) Option "xkb_layout" "be" [ 98.026] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 98.028] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: is tagged by udev as: Keyboard [ 98.029] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device is a keyboard [ 98.030] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/event9) [ 98.030] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "evdev pointer catchall" [ 98.030] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "libinput pointer catchall" [ 98.030] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse' [ 98.030] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: always reports core events [ 98.030] (**) Option "Device" "/dev/input/event9" [ 98.030] (**) Option "_source" "server/udev" [ 98.032] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Mouse [ 98.032] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a pointer [ 98.032] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 98.093] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input9/event9" [ 98.093] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse" (type: MOUSE, id 12) [ 98.093] (**) Option "AccelerationScheme" "none" [ 98.093] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) selected scheme none/0 [ 98.093] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) acceleration factor: 2.000 [ 98.093] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) acceleration threshold: 4 [ 98.095] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Mouse [ 98.095] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a pointer [ 98.096] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/mouse2) [ 98.096] (II) No input driver specified, ignoring this device. [ 98.096] (II) This device may have been added with another device file. [ 98.097] (II) config/udev: Adding input device 123 COM Smart Control (/dev/input/event2) [ 98.097] (**) 123 COM Smart Control: Applying InputClass "evdev keyboard catchall" [ 98.097] (**) 123 COM Smart Control: Applying InputClass "libinput keyboard catchall" [ 98.097] (**) 123 COM Smart Control: Applying InputClass "system-keyboard" [ 98.097] (**) 123 COM Smart Control: Applying InputClass "Keyboard Defaults" [ 98.097] (II) Using input driver 'libinput' for '123 COM Smart Control' [ 98.097] (**) 123 COM Smart Control: always reports core events [ 98.097] (**) Option "Device" "/dev/input/event2" [ 98.097] (**) Option "_source" "server/udev" [ 98.098] (II) event2 - 123 COM Smart Control: is tagged by udev as: Keyboard [ 98.099] (II) event2 - 123 COM Smart Control: device is a keyboard [ 98.099] (II) event2 - 123 COM Smart Control: device removed [ 98.120] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.0/0003:1915:1028.0001/input/input2/event2" [ 98.120] (II) XINPUT: Adding extended input device "123 COM Smart Control" (type: KEYBOARD, id 13) [ 98.120] (**) Option "xkb_model" "pc105" [ 98.120] (**) Option "xkb_layout" "be" [ 98.120] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 98.121] (II) event2 - 123 COM Smart Control: is tagged by udev as: Keyboard [ 98.121] (II) event2 - 123 COM Smart Control: device is a keyboard [ 98.122] (II) config/udev: Adding input device 123 COM Smart Control Mouse (/dev/input/event3) [ 98.122] (**) 123 COM Smart Control Mouse: Applying InputClass "evdev pointer catchall" [ 98.122] (**) 123 COM Smart Control Mouse: Applying InputClass "libinput pointer catchall" [ 98.122] (II) Using input driver 'libinput' for '123 COM Smart Control Mouse' [ 98.122] (**) 123 COM Smart Control Mouse: always reports core events [ 98.122] (**) Option "Device" "/dev/input/event3" [ 98.122] (**) Option "_source" "server/udev" [ 98.124] (II) event3 - 123 COM Smart Control Mouse: is tagged by udev as: Mouse [ 98.124] (II) event3 - 123 COM Smart Control Mouse: device is a pointer [ 98.124] (II) event3 - 123 COM Smart Control Mouse: device removed [ 98.186] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input3/event3" [ 98.186] (II) XINPUT: Adding extended input device "123 COM Smart Control Mouse" (type: MOUSE, id 14) [ 98.186] (**) Option "AccelerationScheme" "none" [ 98.186] (**) 123 COM Smart Control Mouse: (accel) selected scheme none/0 [ 98.186] (**) 123 COM Smart Control Mouse: (accel) acceleration factor: 2.000 [ 98.186] (**) 123 COM Smart Control Mouse: (accel) acceleration threshold: 4 [ 98.188] (II) event3 - 123 COM Smart Control Mouse: is tagged by udev as: Mouse [ 98.188] (II) event3 - 123 COM Smart Control Mouse: device is a pointer [ 98.189] (II) config/udev: Adding input device 123 COM Smart Control Mouse (/dev/input/mouse0) [ 98.189] (II) No input driver specified, ignoring this device. [ 98.189] (II) This device may have been added with another device file. [ 98.190] (II) config/udev: Adding input device 123 COM Smart Control Consumer Control (/dev/input/event4) [ 98.190] (**) 123 COM Smart Control Consumer Control: Applying InputClass "evdev keyboard catchall" [ 98.190] (**) 123 COM Smart Control Consumer Control: Applying InputClass "libinput keyboard catchall" [ 98.190] (**) 123 COM Smart Control Consumer Control: Applying InputClass "system-keyboard" [ 98.190] (**) 123 COM Smart Control Consumer Control: Applying InputClass "Keyboard Defaults" [ 98.190] (II) Using input driver 'libinput' for '123 COM Smart Control Consumer Control' [ 98.190] (**) 123 COM Smart Control Consumer Control: always reports core events [ 98.190] (**) Option "Device" "/dev/input/event4" [ 98.190] (**) Option "_source" "server/udev" [ 98.191] (II) event4 - 123 COM Smart Control Consumer Control: is tagged by udev as: Keyboard [ 98.192] (II) event4 - 123 COM Smart Control Consumer Control: device is a keyboard [ 98.192] (II) event4 - 123 COM Smart Control Consumer Control: device removed [ 98.240] (II) libinput: 123 COM Smart Control Consumer Control: needs a virtual subdevice [ 98.240] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input4/event4" [ 98.240] (II) XINPUT: Adding extended input device "123 COM Smart Control Consumer Control" (type: MOUSE, id 15) [ 98.240] (**) Option "AccelerationScheme" "none" [ 98.240] (**) 123 COM Smart Control Consumer Control: (accel) selected scheme none/0 [ 98.240] (**) 123 COM Smart Control Consumer Control: (accel) acceleration factor: 2.000 [ 98.240] (**) 123 COM Smart Control Consumer Control: (accel) acceleration threshold: 4 [ 98.241] (II) event4 - 123 COM Smart Control Consumer Control: is tagged by udev as: Keyboard [ 98.241] (II) event4 - 123 COM Smart Control Consumer Control: device is a keyboard [ 98.242] (II) config/udev: Adding input device 123 COM Smart Control System Control (/dev/input/event5) [ 98.242] (**) 123 COM Smart Control System Control: Applying InputClass "evdev keyboard catchall" [ 98.242] (**) 123 COM Smart Control System Control: Applying InputClass "libinput keyboard catchall" [ 98.242] (**) 123 COM Smart Control System Control: Applying InputClass "system-keyboard" [ 98.242] (**) 123 COM Smart Control System Control: Applying InputClass "Keyboard Defaults" [ 98.242] (II) Using input driver 'libinput' for '123 COM Smart Control System Control' [ 98.242] (**) 123 COM Smart Control System Control: always reports core events [ 98.242] (**) Option "Device" "/dev/input/event5" [ 98.242] (**) Option "_source" "server/udev" [ 98.244] (II) event5 - 123 COM Smart Control System Control: is tagged by udev as: Keyboard [ 98.244] (II) event5 - 123 COM Smart Control System Control: device is a keyboard [ 98.244] (II) event5 - 123 COM Smart Control System Control: device removed [ 98.280] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input5/event5" [ 98.280] (II) XINPUT: Adding extended input device "123 COM Smart Control System Control" (type: KEYBOARD, id 16) [ 98.280] (**) Option "xkb_model" "pc105" [ 98.280] (**) Option "xkb_layout" "be" [ 98.280] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 98.281] (II) event5 - 123 COM Smart Control System Control: is tagged by udev as: Keyboard [ 98.281] (II) event5 - 123 COM Smart Control System Control: device is a keyboard [ 98.282] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=3 (/dev/input/event21) [ 98.282] (II) No input driver specified, ignoring this device. [ 98.282] (II) This device may have been added with another device file. [ 98.282] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=7 (/dev/input/event22) [ 98.282] (II) No input driver specified, ignoring this device. [ 98.282] (II) This device may have been added with another device file. [ 98.283] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=8 (/dev/input/event23) [ 98.283] (II) No input driver specified, ignoring this device. [ 98.283] (II) This device may have been added with another device file. [ 98.283] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=9 (/dev/input/event24) [ 98.283] (II) No input driver specified, ignoring this device. [ 98.283] (II) This device may have been added with another device file. [ 98.283] (II) config/udev: Adding input device HDA Digital PCBeep (/dev/input/event13) [ 98.283] (II) No input driver specified, ignoring this device. [ 98.283] (II) This device may have been added with another device file. [ 98.284] (II) config/udev: Adding input device HD-Audio Generic Front Mic (/dev/input/event14) [ 98.284] (II) No input driver specified, ignoring this device. [ 98.284] (II) This device may have been added with another device file. [ 98.284] (II) config/udev: Adding input device HD-Audio Generic Rear Mic (/dev/input/event15) [ 98.284] (II) No input driver specified, ignoring this device. [ 98.284] (II) This device may have been added with another device file. [ 98.284] (II) config/udev: Adding input device HD-Audio Generic Line (/dev/input/event16) [ 98.284] (II) No input driver specified, ignoring this device. [ 98.284] (II) This device may have been added with another device file. [ 98.285] (II) config/udev: Adding input device HD-Audio Generic Line Out Front (/dev/input/event17) [ 98.285] (II) No input driver specified, ignoring this device. [ 98.285] (II) This device may have been added with another device file. [ 98.285] (II) config/udev: Adding input device HD-Audio Generic Line Out Surround (/dev/input/event18) [ 98.285] (II) No input driver specified, ignoring this device. [ 98.285] (II) This device may have been added with another device file. [ 98.285] (II) config/udev: Adding input device HD-Audio Generic Line Out CLFE (/dev/input/event19) [ 98.285] (II) No input driver specified, ignoring this device. [ 98.285] (II) This device may have been added with another device file. [ 98.285] (II) config/udev: Adding input device HD-Audio Generic Front Headphone (/dev/input/event20) [ 98.285] (II) No input driver specified, ignoring this device. [ 98.285] (II) This device may have been added with another device file. [ 98.286] (II) config/udev: Adding input device Eee PC WMI hotkeys (/dev/input/event12) [ 98.286] (**) Eee PC WMI hotkeys: Applying InputClass "evdev keyboard catchall" [ 98.286] (**) Eee PC WMI hotkeys: Applying InputClass "libinput keyboard catchall" [ 98.286] (**) Eee PC WMI hotkeys: Applying InputClass "system-keyboard" [ 98.286] (**) Eee PC WMI hotkeys: Applying InputClass "Keyboard Defaults" [ 98.286] (II) Using input driver 'libinput' for 'Eee PC WMI hotkeys' [ 98.286] (**) Eee PC WMI hotkeys: always reports core events [ 98.286] (**) Option "Device" "/dev/input/event12" [ 98.286] (**) Option "_source" "server/udev" [ 98.287] (II) event12 - Eee PC WMI hotkeys: is tagged by udev as: Keyboard [ 98.287] (II) event12 - Eee PC WMI hotkeys: device is a keyboard [ 98.287] (II) event12 - Eee PC WMI hotkeys: device removed [ 98.346] (**) Option "config_info" "udev:/sys/devices/platform/eeepc-wmi/input/input12/event12" [ 98.346] (II) XINPUT: Adding extended input device "Eee PC WMI hotkeys" (type: KEYBOARD, id 17) [ 98.346] (**) Option "xkb_model" "pc105" [ 98.346] (**) Option "xkb_layout" "be" [ 98.346] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 98.347] (II) event12 - Eee PC WMI hotkeys: is tagged by udev as: Keyboard [ 98.347] (II) event12 - Eee PC WMI hotkeys: device is a keyboard [ 98.348] (II) config/udev: Adding input device PC Speaker (/dev/input/event7) [ 98.348] (II) No input driver specified, ignoring this device. [ 98.348] (II) This device may have been added with another device file. [ 98.351] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "evdev keyboard catchall" [ 98.351] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "libinput keyboard catchall" [ 98.351] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "system-keyboard" [ 98.351] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "Keyboard Defaults" [ 98.351] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control' [ 98.351] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: always reports core events [ 98.351] (**) Option "Device" "/dev/input/event10" [ 98.351] (**) Option "_source" "_driver/libinput" [ 98.351] (II) libinput: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is a virtual subdevice [ 98.351] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input10/event10" [ 98.351] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control" (type: KEYBOARD, id 18) [ 98.351] (**) Option "xkb_model" "pc105" [ 98.351] (**) Option "xkb_layout" "be" [ 98.351] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 98.351] (**) 123 COM Smart Control Consumer Control: Applying InputClass "evdev keyboard catchall" [ 98.351] (**) 123 COM Smart Control Consumer Control: Applying InputClass "libinput keyboard catchall" [ 98.351] (**) 123 COM Smart Control Consumer Control: Applying InputClass "system-keyboard" [ 98.351] (**) 123 COM Smart Control Consumer Control: Applying InputClass "Keyboard Defaults" [ 98.351] (II) Using input driver 'libinput' for '123 COM Smart Control Consumer Control' [ 98.352] (**) 123 COM Smart Control Consumer Control: always reports core events [ 98.352] (**) Option "Device" "/dev/input/event4" [ 98.352] (**) Option "_source" "_driver/libinput" [ 98.352] (II) libinput: 123 COM Smart Control Consumer Control: is a virtual subdevice [ 98.352] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input4/event4" [ 98.352] (II) XINPUT: Adding extended input device "123 COM Smart Control Consumer Control" (type: KEYBOARD, id 19) [ 98.352] (**) Option "xkb_model" "pc105" [ 98.352] (**) Option "xkb_layout" "be" [ 98.352] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 98.352] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 98.352] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 98.352] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 98.352] (--) NVIDIA(GPU-0): [ 98.353] (EE) Failed to open authorization file "/var/run/sddm/{69ad5965-168f-4dbe-b3de-585a2f1a61bb}": No such file or directory [ 109.081] (--) NVIDIA(GPU-0): DFP-0: disconnected [ 109.081] (--) NVIDIA(GPU-0): DFP-0: Internal DisplayPort [ 109.081] (--) NVIDIA(GPU-0): DFP-0: 2660.0 MHz maximum pixel clock [ 109.081] (--) NVIDIA(GPU-0): [ 109.082] (--) NVIDIA(GPU-0): DFP-1: disconnected [ 109.082] (--) NVIDIA(GPU-0): DFP-1: Internal TMDS [ 109.082] (--) NVIDIA(GPU-0): DFP-1: 165.0 MHz maximum pixel clock [ 109.082] (--) NVIDIA(GPU-0): [ 109.082] (--) NVIDIA(GPU-0): DFP-2: disconnected [ 109.082] (--) NVIDIA(GPU-0): DFP-2: Internal DisplayPort [ 109.082] (--) NVIDIA(GPU-0): DFP-2: 2660.0 MHz maximum pixel clock [ 109.082] (--) NVIDIA(GPU-0): [ 109.082] (--) NVIDIA(GPU-0): DFP-3: disconnected [ 109.082] (--) NVIDIA(GPU-0): DFP-3: Internal TMDS [ 109.082] (--) NVIDIA(GPU-0): DFP-3: 165.0 MHz maximum pixel clock [ 109.082] (--) NVIDIA(GPU-0): [ 109.082] (--) NVIDIA(GPU-0): DFP-4: disconnected [ 109.082] (--) NVIDIA(GPU-0): DFP-4: Internal TMDS [ 109.082] (--) NVIDIA(GPU-0): DFP-4: 165.0 MHz maximum pixel clock [ 109.082] (--) NVIDIA(GPU-0): [ 109.082] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 109.082] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 109.082] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 109.082] (--) NVIDIA(GPU-0): [ 109.083] (--) NVIDIA(GPU-0): DFP-6: disconnected [ 109.083] (--) NVIDIA(GPU-0): DFP-6: Internal TMDS [ 109.083] (--) NVIDIA(GPU-0): DFP-6: 165.0 MHz maximum pixel clock [ 109.083] (--) NVIDIA(GPU-0): [ 109.179] (--) NVIDIA(GPU-0): DFP-0: disconnected [ 109.179] (--) NVIDIA(GPU-0): DFP-0: Internal DisplayPort [ 109.179] (--) NVIDIA(GPU-0): DFP-0: 2660.0 MHz maximum pixel clock [ 109.179] (--) NVIDIA(GPU-0): [ 109.179] (--) NVIDIA(GPU-0): DFP-1: disconnected [ 109.179] (--) NVIDIA(GPU-0): DFP-1: Internal TMDS [ 109.179] (--) NVIDIA(GPU-0): DFP-1: 165.0 MHz maximum pixel clock [ 109.179] (--) NVIDIA(GPU-0): [ 109.179] (--) NVIDIA(GPU-0): DFP-2: disconnected [ 109.179] (--) NVIDIA(GPU-0): DFP-2: Internal DisplayPort [ 109.179] (--) NVIDIA(GPU-0): DFP-2: 2660.0 MHz maximum pixel clock [ 109.179] (--) NVIDIA(GPU-0): [ 109.179] (--) NVIDIA(GPU-0): DFP-3: disconnected [ 109.179] (--) NVIDIA(GPU-0): DFP-3: Internal TMDS [ 109.179] (--) NVIDIA(GPU-0): DFP-3: 165.0 MHz maximum pixel clock [ 109.179] (--) NVIDIA(GPU-0): [ 109.179] (--) NVIDIA(GPU-0): DFP-4: disconnected [ 109.179] (--) NVIDIA(GPU-0): DFP-4: Internal TMDS [ 109.179] (--) NVIDIA(GPU-0): DFP-4: 165.0 MHz maximum pixel clock [ 109.179] (--) NVIDIA(GPU-0): [ 109.179] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 109.179] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 109.179] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 109.179] (--) NVIDIA(GPU-0): [ 109.180] (--) NVIDIA(GPU-0): DFP-6: disconnected [ 109.180] (--) NVIDIA(GPU-0): DFP-6: Internal TMDS [ 109.180] (--) NVIDIA(GPU-0): DFP-6: 165.0 MHz maximum pixel clock [ 109.180] (--) NVIDIA(GPU-0): [ 2415.749] (EE) client bug: timer event6 debounce short: scheduled expiry is in the past (-1ms), your system is too slow [ 3024.603] (EE) client bug: timer event6 debounce short: scheduled expiry is in the past (-0ms), your system is too slow [ 3998.910] (II) config/udev: removing device 123 COM Smart Control [ 3998.910] (II) event2 - 123 COM Smart Control: device removed [ 3998.924] (II) UnloadModule: "libinput" [ 3998.924] (II) config/udev: removing device 123 COM Smart Control Mouse [ 3998.924] (II) event3 - 123 COM Smart Control Mouse: device removed [ 3998.950] (II) UnloadModule: "libinput" [ 3998.950] (II) config/udev: removing device 123 COM Smart Control Consumer Control [ 3998.953] (II) UnloadModule: "libinput" [ 3998.953] (II) config/udev: removing device 123 COM Smart Control Consumer Control [ 3998.953] (II) event4 - 123 COM Smart Control Consumer Control: device removed [ 3999.001] (II) UnloadModule: "libinput" [ 3999.001] (II) config/udev: removing device 123 COM Smart Control System Control [ 3999.001] (II) event5 - 123 COM Smart Control System Control: device removed [ 3999.024] (II) UnloadModule: "libinput" [ 4424.107] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/js0) [ 4424.107] (II) No input driver specified, ignoring this device. [ 4424.107] (II) This device may have been added with another device file. [ 4424.111] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/mouse0) [ 4424.111] (II) No input driver specified, ignoring this device. [ 4424.111] (II) This device may have been added with another device file. [ 4424.161] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/event3) [ 4424.161] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "evdev pointer catchall" [ 4424.161] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "libinput pointer catchall" [ 4424.161] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Mouse' [ 4424.161] (**) HJZ Mayflash Wiimote PC Adapter Mouse: always reports core events [ 4424.161] (**) Option "Device" "/dev/input/event3" [ 4424.161] (**) Option "_source" "server/udev" [ 4424.162] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 4424.163] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 4424.163] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 4424.206] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.000D/input/input30/event3" [ 4424.206] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Mouse" (type: MOUSE, id 13) [ 4424.206] (**) Option "AccelerationScheme" "none" [ 4424.207] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) selected scheme none/0 [ 4424.207] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration factor: 2.000 [ 4424.207] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration threshold: 4 [ 4424.208] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 4424.208] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 4424.209] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Consumer Control (/dev/input/event4) [ 4424.209] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 4424.209] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 4424.209] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 4424.209] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 4424.209] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 4424.209] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 4424.209] (**) Option "Device" "/dev/input/event4" [ 4424.209] (**) Option "_source" "server/udev" [ 4424.210] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 4424.210] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 4424.210] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 4424.236] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: needs a virtual subdevice [ 4424.236] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.000D/input/input31/event4" [ 4424.236] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: MOUSE, id 14) [ 4424.236] (**) Option "AccelerationScheme" "none" [ 4424.237] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) selected scheme none/0 [ 4424.237] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration factor: 2.000 [ 4424.237] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration threshold: 4 [ 4424.238] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 4424.238] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 4424.238] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 4424.238] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 4424.238] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 4424.238] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 4424.238] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 4424.238] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 4424.238] (**) Option "Device" "/dev/input/event4" [ 4424.238] (**) Option "_source" "_driver/libinput" [ 4424.238] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: is a virtual subdevice [ 4424.238] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.000D/input/input31/event4" [ 4424.238] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: KEYBOARD, id 15) [ 4424.238] (**) Option "xkb_model" "pc105" [ 4424.238] (**) Option "xkb_layout" "be" [ 4424.238] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 4424.239] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 4424.239] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "evdev keyboard catchall" [ 4424.239] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "libinput keyboard catchall" [ 4424.239] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "system-keyboard" [ 4424.239] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "Keyboard Defaults" [ 4424.239] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter' [ 4424.239] (**) HJZ Mayflash Wiimote PC Adapter: always reports core events [ 4424.239] (**) Option "Device" "/dev/input/event2" [ 4424.239] (**) Option "_source" "server/udev" [ 4424.240] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 4424.240] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 4424.240] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 4424.286] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.000C/input/input29/event2" [ 4424.286] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter" (type: KEYBOARD, id 16) [ 4424.286] (**) Option "xkb_model" "pc105" [ 4424.286] (**) Option "xkb_layout" "be" [ 4424.286] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 4424.287] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 4424.287] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 4604.764] (EE) client bug: timer event6 debounce short: scheduled expiry is in the past (-10ms), your system is too slow [ 6102.910] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter [ 6102.910] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 6102.938] (II) UnloadModule: "libinput" [ 6102.938] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Mouse [ 6102.938] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 6102.958] (II) UnloadModule: "libinput" [ 6102.958] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6102.959] (II) UnloadModule: "libinput" [ 6102.959] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6102.959] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 6102.987] (II) UnloadModule: "libinput" [ 6102.988] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js0) [ 6102.988] (II) No input driver specified, ignoring this device. [ 6102.988] (II) This device may have been added with another device file. [ 6102.988] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js1) [ 6102.988] (II) No input driver specified, ignoring this device. [ 6102.988] (II) This device may have been added with another device file. [ 6102.988] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js3) [ 6102.988] (II) No input driver specified, ignoring this device. [ 6102.988] (II) This device may have been added with another device file. [ 6102.989] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js2) [ 6102.989] (II) No input driver specified, ignoring this device. [ 6102.989] (II) This device may have been added with another device file. [ 6103.066] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event4) [ 6103.066] (II) No input driver specified, ignoring this device. [ 6103.066] (II) This device may have been added with another device file. [ 6103.068] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event3) [ 6103.068] (II) No input driver specified, ignoring this device. [ 6103.068] (II) This device may have been added with another device file. [ 6103.077] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event5) [ 6103.077] (II) No input driver specified, ignoring this device. [ 6103.077] (II) This device may have been added with another device file. [ 6103.111] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 6103.111] (II) No input driver specified, ignoring this device. [ 6103.111] (II) This device may have been added with another device file. [ 6219.463] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/mouse0) [ 6219.463] (II) No input driver specified, ignoring this device. [ 6219.463] (II) This device may have been added with another device file. [ 6219.465] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/js0) [ 6219.465] (II) No input driver specified, ignoring this device. [ 6219.465] (II) This device may have been added with another device file. [ 6219.505] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 6219.505] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "evdev keyboard catchall" [ 6219.505] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "libinput keyboard catchall" [ 6219.505] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "system-keyboard" [ 6219.505] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "Keyboard Defaults" [ 6219.505] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter' [ 6219.505] (**) HJZ Mayflash Wiimote PC Adapter: always reports core events [ 6219.505] (**) Option "Device" "/dev/input/event2" [ 6219.505] (**) Option "_source" "server/udev" [ 6219.507] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 6219.507] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 6219.507] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 6219.552] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.0013/input/input40/event2" [ 6219.552] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter" (type: KEYBOARD, id 13) [ 6219.552] (**) Option "xkb_model" "pc105" [ 6219.552] (**) Option "xkb_layout" "be" [ 6219.552] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6219.554] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 6219.554] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 6219.554] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/event3) [ 6219.554] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "evdev pointer catchall" [ 6219.554] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "libinput pointer catchall" [ 6219.555] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Mouse' [ 6219.555] (**) HJZ Mayflash Wiimote PC Adapter Mouse: always reports core events [ 6219.555] (**) Option "Device" "/dev/input/event3" [ 6219.555] (**) Option "_source" "server/udev" [ 6219.555] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 6219.556] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 6219.556] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 6219.592] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0014/input/input41/event3" [ 6219.592] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Mouse" (type: MOUSE, id 14) [ 6219.593] (**) Option "AccelerationScheme" "none" [ 6219.593] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) selected scheme none/0 [ 6219.593] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration factor: 2.000 [ 6219.593] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration threshold: 4 [ 6219.594] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 6219.594] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 6219.595] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Consumer Control (/dev/input/event4) [ 6219.595] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6219.595] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6219.595] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 6219.595] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 6219.595] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 6219.595] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 6219.595] (**) Option "Device" "/dev/input/event4" [ 6219.595] (**) Option "_source" "server/udev" [ 6219.596] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 6219.596] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 6219.596] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 6219.622] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: needs a virtual subdevice [ 6219.622] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0014/input/input42/event4" [ 6219.622] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: MOUSE, id 15) [ 6219.623] (**) Option "AccelerationScheme" "none" [ 6219.623] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) selected scheme none/0 [ 6219.623] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration factor: 2.000 [ 6219.623] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration threshold: 4 [ 6219.624] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 6219.624] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 6219.625] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6219.625] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6219.625] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 6219.625] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 6219.625] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 6219.625] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 6219.625] (**) Option "Device" "/dev/input/event4" [ 6219.625] (**) Option "_source" "_driver/libinput" [ 6219.625] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: is a virtual subdevice [ 6219.625] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0014/input/input42/event4" [ 6219.625] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: KEYBOARD, id 16) [ 6219.625] (**) Option "xkb_model" "pc105" [ 6219.625] (**) Option "xkb_layout" "be" [ 6219.625] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6278.813] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter [ 6278.813] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 6278.841] (II) UnloadModule: "libinput" [ 6278.841] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6278.843] (II) UnloadModule: "libinput" [ 6278.843] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6278.843] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 6278.857] (II) UnloadModule: "libinput" [ 6278.857] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Mouse [ 6278.857] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 6278.883] (II) UnloadModule: "libinput" [ 6278.884] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js0) [ 6278.884] (II) No input driver specified, ignoring this device. [ 6278.884] (II) This device may have been added with another device file. [ 6278.885] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js2) [ 6278.885] (II) No input driver specified, ignoring this device. [ 6278.885] (II) This device may have been added with another device file. [ 6278.886] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js1) [ 6278.886] (II) No input driver specified, ignoring this device. [ 6278.886] (II) This device may have been added with another device file. [ 6278.886] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js3) [ 6278.886] (II) No input driver specified, ignoring this device. [ 6278.886] (II) This device may have been added with another device file. [ 6278.954] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 6278.954] (II) No input driver specified, ignoring this device. [ 6278.954] (II) This device may have been added with another device file. [ 6278.956] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event3) [ 6278.956] (II) No input driver specified, ignoring this device. [ 6278.956] (II) This device may have been added with another device file. [ 6278.958] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event5) [ 6278.958] (II) No input driver specified, ignoring this device. [ 6278.958] (II) This device may have been added with another device file. [ 6278.959] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event4) [ 6278.959] (II) No input driver specified, ignoring this device. [ 6278.959] (II) This device may have been added with another device file. [ 6365.890] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/mouse0) [ 6365.890] (II) No input driver specified, ignoring this device. [ 6365.890] (II) This device may have been added with another device file. [ 6365.891] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/js0) [ 6365.891] (II) No input driver specified, ignoring this device. [ 6365.891] (II) This device may have been added with another device file. [ 6365.934] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/event3) [ 6365.934] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "evdev pointer catchall" [ 6365.934] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "libinput pointer catchall" [ 6365.934] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Mouse' [ 6365.934] (**) HJZ Mayflash Wiimote PC Adapter Mouse: always reports core events [ 6365.934] (**) Option "Device" "/dev/input/event3" [ 6365.934] (**) Option "_source" "server/udev" [ 6365.936] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 6365.936] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 6365.937] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 6365.977] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.001B/input/input52/event3" [ 6365.977] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Mouse" (type: MOUSE, id 13) [ 6365.977] (**) Option "AccelerationScheme" "none" [ 6365.978] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) selected scheme none/0 [ 6365.978] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration factor: 2.000 [ 6365.978] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration threshold: 4 [ 6365.979] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 6365.979] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 6365.981] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Consumer Control (/dev/input/event4) [ 6365.981] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6365.981] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6365.981] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 6365.981] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 6365.981] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 6365.981] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 6365.981] (**) Option "Device" "/dev/input/event4" [ 6365.981] (**) Option "_source" "server/udev" [ 6365.983] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 6365.983] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 6365.983] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 6366.009] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: needs a virtual subdevice [ 6366.009] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.001B/input/input53/event4" [ 6366.009] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: MOUSE, id 14) [ 6366.010] (**) Option "AccelerationScheme" "none" [ 6366.011] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) selected scheme none/0 [ 6366.011] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration factor: 2.000 [ 6366.011] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration threshold: 4 [ 6366.013] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 6366.013] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 6366.013] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6366.013] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6366.013] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 6366.013] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 6366.013] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 6366.013] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 6366.013] (**) Option "Device" "/dev/input/event4" [ 6366.013] (**) Option "_source" "_driver/libinput" [ 6366.013] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: is a virtual subdevice [ 6366.013] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.001B/input/input53/event4" [ 6366.013] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: KEYBOARD, id 15) [ 6366.013] (**) Option "xkb_model" "pc105" [ 6366.013] (**) Option "xkb_layout" "be" [ 6366.013] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6366.015] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 6366.015] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "evdev keyboard catchall" [ 6366.015] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "libinput keyboard catchall" [ 6366.015] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "system-keyboard" [ 6366.015] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "Keyboard Defaults" [ 6366.015] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter' [ 6366.015] (**) HJZ Mayflash Wiimote PC Adapter: always reports core events [ 6366.015] (**) Option "Device" "/dev/input/event2" [ 6366.015] (**) Option "_source" "server/udev" [ 6366.017] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 6366.017] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 6366.017] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 6366.095] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.001A/input/input51/event2" [ 6366.095] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter" (type: KEYBOARD, id 16) [ 6366.095] (**) Option "xkb_model" "pc105" [ 6366.095] (**) Option "xkb_layout" "be" [ 6366.095] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6366.097] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 6366.097] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 6518.331] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter [ 6518.331] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 6518.346] (II) UnloadModule: "libinput" [ 6518.346] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Mouse [ 6518.346] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 6518.364] (II) UnloadModule: "libinput" [ 6518.364] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6518.365] (II) UnloadModule: "libinput" [ 6518.365] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6518.365] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 6518.383] (II) UnloadModule: "libinput" [ 6518.384] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js2) [ 6518.384] (II) No input driver specified, ignoring this device. [ 6518.384] (II) This device may have been added with another device file. [ 6518.384] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js3) [ 6518.384] (II) No input driver specified, ignoring this device. [ 6518.384] (II) This device may have been added with another device file. [ 6518.385] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js0) [ 6518.385] (II) No input driver specified, ignoring this device. [ 6518.385] (II) This device may have been added with another device file. [ 6518.385] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js1) [ 6518.385] (II) No input driver specified, ignoring this device. [ 6518.385] (II) This device may have been added with another device file. [ 6518.466] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event3) [ 6518.466] (II) No input driver specified, ignoring this device. [ 6518.466] (II) This device may have been added with another device file. [ 6518.477] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 6518.477] (II) No input driver specified, ignoring this device. [ 6518.477] (II) This device may have been added with another device file. [ 6518.479] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event4) [ 6518.479] (II) No input driver specified, ignoring this device. [ 6518.479] (II) This device may have been added with another device file. [ 6518.484] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event5) [ 6518.484] (II) No input driver specified, ignoring this device. [ 6518.484] (II) This device may have been added with another device file. [ 6767.041] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/js0) [ 6767.042] (II) No input driver specified, ignoring this device. [ 6767.042] (II) This device may have been added with another device file. [ 6767.043] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/mouse0) [ 6767.043] (II) No input driver specified, ignoring this device. [ 6767.043] (II) This device may have been added with another device file. [ 6767.080] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 6767.080] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "evdev keyboard catchall" [ 6767.080] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "libinput keyboard catchall" [ 6767.080] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "system-keyboard" [ 6767.080] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "Keyboard Defaults" [ 6767.080] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter' [ 6767.080] (**) HJZ Mayflash Wiimote PC Adapter: always reports core events [ 6767.080] (**) Option "Device" "/dev/input/event2" [ 6767.080] (**) Option "_source" "server/udev" [ 6767.081] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 6767.081] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 6767.082] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 6767.109] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.0021/input/input62/event2" [ 6767.109] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter" (type: KEYBOARD, id 13) [ 6767.109] (**) Option "xkb_model" "pc105" [ 6767.109] (**) Option "xkb_layout" "be" [ 6767.109] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6767.111] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 6767.111] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 6767.113] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/event3) [ 6767.113] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "evdev pointer catchall" [ 6767.113] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "libinput pointer catchall" [ 6767.113] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Mouse' [ 6767.113] (**) HJZ Mayflash Wiimote PC Adapter Mouse: always reports core events [ 6767.113] (**) Option "Device" "/dev/input/event3" [ 6767.113] (**) Option "_source" "server/udev" [ 6767.115] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 6767.115] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 6767.115] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 6767.159] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0022/input/input63/event3" [ 6767.159] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Mouse" (type: MOUSE, id 14) [ 6767.159] (**) Option "AccelerationScheme" "none" [ 6767.160] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) selected scheme none/0 [ 6767.160] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration factor: 2.000 [ 6767.160] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration threshold: 4 [ 6767.162] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 6767.162] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 6767.164] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Consumer Control (/dev/input/event4) [ 6767.164] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6767.164] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6767.164] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 6767.164] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 6767.164] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 6767.164] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 6767.164] (**) Option "Device" "/dev/input/event4" [ 6767.164] (**) Option "_source" "server/udev" [ 6767.165] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 6767.165] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 6767.166] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 6767.183] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: needs a virtual subdevice [ 6767.183] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0022/input/input64/event4" [ 6767.183] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: MOUSE, id 15) [ 6767.183] (**) Option "AccelerationScheme" "none" [ 6767.184] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) selected scheme none/0 [ 6767.184] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration factor: 2.000 [ 6767.184] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration threshold: 4 [ 6767.186] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 6767.186] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 6767.186] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6767.186] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6767.186] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 6767.186] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 6767.186] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 6767.186] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 6767.187] (**) Option "Device" "/dev/input/event4" [ 6767.187] (**) Option "_source" "_driver/libinput" [ 6767.187] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: is a virtual subdevice [ 6767.187] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0022/input/input64/event4" [ 6767.187] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: KEYBOARD, id 16) [ 6767.187] (**) Option "xkb_model" "pc105" [ 6767.187] (**) Option "xkb_layout" "be" [ 6767.187] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6804.826] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter [ 6804.826] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 6804.854] (II) UnloadModule: "libinput" [ 6804.854] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Mouse [ 6804.854] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 6804.871] (II) UnloadModule: "libinput" [ 6804.872] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6804.873] (II) UnloadModule: "libinput" [ 6804.873] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 6804.873] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 6804.890] (II) UnloadModule: "libinput" [ 6804.892] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js0) [ 6804.892] (II) No input driver specified, ignoring this device. [ 6804.892] (II) This device may have been added with another device file. [ 6804.892] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js1) [ 6804.892] (II) No input driver specified, ignoring this device. [ 6804.892] (II) This device may have been added with another device file. [ 6804.893] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js3) [ 6804.893] (II) No input driver specified, ignoring this device. [ 6804.893] (II) This device may have been added with another device file. [ 6804.894] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/js2) [ 6804.894] (II) No input driver specified, ignoring this device. [ 6804.894] (II) This device may have been added with another device file. [ 6804.982] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event5) [ 6804.982] (II) No input driver specified, ignoring this device. [ 6804.982] (II) This device may have been added with another device file. [ 6804.985] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event3) [ 6804.985] (II) No input driver specified, ignoring this device. [ 6804.985] (II) This device may have been added with another device file. [ 6804.994] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event4) [ 6804.994] (II) No input driver specified, ignoring this device. [ 6804.994] (II) This device may have been added with another device file. [ 6805.006] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 6805.006] (II) No input driver specified, ignoring this device. [ 6805.006] (II) This device may have been added with another device file. [ 9847.490] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/js0) [ 9847.490] (II) No input driver specified, ignoring this device. [ 9847.490] (II) This device may have been added with another device file. [ 9847.493] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/mouse0) [ 9847.493] (II) No input driver specified, ignoring this device. [ 9847.493] (II) This device may have been added with another device file. [ 9847.511] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter (/dev/input/event2) [ 9847.511] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "evdev keyboard catchall" [ 9847.511] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "libinput keyboard catchall" [ 9847.511] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "system-keyboard" [ 9847.511] (**) HJZ Mayflash Wiimote PC Adapter: Applying InputClass "Keyboard Defaults" [ 9847.511] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter' [ 9847.511] (**) HJZ Mayflash Wiimote PC Adapter: always reports core events [ 9847.511] (**) Option "Device" "/dev/input/event2" [ 9847.511] (**) Option "_source" "server/udev" [ 9847.512] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 9847.513] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 9847.513] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 9847.535] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.002C/input/input77/event2" [ 9847.535] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter" (type: KEYBOARD, id 13) [ 9847.535] (**) Option "xkb_model" "pc105" [ 9847.535] (**) Option "xkb_layout" "be" [ 9847.535] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 9847.559] (II) event2 - HJZ Mayflash Wiimote PC Adapter: is tagged by udev as: Keyboard [ 9847.559] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device is a keyboard [ 9847.560] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Consumer Control (/dev/input/event4) [ 9847.560] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 9847.560] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 9847.560] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 9847.560] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 9847.560] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 9847.560] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 9847.560] (**) Option "Device" "/dev/input/event4" [ 9847.560] (**) Option "_source" "server/udev" [ 9847.561] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 9847.561] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 9847.561] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 9847.575] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: needs a virtual subdevice [ 9847.575] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.002D/input/input79/event4" [ 9847.575] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: MOUSE, id 14) [ 9847.576] (**) Option "AccelerationScheme" "none" [ 9847.576] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) selected scheme none/0 [ 9847.576] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration factor: 2.000 [ 9847.576] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: (accel) acceleration threshold: 4 [ 9847.577] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: is tagged by udev as: Keyboard [ 9847.577] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device is a keyboard [ 9847.577] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "evdev keyboard catchall" [ 9847.577] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "libinput keyboard catchall" [ 9847.577] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "system-keyboard" [ 9847.577] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: Applying InputClass "Keyboard Defaults" [ 9847.577] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Consumer Control' [ 9847.578] (**) HJZ Mayflash Wiimote PC Adapter Consumer Control: always reports core events [ 9847.578] (**) Option "Device" "/dev/input/event4" [ 9847.578] (**) Option "_source" "_driver/libinput" [ 9847.578] (II) libinput: HJZ Mayflash Wiimote PC Adapter Consumer Control: is a virtual subdevice [ 9847.578] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.002D/input/input79/event4" [ 9847.578] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Consumer Control" (type: KEYBOARD, id 15) [ 9847.578] (**) Option "xkb_model" "pc105" [ 9847.578] (**) Option "xkb_layout" "be" [ 9847.578] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 9847.578] (II) config/udev: Adding input device HJZ Mayflash Wiimote PC Adapter Mouse (/dev/input/event3) [ 9847.578] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "evdev pointer catchall" [ 9847.578] (**) HJZ Mayflash Wiimote PC Adapter Mouse: Applying InputClass "libinput pointer catchall" [ 9847.578] (II) Using input driver 'libinput' for 'HJZ Mayflash Wiimote PC Adapter Mouse' [ 9847.578] (**) HJZ Mayflash Wiimote PC Adapter Mouse: always reports core events [ 9847.578] (**) Option "Device" "/dev/input/event3" [ 9847.578] (**) Option "_source" "server/udev" [ 9847.579] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 9847.579] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 9847.580] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 9847.645] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.002D/input/input78/event3" [ 9847.645] (II) XINPUT: Adding extended input device "HJZ Mayflash Wiimote PC Adapter Mouse" (type: MOUSE, id 16) [ 9847.646] (**) Option "AccelerationScheme" "none" [ 9847.646] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) selected scheme none/0 [ 9847.646] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration factor: 2.000 [ 9847.646] (**) HJZ Mayflash Wiimote PC Adapter Mouse: (accel) acceleration threshold: 4 [ 9847.647] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: is tagged by udev as: Mouse [ 9847.647] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device is a pointer [ 9850.591] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Mouse [ 9850.591] (II) event3 - HJZ Mayflash Wiimote PC Adapter Mouse: device removed [ 9850.614] (II) UnloadModule: "libinput" [ 9850.614] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter [ 9850.614] (II) event2 - HJZ Mayflash Wiimote PC Adapter: device removed [ 9850.624] (II) UnloadModule: "libinput" [ 9850.624] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 9850.625] (II) UnloadModule: "libinput" [ 9850.625] (II) config/udev: removing device HJZ Mayflash Wiimote PC Adapter Consumer Control [ 9850.625] (II) event4 - HJZ Mayflash Wiimote PC Adapter Consumer Control: device removed [ 9850.647] (II) UnloadModule: "libinput" [ 15880.716] (EE) client bug: timer event6 debounce short: scheduled expiry is in the past (-9ms), your system is too slow [ 16516.485] (EE) client bug: timer event6 debounce short: scheduled expiry is in the past (-3ms), your system is too slow [ 17867.388] (EE) client bug: timer event6 debounce: scheduled expiry is in the past (-16ms), your system is too slow [ 17867.388] (EE) client bug: timer event6 debounce short: scheduled expiry is in the past (-29ms), your system is too slow [ 27871.324] (II) config/udev: removing device Logitech USB Laser Mouse [ 27871.326] (II) event6 - Logitech USB Laser Mouse: device removed [ 27871.350] (II) UnloadModule: "libinput" [ 27875.324] (II) config/udev: removing device Lenovo Ultraslim Plus Wireless Keyboard & Mouse [ 27875.324] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 27875.371] (II) UnloadModule: "libinput" [ 27875.371] (II) config/udev: removing device Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control [ 27875.372] (II) UnloadModule: "libinput" [ 27875.372] (II) config/udev: removing device Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control [ 27875.372] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device removed [ 27875.411] (II) UnloadModule: "libinput" [ 27875.411] (II) config/udev: removing device Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control [ 27875.411] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device removed [ 27875.451] (II) UnloadModule: "libinput" [ 27875.451] (II) config/udev: removing device Lenovo Ultraslim Plus Wireless Keyboard & Mouse [ 27875.451] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 27875.493] (II) UnloadModule: "libinput" [ 27883.447] (--) NVIDIA(GPU-0): DFP-5: disconnected [ 27883.447] (--) NVIDIA(GPU-0): DFP-5: Internal DisplayPort [ 27883.447] (--) NVIDIA(GPU-0): DFP-5: 2660.0 MHz maximum pixel clock [ 27883.447] (--) NVIDIA(GPU-0): [ 27884.236] (II) NVIDIA(0): Setting mode "NULL" [ 28528.354] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 28528.354] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 28528.354] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 28528.354] (--) NVIDIA(GPU-0): [ 28529.091] (II) NVIDIA(0): Setting mode "DP-4: nvidia-auto-select @2560x1440 +0+0 {ViewPortIn=2560x1440, ViewPortOut=2560x1440+0+0}" [ 28534.585] (II) config/udev: Adding input device Logitech USB Laser Mouse (/dev/input/mouse0) [ 28534.585] (II) No input driver specified, ignoring this device. [ 28534.585] (II) This device may have been added with another device file. [ 28534.595] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/mouse1) [ 28534.595] (II) No input driver specified, ignoring this device. [ 28534.595] (II) This device may have been added with another device file. [ 28534.620] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/event3) [ 28534.620] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "evdev keyboard catchall" [ 28534.620] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "libinput keyboard catchall" [ 28534.620] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "system-keyboard" [ 28534.620] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "Keyboard Defaults" [ 28534.620] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse' [ 28534.620] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: always reports core events [ 28534.620] (**) Option "Device" "/dev/input/event3" [ 28534.620] (**) Option "_source" "server/udev" [ 28534.621] (II) event3 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Keyboard [ 28534.621] (II) event3 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a keyboard [ 28534.621] (II) event3 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 28534.637] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.0/0003:17EF:6022.0033/input/input85/event3" [ 28534.637] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse" (type: KEYBOARD, id 8) [ 28534.637] (**) Option "xkb_model" "pc105" [ 28534.637] (**) Option "xkb_layout" "be" [ 28534.637] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 28534.638] (II) event3 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Keyboard [ 28534.638] (II) event3 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a keyboard [ 28534.639] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control (/dev/input/event5) [ 28534.639] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "evdev keyboard catchall" [ 28534.639] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "libinput keyboard catchall" [ 28534.639] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "system-keyboard" [ 28534.639] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "Keyboard Defaults" [ 28534.639] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control' [ 28534.639] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: always reports core events [ 28534.639] (**) Option "Device" "/dev/input/event5" [ 28534.639] (**) Option "_source" "server/udev" [ 28534.640] (II) event5 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is tagged by udev as: Keyboard [ 28534.640] (II) event5 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device is a keyboard [ 28534.640] (II) event5 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device removed [ 28534.668] (II) libinput: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: needs a virtual subdevice [ 28534.668] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0034/input/input87/event5" [ 28534.668] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control" (type: MOUSE, id 9) [ 28534.669] (**) Option "AccelerationScheme" "none" [ 28534.669] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) selected scheme none/0 [ 28534.669] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) acceleration factor: 2.000 [ 28534.669] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) acceleration threshold: 4 [ 28534.671] (II) event5 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is tagged by udev as: Keyboard [ 28534.671] (II) event5 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device is a keyboard [ 28534.672] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "evdev keyboard catchall" [ 28534.672] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "libinput keyboard catchall" [ 28534.672] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "system-keyboard" [ 28534.672] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "Keyboard Defaults" [ 28534.672] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control' [ 28534.672] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: always reports core events [ 28534.672] (**) Option "Device" "/dev/input/event5" [ 28534.672] (**) Option "_source" "_driver/libinput" [ 28534.672] (II) libinput: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is a virtual subdevice [ 28534.672] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0034/input/input87/event5" [ 28534.672] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control" (type: KEYBOARD, id 10) [ 28534.672] (**) Option "xkb_model" "pc105" [ 28534.672] (**) Option "xkb_layout" "be" [ 28534.672] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 28534.673] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control (/dev/input/event6) [ 28534.673] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "evdev keyboard catchall" [ 28534.673] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "libinput keyboard catchall" [ 28534.673] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "system-keyboard" [ 28534.673] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "Keyboard Defaults" [ 28534.673] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control' [ 28534.673] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: always reports core events [ 28534.673] (**) Option "Device" "/dev/input/event6" [ 28534.673] (**) Option "_source" "server/udev" [ 28534.675] (II) event6 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: is tagged by udev as: Keyboard [ 28534.675] (II) event6 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device is a keyboard [ 28534.675] (II) event6 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device removed [ 28534.717] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0034/input/input88/event6" [ 28534.717] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control" (type: KEYBOARD, id 11) [ 28534.717] (**) Option "xkb_model" "pc105" [ 28534.717] (**) Option "xkb_layout" "be" [ 28534.717] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 28534.719] (II) event6 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: is tagged by udev as: Keyboard [ 28534.719] (II) event6 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device is a keyboard [ 28534.720] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/event4) [ 28534.720] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "evdev pointer catchall" [ 28534.720] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "libinput pointer catchall" [ 28534.720] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse' [ 28534.720] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: always reports core events [ 28534.720] (**) Option "Device" "/dev/input/event4" [ 28534.720] (**) Option "_source" "server/udev" [ 28534.722] (II) event4 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Mouse [ 28534.722] (II) event4 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a pointer [ 28534.722] (II) event4 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 28534.770] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0034/input/input86/event4" [ 28534.770] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse" (type: MOUSE, id 12) [ 28534.770] (**) Option "AccelerationScheme" "none" [ 28534.771] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) selected scheme none/0 [ 28534.771] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) acceleration factor: 2.000 [ 28534.771] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) acceleration threshold: 4 [ 28534.773] (II) event4 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Mouse [ 28534.773] (II) event4 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a pointer [ 28534.774] (II) config/udev: Adding input device Logitech USB Laser Mouse (/dev/input/event2) [ 28534.774] (**) Logitech USB Laser Mouse: Applying InputClass "evdev pointer catchall" [ 28534.774] (**) Logitech USB Laser Mouse: Applying InputClass "libinput pointer catchall" [ 28534.774] (II) Using input driver 'libinput' for 'Logitech USB Laser Mouse' [ 28534.774] (**) Logitech USB Laser Mouse: always reports core events [ 28534.774] (**) Option "Device" "/dev/input/event2" [ 28534.774] (**) Option "_source" "server/udev" [ 28534.831] (II) event2 - Logitech USB Laser Mouse: is tagged by udev as: Mouse [ 28534.831] (II) event2 - Logitech USB Laser Mouse: device set to 1200 DPI [ 28534.831] (II) event2 - Logitech USB Laser Mouse: device is a pointer [ 28534.831] (II) event2 - Logitech USB Laser Mouse: device removed [ 28534.890] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.1/1-3.1:1.0/0003:046D:C062.0032/input/input84/event2" [ 28534.890] (II) XINPUT: Adding extended input device "Logitech USB Laser Mouse" (type: MOUSE, id 13) [ 28534.890] (**) Option "AccelerationScheme" "none" [ 28534.891] (**) Logitech USB Laser Mouse: (accel) selected scheme none/0 [ 28534.891] (**) Logitech USB Laser Mouse: (accel) acceleration factor: 2.000 [ 28534.891] (**) Logitech USB Laser Mouse: (accel) acceleration threshold: 4 [ 28534.948] (II) event2 - Logitech USB Laser Mouse: is tagged by udev as: Mouse [ 28534.948] (II) event2 - Logitech USB Laser Mouse: device set to 1200 DPI [ 28534.948] (II) event2 - Logitech USB Laser Mouse: device is a pointer [ 30193.287] (EE) client bug: timer event2 debounce short: scheduled expiry is in the past (-2ms), your system is too slow [ 31714.087] (II) event2 - Logitech USB Laser Mouse: Enabling spurious button debouncing, see https://wayland.freedesktop.org/libinput/doc/1.15.5/button-debouncing.html for details [ 32197.126] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32204.676] (EE) NVIDIA(GPU-0): WAIT (0, 8, 0x8000, 0x00004b08, 0x00004b08) [ 32204.676] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-2915ms), your system is too slow [ 32204.676] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-2819ms), your system is too slow [ 32204.676] (EE) client bug: timer event2 debounce short: scheduled expiry is in the past (-2832ms), your system is too slow [ 32208.227] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32228.457] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32230.481] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32235.064] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-102ms), your system is too slow [ 32235.064] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-6ms), your system is too slow [ 32235.064] (EE) client bug: timer event2 debounce short: scheduled expiry is in the past (-19ms), your system is too slow [ 32271.948] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32316.852] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32327.474] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32334.021] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32335.530] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32337.547] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32337.547] (II) event2 - Logitech USB Laser Mouse: WARNING: log rate limit exceeded (5 msgs per 30000ms). Discarding future messages. [ 32348.140] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-235ms), your system is too slow [ 32348.140] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-75ms), your system is too slow [ 32348.140] (EE) client bug: timer event2 debounce short: scheduled expiry is in the past (-88ms), your system is too slow [ 32358.820] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-1611ms), your system is too slow [ 32358.820] (EE) client bug: timer event2 debounce: scheduled expiry is in the past (-1492ms), your system is too slow [ 32358.820] (EE) client bug: timer event2 debounce short: scheduled expiry is in the past (-1505ms), your system is too slow [ 32434.416] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32441.473] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32447.493] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32453.547] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32459.593] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. [ 32459.593] (II) event2 - Logitech USB Laser Mouse: WARNING: log rate limit exceeded (5 msgs per 30000ms). Discarding future messages. [ 32469.164] (EE) NVIDIA(GPU-0): WAIT (0, 8, 0x8000, 0x00002640, 0x00002640) [ 32470.673] (II) event2 - Logitech USB Laser Mouse: SYN_DROPPED event - some input events have been lost. ____________________________________________ *** /etc/X11/xorg.conf.d/00-keyboard.conf *** ls: -rw-r--r-- 1 root root 266 2020-03-18 18:16:29.027042139 +0100 /etc/X11/xorg.conf.d/00-keyboard.conf # Read and parsed by systemd-localed. It's probably wise not to edit this file # manually too freely. Section "InputClass" Identifier "system-keyboard" MatchIsKeyboard "on" Option "XkbLayout" "be" Option "XkbModel" "pc105" EndSection ____________________________________________ *** /etc/X11/xorg.conf.d/20-nouveau.conf *** ls: -rw-r--r-- 1 root root 0 2020-04-03 11:30:03.212406354 +0200 /etc/X11/xorg.conf.d/20-nouveau.conf ____________________________________________ *** /etc/X11/xorg.conf.d/30-touchpad.conf *** ls: -rw-r--r-- 1 root root 131 2020-03-11 14:49:27.000000000 +0100 /etc/X11/xorg.conf.d/30-touchpad.conf Section "InputClass" Identifier "touchpad" Driver "libinput" MatchIsTouchpad "on" Option "Tapping" "on" EndSection ____________________________________________ *** /etc/X11/xorg.conf.d/90-mhwd.conf *** ls: lrwxrwxrwx 1 root root 27 2020-03-18 18:17:04.403707489 +0100 /etc/X11/xorg.conf.d/90-mhwd.conf -> /etc/X11/mhwd.d/nvidia.conf # nvidia-xconfig: X configuration file generated by nvidia-xconfig # nvidia-xconfig: version 440.59 Section "ServerLayout" Identifier "Layout0" Screen 0 "Screen0" InputDevice "Keyboard0" "CoreKeyboard" InputDevice "Mouse0" "CorePointer" EndSection Section "Files" EndSection Section "InputDevice" # generated from default Identifier "Mouse0" Driver "mouse" Option "Protocol" "auto" Option "Device" "/dev/psaux" Option "Emulate3Buttons" "no" Option "ZAxisMapping" "4 5" EndSection Section "InputDevice" # generated from default Identifier "Keyboard0" Driver "kbd" EndSection Section "Monitor" Identifier "Monitor0" VendorName "Unknown" ModelName "Unknown" Option "DPMS" EndSection Section "Device" Identifier "Device0" Driver "nvidia" VendorName "NVIDIA Corporation" Option "NoLogo" "1" Option "TripleBuffer" "On" # Option "ConnectToAcpid" "Off" EndSection Section "Screen" Identifier "Screen0" Device "Device0" Monitor "Monitor0" DefaultDepth 24 SubSection "Display" Depth 24 EndSubSection EndSection Section "Extensions" Option "COMPOSITE" "Enable" EndSection Section "InputClass" Identifier "Keyboard Defaults" MatchIsKeyboard "yes" Option "XkbOptions" "terminate:ctrl_alt_bksp" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-amdgpu.conf *** ls: -rw-r--r-- 1 root root 92 2019-10-11 19:37:57.000000000 +0200 /usr/share/X11/xorg.conf.d/10-amdgpu.conf Section "OutputClass" Identifier "AMDgpu" MatchDriver "amdgpu" Driver "amdgpu" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-amdgpu-nvidia-drm-outputclass.conf *** ls: -rw-r--r-- 1 root root 424 2020-04-07 19:02:07.000000000 +0200 /usr/share/X11/xorg.conf.d/10-amdgpu-nvidia-drm-outputclass.conf Section "ServerLayout" Identifier "layout" Option "AllowNVIDIAGPUScreens" EndSection Section "OutputClass" Identifier "AMDgpu" MatchDriver "amdgpu" Driver "amdgpu" EndSection Section "OutputClass" Identifier "nvidia" MatchDriver "nvidia-drm" Driver "nvidia" Option "AllowEmptyInitialConfiguration" ModulePath "/usr/lib/nvidia/xorg" ModulePath "/usr/lib/xorg/modules" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-evdev.conf *** ls: -rw-r--r-- 1 root root 1099 2018-06-03 12:08:47.000000000 +0200 /usr/share/X11/xorg.conf.d/10-evdev.conf # # Catch-all evdev loader for udev-based systems # We don't simply match on any device since that also adds accelerometers # and other devices that we don't really want to use. The list below # matches everything but joysticks. Section "InputClass" Identifier "evdev pointer catchall" MatchIsPointer "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev keyboard catchall" MatchIsKeyboard "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev touchpad catchall" MatchIsTouchpad "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev tablet catchall" MatchIsTablet "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev touchscreen catchall" MatchIsTouchscreen "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-intel-nvidia-drm-outputclass.conf *** ls: -rw-r--r-- 1 root root 426 2020-04-07 19:02:07.000000000 +0200 /usr/share/X11/xorg.conf.d/10-intel-nvidia-drm-outputclass.conf Section "ServerLayout" Identifier "layout" Option "AllowNVIDIAGPUScreens" EndSection Section "OutputClass" Identifier "intel" MatchDriver "i915" Driver "modesetting" EndSection Section "OutputClass" Identifier "nvidia" MatchDriver "nvidia-drm" Driver "nvidia" Option "AllowEmptyInitialConfiguration" ModulePath "/usr/lib/nvidia/xorg" ModulePath "/usr/lib/xorg/modules" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-quirks.conf *** ls: -rw-r--r-- 1 root root 1350 2020-03-30 08:33:12.000000000 +0200 /usr/share/X11/xorg.conf.d/10-quirks.conf # Collection of quirks and blacklist/whitelists for specific devices. # Accelerometer device, posts data through ABS_X/ABS_Y, making X unusable # http://bugs.freedesktop.org/show_bug.cgi?id=22442 Section "InputClass" Identifier "ThinkPad HDAPS accelerometer blacklist" MatchProduct "ThinkPad HDAPS accelerometer data" Option "Ignore" "on" EndSection # https://bugzilla.redhat.com/show_bug.cgi?id=523914 # Mouse does not move in PV Xen guest # Explicitly tell evdev to not ignore the absolute axes. Section "InputClass" Identifier "Xen Virtual Pointer axis blacklist" MatchProduct "Xen Virtual Pointer" Option "IgnoreAbsoluteAxes" "off" Option "IgnoreRelativeAxes" "off" EndSection # https://bugs.freedesktop.org/show_bug.cgi?id=55867 # Bug 55867 - Doesn't know how to tag XI_TRACKBALL Section "InputClass" Identifier "Tag trackballs as XI_TRACKBALL" MatchProduct "trackball" MatchDriver "evdev" Option "TypeName" "TRACKBALL" EndSection # https://bugs.freedesktop.org/show_bug.cgi?id=62831 # Bug 62831 - Mionix Naos 5000 mouse detected incorrectly Section "InputClass" Identifier "Tag Mionix Naos 5000 mouse XI_MOUSE" MatchProduct "La-VIEW Technology Naos 5000 Mouse" MatchDriver "evdev" Option "TypeName" "MOUSE" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-radeon.conf *** ls: -rw-r--r-- 1 root root 92 2019-10-15 19:09:09.000000000 +0200 /usr/share/X11/xorg.conf.d/10-radeon.conf Section "OutputClass" Identifier "Radeon" MatchDriver "radeon" Driver "radeon" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/40-libinput.conf *** ls: -rw-r--r-- 1 root root 1429 2020-03-21 11:09:46.000000000 +0100 /usr/share/X11/xorg.conf.d/40-libinput.conf # Match on all types of devices but joysticks # # If you want to configure your devices, do not copy this file. # Instead, use a config snippet that contains something like this: # # Section "InputClass" # Identifier "something or other" # MatchDriver "libinput" # # MatchIsTouchpad "on" # ... other Match directives ... # Option "someoption" "value" # EndSection # # This applies the option any libinput device also matched by the other # directives. See the xorg.conf(5) man page for more info on # matching devices. Section "InputClass" Identifier "libinput pointer catchall" MatchIsPointer "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput keyboard catchall" MatchIsKeyboard "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput touchpad catchall" MatchIsTouchpad "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput touchscreen catchall" MatchIsTouchscreen "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput tablet catchall" MatchIsTablet "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection ____________________________________________ *** /var/log/Xorg.0.log.old *** ls: -rw-r--r-- 1 root root 44620 2020-05-09 13:06:57.610223799 +0200 /var/log/Xorg.0.log.old [ 4.963] (--) Log file renamed from "/var/log/Xorg.pid-800.log" to "/var/log/Xorg.0.log" [ 4.964] X.Org X Server 1.20.8 X Protocol Version 11, Revision 0 [ 4.964] Build Operating System: Linux Manjaro Linux [ 4.964] Current Operating System: Linux desktop 5.4.36-1-MANJARO #1 SMP PREEMPT Wed Apr 29 18:47:01 UTC 2020 x86_64 [ 4.964] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-5.4-x86_64 root=UUID=516a50e0-c8c8-4dc1-a9a4-df5d24937bd6 rw loglevel=3 quiet [ 4.964] Build Date: 30 March 2020 06:33:12AM [ 4.964] [ 4.964] Current version of pixman: 0.40.0 [ 4.964] Before reporting problems, check http://wiki.x.org to make sure that you have the latest version. [ 4.964] Markers: (--) probed, (**) from config file, (==) default setting, (++) from command line, (!!) notice, (II) informational, (WW) warning, (EE) error, (NI) not implemented, (??) unknown. [ 4.964] (==) Log file: "/var/log/Xorg.0.log", Time: Sat May 9 12:06:12 2020 [ 4.966] (==) Using config directory: "/etc/X11/xorg.conf.d" [ 4.966] (==) Using system config directory "/usr/share/X11/xorg.conf.d" [ 4.967] (==) ServerLayout "layout" [ 4.967] (**) |-->Screen "Screen0" (0) [ 4.967] (**) | |-->Monitor "Monitor0" [ 4.967] (**) | |-->Device "Device0" [ 4.967] (==) Automatically adding devices [ 4.967] (==) Automatically enabling devices [ 4.967] (==) Automatically adding GPU devices [ 4.967] (==) Automatically binding GPU devices [ 4.967] (==) Max clients allowed: 256, resource mask: 0x1fffff [ 4.969] (WW) The directory "/usr/share/fonts/OTF" does not exist. [ 4.969] Entry deleted from font path. [ 4.969] (WW) The directory "/usr/share/fonts/Type1" does not exist. [ 4.969] Entry deleted from font path. [ 4.969] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/100dpi". [ 4.969] Entry deleted from font path. [ 4.969] (Run 'mkfontdir' on "/usr/share/fonts/100dpi"). [ 4.970] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/75dpi". [ 4.970] Entry deleted from font path. [ 4.970] (Run 'mkfontdir' on "/usr/share/fonts/75dpi"). [ 4.970] (==) FontPath set to: /usr/share/fonts/misc, /usr/share/fonts/TTF [ 4.970] (==) ModulePath set to "/usr/lib/xorg/modules" [ 4.970] (**) Extension "COMPOSITE" is enabled [ 4.970] (II) The server relies on udev to provide the list of input devices. If no devices become available, reconfigure udev or disable AutoAddDevices. [ 4.970] (II) Module ABI versions: [ 4.970] X.Org ANSI C Emulation: 0.4 [ 4.970] X.Org Video Driver: 24.1 [ 4.970] X.Org XInput driver : 24.1 [ 4.970] X.Org Server Extension : 10.0 [ 4.970] (++) using VT number 1 [ 4.970] (II) systemd-logind: logind integration requires -keeptty and -keeptty was not provided, disabling logind integration [ 4.970] (II) xfree86: Adding drm device (/dev/dri/card0) [ 4.972] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 4.972] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 4.974] (--) PCI:*(7@0:0:0) 10de:21c4:1462:c75a rev 161, Mem @ 0xf6000000/16777216, 0xe0000000/268435456, 0xf0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288 [ 4.974] (WW) Open ACPI failed (/var/run/acpid.socket) (No such file or directory) [ 4.975] (II) LoadModule: "glx" [ 4.976] (II) Loading /usr/lib/xorg/modules/extensions/libglx.so [ 4.984] (II) Module glx: vendor="X.Org Foundation" [ 4.984] compiled for 1.20.8, module version = 1.0.0 [ 4.984] ABI class: X.Org Server Extension, version 10.0 [ 4.984] (II) LoadModule: "nvidia" [ 4.985] (II) Loading /usr/lib/xorg/modules/drivers/nvidia_drv.so [ 4.990] (II) Module nvidia: vendor="NVIDIA Corporation" [ 4.990] compiled for 1.6.99.901, module version = 1.0.0 [ 4.990] Module class: X.Org Video Driver [ 4.990] (II) NVIDIA dlloader X Driver 440.82 Wed Apr 1 19:50:17 UTC 2020 [ 4.990] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs [ 4.991] (II) Loading sub module "fb" [ 4.991] (II) LoadModule: "fb" [ 4.991] (II) Loading /usr/lib/xorg/modules/libfb.so [ 4.992] (II) Module fb: vendor="X.Org Foundation" [ 4.992] compiled for 1.20.8, module version = 1.0.0 [ 4.992] ABI class: X.Org ANSI C Emulation, version 0.4 [ 4.992] (II) Loading sub module "wfb" [ 4.992] (II) LoadModule: "wfb" [ 4.992] (II) Loading /usr/lib/xorg/modules/libwfb.so [ 4.992] (II) Module wfb: vendor="X.Org Foundation" [ 4.992] compiled for 1.20.8, module version = 1.0.0 [ 4.992] ABI class: X.Org ANSI C Emulation, version 0.4 [ 4.992] (II) Loading sub module "ramdac" [ 4.992] (II) LoadModule: "ramdac" [ 4.992] (II) Module "ramdac" already built-in [ 4.993] (**) NVIDIA(0): Depth 24, (--) framebuffer bpp 32 [ 4.993] (==) NVIDIA(0): RGB weight 888 [ 4.993] (==) NVIDIA(0): Default visual is TrueColor [ 4.993] (==) NVIDIA(0): Using gamma correction (1.0, 1.0, 1.0) [ 4.994] (**) Option "AllowNVIDIAGpuScreens" [ 4.994] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 4.994] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 4.994] (**) NVIDIA(0): Option "TripleBuffer" "On" [ 4.994] (**) NVIDIA(0): Option "AllowEmptyInitialConfiguration" [ 4.994] (**) NVIDIA(0): Enabling 2D acceleration [ 4.994] (II) Loading sub module "glxserver_nvidia" [ 4.994] (II) LoadModule: "glxserver_nvidia" [ 4.994] (II) Loading /usr/lib/xorg/modules/extensions/libglxserver_nvidia.so [ 5.037] (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" [ 5.037] compiled for 1.6.99.901, module version = 1.0.0 [ 5.037] Module class: X.Org Server Extension [ 5.037] (II) NVIDIA GLX Module 440.82 Wed Apr 1 19:47:36 UTC 2020 [ 5.039] (II) NVIDIA: The X server supports PRIME Render Offload. [ 5.745] (--) NVIDIA(0): Valid display device(s) on GPU-0 at PCI:7:0:0 [ 5.745] (--) NVIDIA(0): DFP-0 [ 5.745] (--) NVIDIA(0): DFP-1 [ 5.745] (--) NVIDIA(0): DFP-2 [ 5.745] (--) NVIDIA(0): DFP-3 [ 5.745] (--) NVIDIA(0): DFP-4 [ 5.745] (--) NVIDIA(0): DFP-5 [ 5.745] (--) NVIDIA(0): DFP-6 [ 5.747] (II) NVIDIA(0): NVIDIA GPU GeForce GTX 1660 SUPER (TU116-B) at PCI:7:0:0 [ 5.747] (II) NVIDIA(0): (GPU-0) [ 5.747] (--) NVIDIA(0): Memory: 6291456 kBytes [ 5.747] (--) NVIDIA(0): VideoBIOS: 90.16.48.00.2e [ 5.747] (II) NVIDIA(0): Detected PCI Express Link width: 16X [ 5.747] (--) NVIDIA(GPU-0): DFP-0: disconnected [ 5.747] (--) NVIDIA(GPU-0): DFP-0: Internal DisplayPort [ 5.747] (--) NVIDIA(GPU-0): DFP-0: 2660.0 MHz maximum pixel clock [ 5.747] (--) NVIDIA(GPU-0): [ 5.747] (--) NVIDIA(GPU-0): DFP-1: disconnected [ 5.747] (--) NVIDIA(GPU-0): DFP-1: Internal TMDS [ 5.747] (--) NVIDIA(GPU-0): DFP-1: 165.0 MHz maximum pixel clock [ 5.747] (--) NVIDIA(GPU-0): [ 5.747] (--) NVIDIA(GPU-0): DFP-2: disconnected [ 5.747] (--) NVIDIA(GPU-0): DFP-2: Internal DisplayPort [ 5.747] (--) NVIDIA(GPU-0): DFP-2: 2660.0 MHz maximum pixel clock [ 5.747] (--) NVIDIA(GPU-0): [ 5.747] (--) NVIDIA(GPU-0): DFP-3: disconnected [ 5.747] (--) NVIDIA(GPU-0): DFP-3: Internal TMDS [ 5.747] (--) NVIDIA(GPU-0): DFP-3: 165.0 MHz maximum pixel clock [ 5.747] (--) NVIDIA(GPU-0): [ 5.747] (--) NVIDIA(GPU-0): DFP-4: disconnected [ 5.747] (--) NVIDIA(GPU-0): DFP-4: Internal TMDS [ 5.747] (--) NVIDIA(GPU-0): DFP-4: 165.0 MHz maximum pixel clock [ 5.747] (--) NVIDIA(GPU-0): [ 5.747] (--) NVIDIA(GPU-0): DFP-5: disconnected [ 5.747] (--) NVIDIA(GPU-0): DFP-5: Internal DisplayPort [ 5.747] (--) NVIDIA(GPU-0): DFP-5: 2660.0 MHz maximum pixel clock [ 5.747] (--) NVIDIA(GPU-0): [ 5.747] (--) NVIDIA(GPU-0): DFP-6: disconnected [ 5.747] (--) NVIDIA(GPU-0): DFP-6: Internal TMDS [ 5.747] (--) NVIDIA(GPU-0): DFP-6: 165.0 MHz maximum pixel clock [ 5.747] (--) NVIDIA(GPU-0): [ 5.747] (==) NVIDIA(0): [ 5.747] (==) NVIDIA(0): No modes were requested; the default mode "nvidia-auto-select" [ 5.747] (==) NVIDIA(0): will be used as the requested mode. [ 5.747] (==) NVIDIA(0): [ 5.747] (--) NVIDIA(0): No enabled display devices found; starting anyway because [ 5.747] (--) NVIDIA(0): AllowEmptyInitialConfiguration is enabled [ 5.748] (II) NVIDIA(0): Validated MetaModes: [ 5.748] (II) NVIDIA(0): "NULL" [ 5.748] (II) NVIDIA(0): Virtual screen size determined to be 640 x 480 [ 5.748] (WW) NVIDIA(0): Unable to get display device for DPI computation. [ 5.748] (==) NVIDIA(0): DPI set to (75, 75); computed from built-in default [ 5.748] (II) NVIDIA: Using 24576.00 MB of virtual memory for indirect memory [ 5.748] (II) NVIDIA: access. [ 5.751] (II) NVIDIA(0): ACPI: failed to connect to the ACPI event daemon; the daemon [ 5.751] (II) NVIDIA(0): may not be running or the "AcpidSocketPath" X [ 5.751] (II) NVIDIA(0): configuration option may not be set correctly. When the [ 5.751] (II) NVIDIA(0): ACPI event daemon is available, the NVIDIA X driver will [ 5.751] (II) NVIDIA(0): try to use it to receive ACPI event notifications. For [ 5.751] (II) NVIDIA(0): details, please see the "ConnectToAcpid" and [ 5.751] (II) NVIDIA(0): "AcpidSocketPath" X configuration options in Appendix B: X [ 5.751] (II) NVIDIA(0): Config Options in the README. [ 5.797] (II) NVIDIA(0): Setting mode "NULL" [ 5.807] (==) NVIDIA(0): Disabling shared memory pixmaps [ 5.807] (==) NVIDIA(0): Backing store enabled [ 5.807] (==) NVIDIA(0): Silken mouse disabled [ 5.807] (**) NVIDIA(0): DPMS enabled [ 5.807] (WW) NVIDIA(0): Option "NoLogo" is not used [ 5.807] (II) Loading sub module "dri2" [ 5.807] (II) LoadModule: "dri2" [ 5.807] (II) Module "dri2" already built-in [ 5.807] (II) NVIDIA(0): [DRI2] Setup complete [ 5.807] (II) NVIDIA(0): [DRI2] VDPAU driver: nvidia [ 5.808] (II) Initializing extension Generic Event Extension [ 5.808] (II) Initializing extension SHAPE [ 5.808] (II) Initializing extension MIT-SHM [ 5.808] (II) Initializing extension XInputExtension [ 5.808] (II) Initializing extension XTEST [ 5.808] (II) Initializing extension BIG-REQUESTS [ 5.808] (II) Initializing extension SYNC [ 5.808] (II) Initializing extension XKEYBOARD [ 5.808] (II) Initializing extension XC-MISC [ 5.809] (II) Initializing extension SECURITY [ 5.809] (II) Initializing extension XFIXES [ 5.809] (II) Initializing extension RENDER [ 5.809] (II) Initializing extension RANDR [ 5.809] (II) Initializing extension COMPOSITE [ 5.809] (II) Initializing extension DAMAGE [ 5.809] (II) Initializing extension MIT-SCREEN-SAVER [ 5.809] (II) Initializing extension DOUBLE-BUFFER [ 5.809] (II) Initializing extension RECORD [ 5.809] (II) Initializing extension DPMS [ 5.809] (II) Initializing extension Present [ 5.809] (II) Initializing extension DRI3 [ 5.809] (II) Initializing extension X-Resource [ 5.809] (II) Initializing extension XVideo [ 5.809] (II) Initializing extension XVideo-MotionCompensation [ 5.809] (II) Initializing extension GLX [ 5.809] (II) Initializing extension GLX [ 5.809] (II) Indirect GLX disabled. [ 5.809] (II) GLX: Another vendor is already registered for screen 0 [ 5.809] (II) Initializing extension XFree86-VidModeExtension [ 5.809] (II) Initializing extension XFree86-DGA [ 5.809] (II) Initializing extension XFree86-DRI [ 5.809] (II) Initializing extension DRI2 [ 5.810] (II) Initializing extension NV-GLX [ 5.810] (II) Initializing extension NV-CONTROL [ 5.852] (II) config/udev: Adding input device Power Button (/dev/input/event1) [ 5.852] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 5.852] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 5.852] (**) Power Button: Applying InputClass "system-keyboard" [ 5.852] (**) Power Button: Applying InputClass "Keyboard Defaults" [ 5.852] (II) LoadModule: "libinput" [ 5.852] (II) Loading /usr/lib/xorg/modules/input/libinput_drv.so [ 5.855] (II) Module libinput: vendor="X.Org Foundation" [ 5.855] compiled for 1.20.7, module version = 0.29.0 [ 5.855] Module class: X.Org XInput Driver [ 5.855] ABI class: X.Org XInput driver, version 24.1 [ 5.855] (II) Using input driver 'libinput' for 'Power Button' [ 5.855] (**) Power Button: always reports core events [ 5.855] (**) Option "Device" "/dev/input/event1" [ 5.855] (**) Option "_source" "server/udev" [ 5.859] (II) event1 - Power Button: is tagged by udev as: Keyboard [ 5.859] (II) event1 - Power Button: device is a keyboard [ 5.859] (II) event1 - Power Button: device removed [ 5.880] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input1/event1" [ 5.880] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) [ 5.880] (**) Option "xkb_model" "pc105" [ 5.880] (**) Option "xkb_layout" "be" [ 5.880] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 5.897] (II) event1 - Power Button: is tagged by udev as: Keyboard [ 5.897] (II) event1 - Power Button: device is a keyboard [ 5.897] (II) config/udev: Adding input device Power Button (/dev/input/event0) [ 5.897] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 5.897] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 5.897] (**) Power Button: Applying InputClass "system-keyboard" [ 5.897] (**) Power Button: Applying InputClass "Keyboard Defaults" [ 5.897] (II) Using input driver 'libinput' for 'Power Button' [ 5.897] (**) Power Button: always reports core events [ 5.897] (**) Option "Device" "/dev/input/event0" [ 5.897] (**) Option "_source" "server/udev" [ 5.898] (II) event0 - Power Button: is tagged by udev as: Keyboard [ 5.898] (II) event0 - Power Button: device is a keyboard [ 5.898] (II) event0 - Power Button: device removed [ 5.933] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input0/event0" [ 5.933] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 7) [ 5.933] (**) Option "xkb_model" "pc105" [ 5.933] (**) Option "xkb_layout" "be" [ 5.933] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 5.933] (II) event0 - Power Button: is tagged by udev as: Keyboard [ 5.933] (II) event0 - Power Button: device is a keyboard [ 5.934] (II) config/udev: Adding input device Logitech USB Laser Mouse (/dev/input/event6) [ 5.934] (**) Logitech USB Laser Mouse: Applying InputClass "evdev pointer catchall" [ 5.934] (**) Logitech USB Laser Mouse: Applying InputClass "libinput pointer catchall" [ 5.934] (II) Using input driver 'libinput' for 'Logitech USB Laser Mouse' [ 5.934] (**) Logitech USB Laser Mouse: always reports core events [ 5.934] (**) Option "Device" "/dev/input/event6" [ 5.934] (**) Option "_source" "server/udev" [ 5.990] (II) event6 - Logitech USB Laser Mouse: is tagged by udev as: Mouse [ 5.990] (II) event6 - Logitech USB Laser Mouse: device set to 1200 DPI [ 5.990] (II) event6 - Logitech USB Laser Mouse: device is a pointer [ 5.991] (II) event6 - Logitech USB Laser Mouse: device removed [ 6.053] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.2/1-3.2:1.0/0003:046D:C062.0004/input/input6/event6" [ 6.053] (II) XINPUT: Adding extended input device "Logitech USB Laser Mouse" (type: MOUSE, id 8) [ 6.053] (**) Option "AccelerationScheme" "none" [ 6.053] (**) Logitech USB Laser Mouse: (accel) selected scheme none/0 [ 6.053] (**) Logitech USB Laser Mouse: (accel) acceleration factor: 2.000 [ 6.053] (**) Logitech USB Laser Mouse: (accel) acceleration threshold: 4 [ 6.110] (II) event6 - Logitech USB Laser Mouse: is tagged by udev as: Mouse [ 6.110] (II) event6 - Logitech USB Laser Mouse: device set to 1200 DPI [ 6.110] (II) event6 - Logitech USB Laser Mouse: device is a pointer [ 6.111] (II) config/udev: Adding input device Logitech USB Laser Mouse (/dev/input/mouse1) [ 6.111] (II) No input driver specified, ignoring this device. [ 6.111] (II) This device may have been added with another device file. [ 6.111] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/event8) [ 6.111] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "evdev keyboard catchall" [ 6.111] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "libinput keyboard catchall" [ 6.111] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "system-keyboard" [ 6.111] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "Keyboard Defaults" [ 6.111] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse' [ 6.111] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: always reports core events [ 6.111] (**) Option "Device" "/dev/input/event8" [ 6.111] (**) Option "_source" "server/udev" [ 6.112] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Keyboard [ 6.112] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a keyboard [ 6.112] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 6.146] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.0/0003:17EF:6022.0005/input/input8/event8" [ 6.146] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse" (type: KEYBOARD, id 9) [ 6.146] (**) Option "xkb_model" "pc105" [ 6.146] (**) Option "xkb_layout" "be" [ 6.146] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6.147] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Keyboard [ 6.147] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a keyboard [ 6.148] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control (/dev/input/event10) [ 6.148] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6.148] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6.148] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "system-keyboard" [ 6.148] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "Keyboard Defaults" [ 6.148] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control' [ 6.148] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: always reports core events [ 6.148] (**) Option "Device" "/dev/input/event10" [ 6.148] (**) Option "_source" "server/udev" [ 6.149] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is tagged by udev as: Keyboard [ 6.149] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device is a keyboard [ 6.149] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device removed [ 6.173] (II) libinput: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: needs a virtual subdevice [ 6.173] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input10/event10" [ 6.173] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control" (type: MOUSE, id 10) [ 6.173] (**) Option "AccelerationScheme" "none" [ 6.173] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) selected scheme none/0 [ 6.173] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) acceleration factor: 2.000 [ 6.173] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: (accel) acceleration threshold: 4 [ 6.174] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is tagged by udev as: Keyboard [ 6.174] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device is a keyboard [ 6.175] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control (/dev/input/event11) [ 6.175] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "evdev keyboard catchall" [ 6.175] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "libinput keyboard catchall" [ 6.175] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "system-keyboard" [ 6.175] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: Applying InputClass "Keyboard Defaults" [ 6.175] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control' [ 6.175] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: always reports core events [ 6.175] (**) Option "Device" "/dev/input/event11" [ 6.175] (**) Option "_source" "server/udev" [ 6.175] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: is tagged by udev as: Keyboard [ 6.175] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device is a keyboard [ 6.176] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device removed [ 6.200] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input11/event11" [ 6.200] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control" (type: KEYBOARD, id 11) [ 6.200] (**) Option "xkb_model" "pc105" [ 6.200] (**) Option "xkb_layout" "be" [ 6.200] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6.201] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: is tagged by udev as: Keyboard [ 6.201] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device is a keyboard [ 6.201] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/event9) [ 6.201] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "evdev pointer catchall" [ 6.201] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: Applying InputClass "libinput pointer catchall" [ 6.201] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse' [ 6.201] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: always reports core events [ 6.201] (**) Option "Device" "/dev/input/event9" [ 6.201] (**) Option "_source" "server/udev" [ 6.202] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Mouse [ 6.202] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a pointer [ 6.202] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 6.253] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input9/event9" [ 6.253] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse" (type: MOUSE, id 12) [ 6.253] (**) Option "AccelerationScheme" "none" [ 6.253] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) selected scheme none/0 [ 6.253] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) acceleration factor: 2.000 [ 6.253] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse: (accel) acceleration threshold: 4 [ 6.255] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: is tagged by udev as: Mouse [ 6.255] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device is a pointer [ 6.255] (II) config/udev: Adding input device Lenovo Ultraslim Plus Wireless Keyboard & Mouse (/dev/input/mouse2) [ 6.255] (II) No input driver specified, ignoring this device. [ 6.255] (II) This device may have been added with another device file. [ 6.255] (II) config/udev: Adding input device 123 COM Smart Control (/dev/input/event2) [ 6.255] (**) 123 COM Smart Control: Applying InputClass "evdev keyboard catchall" [ 6.255] (**) 123 COM Smart Control: Applying InputClass "libinput keyboard catchall" [ 6.255] (**) 123 COM Smart Control: Applying InputClass "system-keyboard" [ 6.255] (**) 123 COM Smart Control: Applying InputClass "Keyboard Defaults" [ 6.256] (II) Using input driver 'libinput' for '123 COM Smart Control' [ 6.256] (**) 123 COM Smart Control: always reports core events [ 6.256] (**) Option "Device" "/dev/input/event2" [ 6.256] (**) Option "_source" "server/udev" [ 6.256] (II) event2 - 123 COM Smart Control: is tagged by udev as: Keyboard [ 6.256] (II) event2 - 123 COM Smart Control: device is a keyboard [ 6.256] (II) event2 - 123 COM Smart Control: device removed [ 6.293] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.0/0003:1915:1028.0001/input/input2/event2" [ 6.293] (II) XINPUT: Adding extended input device "123 COM Smart Control" (type: KEYBOARD, id 13) [ 6.293] (**) Option "xkb_model" "pc105" [ 6.293] (**) Option "xkb_layout" "be" [ 6.293] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6.294] (II) event2 - 123 COM Smart Control: is tagged by udev as: Keyboard [ 6.295] (II) event2 - 123 COM Smart Control: device is a keyboard [ 6.295] (II) config/udev: Adding input device 123 COM Smart Control Mouse (/dev/input/event3) [ 6.295] (**) 123 COM Smart Control Mouse: Applying InputClass "evdev pointer catchall" [ 6.295] (**) 123 COM Smart Control Mouse: Applying InputClass "libinput pointer catchall" [ 6.295] (II) Using input driver 'libinput' for '123 COM Smart Control Mouse' [ 6.295] (**) 123 COM Smart Control Mouse: always reports core events [ 6.295] (**) Option "Device" "/dev/input/event3" [ 6.295] (**) Option "_source" "server/udev" [ 6.296] (II) event3 - 123 COM Smart Control Mouse: is tagged by udev as: Mouse [ 6.296] (II) event3 - 123 COM Smart Control Mouse: device is a pointer [ 6.296] (II) event3 - 123 COM Smart Control Mouse: device removed [ 6.347] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input3/event3" [ 6.347] (II) XINPUT: Adding extended input device "123 COM Smart Control Mouse" (type: MOUSE, id 14) [ 6.347] (**) Option "AccelerationScheme" "none" [ 6.347] (**) 123 COM Smart Control Mouse: (accel) selected scheme none/0 [ 6.347] (**) 123 COM Smart Control Mouse: (accel) acceleration factor: 2.000 [ 6.347] (**) 123 COM Smart Control Mouse: (accel) acceleration threshold: 4 [ 6.348] (II) event3 - 123 COM Smart Control Mouse: is tagged by udev as: Mouse [ 6.348] (II) event3 - 123 COM Smart Control Mouse: device is a pointer [ 6.348] (II) config/udev: Adding input device 123 COM Smart Control Mouse (/dev/input/mouse0) [ 6.348] (II) No input driver specified, ignoring this device. [ 6.348] (II) This device may have been added with another device file. [ 6.349] (II) config/udev: Adding input device 123 COM Smart Control Consumer Control (/dev/input/event4) [ 6.349] (**) 123 COM Smart Control Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6.349] (**) 123 COM Smart Control Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6.349] (**) 123 COM Smart Control Consumer Control: Applying InputClass "system-keyboard" [ 6.349] (**) 123 COM Smart Control Consumer Control: Applying InputClass "Keyboard Defaults" [ 6.349] (II) Using input driver 'libinput' for '123 COM Smart Control Consumer Control' [ 6.349] (**) 123 COM Smart Control Consumer Control: always reports core events [ 6.349] (**) Option "Device" "/dev/input/event4" [ 6.349] (**) Option "_source" "server/udev" [ 6.350] (II) event4 - 123 COM Smart Control Consumer Control: is tagged by udev as: Keyboard [ 6.350] (II) event4 - 123 COM Smart Control Consumer Control: device is a keyboard [ 6.350] (II) event4 - 123 COM Smart Control Consumer Control: device removed [ 6.386] (II) libinput: 123 COM Smart Control Consumer Control: needs a virtual subdevice [ 6.386] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input4/event4" [ 6.386] (II) XINPUT: Adding extended input device "123 COM Smart Control Consumer Control" (type: MOUSE, id 15) [ 6.386] (**) Option "AccelerationScheme" "none" [ 6.386] (**) 123 COM Smart Control Consumer Control: (accel) selected scheme none/0 [ 6.386] (**) 123 COM Smart Control Consumer Control: (accel) acceleration factor: 2.000 [ 6.386] (**) 123 COM Smart Control Consumer Control: (accel) acceleration threshold: 4 [ 6.387] (II) event4 - 123 COM Smart Control Consumer Control: is tagged by udev as: Keyboard [ 6.387] (II) event4 - 123 COM Smart Control Consumer Control: device is a keyboard [ 6.388] (II) config/udev: Adding input device 123 COM Smart Control System Control (/dev/input/event5) [ 6.388] (**) 123 COM Smart Control System Control: Applying InputClass "evdev keyboard catchall" [ 6.388] (**) 123 COM Smart Control System Control: Applying InputClass "libinput keyboard catchall" [ 6.388] (**) 123 COM Smart Control System Control: Applying InputClass "system-keyboard" [ 6.388] (**) 123 COM Smart Control System Control: Applying InputClass "Keyboard Defaults" [ 6.388] (II) Using input driver 'libinput' for '123 COM Smart Control System Control' [ 6.388] (**) 123 COM Smart Control System Control: always reports core events [ 6.388] (**) Option "Device" "/dev/input/event5" [ 6.388] (**) Option "_source" "server/udev" [ 6.389] (II) event5 - 123 COM Smart Control System Control: is tagged by udev as: Keyboard [ 6.389] (II) event5 - 123 COM Smart Control System Control: device is a keyboard [ 6.389] (II) event5 - 123 COM Smart Control System Control: device removed [ 6.413] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input5/event5" [ 6.413] (II) XINPUT: Adding extended input device "123 COM Smart Control System Control" (type: KEYBOARD, id 16) [ 6.413] (**) Option "xkb_model" "pc105" [ 6.413] (**) Option "xkb_layout" "be" [ 6.413] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6.414] (II) event5 - 123 COM Smart Control System Control: is tagged by udev as: Keyboard [ 6.414] (II) event5 - 123 COM Smart Control System Control: device is a keyboard [ 6.414] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=3 (/dev/input/event21) [ 6.414] (II) No input driver specified, ignoring this device. [ 6.414] (II) This device may have been added with another device file. [ 6.415] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=7 (/dev/input/event22) [ 6.415] (II) No input driver specified, ignoring this device. [ 6.415] (II) This device may have been added with another device file. [ 6.415] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=8 (/dev/input/event23) [ 6.415] (II) No input driver specified, ignoring this device. [ 6.415] (II) This device may have been added with another device file. [ 6.415] (II) config/udev: Adding input device HDA NVidia HDMI/DP,pcm=9 (/dev/input/event24) [ 6.415] (II) No input driver specified, ignoring this device. [ 6.415] (II) This device may have been added with another device file. [ 6.415] (II) config/udev: Adding input device HDA Digital PCBeep (/dev/input/event13) [ 6.415] (II) No input driver specified, ignoring this device. [ 6.415] (II) This device may have been added with another device file. [ 6.415] (II) config/udev: Adding input device HD-Audio Generic Front Mic (/dev/input/event14) [ 6.415] (II) No input driver specified, ignoring this device. [ 6.415] (II) This device may have been added with another device file. [ 6.415] (II) config/udev: Adding input device HD-Audio Generic Rear Mic (/dev/input/event15) [ 6.415] (II) No input driver specified, ignoring this device. [ 6.415] (II) This device may have been added with another device file. [ 6.415] (II) config/udev: Adding input device HD-Audio Generic Line (/dev/input/event16) [ 6.415] (II) No input driver specified, ignoring this device. [ 6.415] (II) This device may have been added with another device file. [ 6.416] (II) config/udev: Adding input device HD-Audio Generic Line Out Front (/dev/input/event17) [ 6.416] (II) No input driver specified, ignoring this device. [ 6.416] (II) This device may have been added with another device file. [ 6.416] (II) config/udev: Adding input device HD-Audio Generic Line Out Surround (/dev/input/event18) [ 6.416] (II) No input driver specified, ignoring this device. [ 6.416] (II) This device may have been added with another device file. [ 6.416] (II) config/udev: Adding input device HD-Audio Generic Line Out CLFE (/dev/input/event19) [ 6.416] (II) No input driver specified, ignoring this device. [ 6.416] (II) This device may have been added with another device file. [ 6.416] (II) config/udev: Adding input device HD-Audio Generic Front Headphone (/dev/input/event20) [ 6.416] (II) No input driver specified, ignoring this device. [ 6.416] (II) This device may have been added with another device file. [ 6.416] (II) config/udev: Adding input device Eee PC WMI hotkeys (/dev/input/event12) [ 6.416] (**) Eee PC WMI hotkeys: Applying InputClass "evdev keyboard catchall" [ 6.416] (**) Eee PC WMI hotkeys: Applying InputClass "libinput keyboard catchall" [ 6.416] (**) Eee PC WMI hotkeys: Applying InputClass "system-keyboard" [ 6.416] (**) Eee PC WMI hotkeys: Applying InputClass "Keyboard Defaults" [ 6.416] (II) Using input driver 'libinput' for 'Eee PC WMI hotkeys' [ 6.416] (**) Eee PC WMI hotkeys: always reports core events [ 6.416] (**) Option "Device" "/dev/input/event12" [ 6.416] (**) Option "_source" "server/udev" [ 6.417] (II) event12 - Eee PC WMI hotkeys: is tagged by udev as: Keyboard [ 6.417] (II) event12 - Eee PC WMI hotkeys: device is a keyboard [ 6.417] (II) event12 - Eee PC WMI hotkeys: device removed [ 6.480] (**) Option "config_info" "udev:/sys/devices/platform/eeepc-wmi/input/input12/event12" [ 6.480] (II) XINPUT: Adding extended input device "Eee PC WMI hotkeys" (type: KEYBOARD, id 17) [ 6.480] (**) Option "xkb_model" "pc105" [ 6.480] (**) Option "xkb_layout" "be" [ 6.480] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6.480] (II) event12 - Eee PC WMI hotkeys: is tagged by udev as: Keyboard [ 6.481] (II) event12 - Eee PC WMI hotkeys: device is a keyboard [ 6.481] (II) config/udev: Adding input device PC Speaker (/dev/input/event7) [ 6.481] (II) No input driver specified, ignoring this device. [ 6.481] (II) This device may have been added with another device file. [ 6.485] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6.485] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6.485] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "system-keyboard" [ 6.485] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: Applying InputClass "Keyboard Defaults" [ 6.485] (II) Using input driver 'libinput' for 'Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control' [ 6.485] (**) Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: always reports core events [ 6.485] (**) Option "Device" "/dev/input/event10" [ 6.485] (**) Option "_source" "_driver/libinput" [ 6.485] (II) libinput: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: is a virtual subdevice [ 6.485] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input10/event10" [ 6.485] (II) XINPUT: Adding extended input device "Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control" (type: KEYBOARD, id 18) [ 6.485] (**) Option "xkb_model" "pc105" [ 6.485] (**) Option "xkb_layout" "be" [ 6.485] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6.485] (**) 123 COM Smart Control Consumer Control: Applying InputClass "evdev keyboard catchall" [ 6.485] (**) 123 COM Smart Control Consumer Control: Applying InputClass "libinput keyboard catchall" [ 6.485] (**) 123 COM Smart Control Consumer Control: Applying InputClass "system-keyboard" [ 6.485] (**) 123 COM Smart Control Consumer Control: Applying InputClass "Keyboard Defaults" [ 6.485] (II) Using input driver 'libinput' for '123 COM Smart Control Consumer Control' [ 6.485] (**) 123 COM Smart Control Consumer Control: always reports core events [ 6.485] (**) Option "Device" "/dev/input/event4" [ 6.485] (**) Option "_source" "_driver/libinput" [ 6.485] (II) libinput: 123 COM Smart Control Consumer Control: is a virtual subdevice [ 6.485] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input4/event4" [ 6.485] (II) XINPUT: Adding extended input device "123 COM Smart Control Consumer Control" (type: KEYBOARD, id 19) [ 6.485] (**) Option "xkb_model" "pc105" [ 6.485] (**) Option "xkb_layout" "be" [ 6.485] (**) Option "xkb_options" "terminate:ctrl_alt_bksp" [ 6.488] (EE) Failed to open authorization file "/var/run/sddm/{bd7f0c6c-2f86-4b75-a859-6ec220899ecb}": No such file or directory [ 7.536] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 7.536] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 7.536] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 7.536] (--) NVIDIA(GPU-0): [ 7.548] (--) NVIDIA(GPU-0): DFP-0: disconnected [ 7.548] (--) NVIDIA(GPU-0): DFP-0: Internal DisplayPort [ 7.548] (--) NVIDIA(GPU-0): DFP-0: 2660.0 MHz maximum pixel clock [ 7.548] (--) NVIDIA(GPU-0): [ 7.548] (--) NVIDIA(GPU-0): DFP-1: disconnected [ 7.548] (--) NVIDIA(GPU-0): DFP-1: Internal TMDS [ 7.548] (--) NVIDIA(GPU-0): DFP-1: 165.0 MHz maximum pixel clock [ 7.548] (--) NVIDIA(GPU-0): [ 7.548] (--) NVIDIA(GPU-0): DFP-2: disconnected [ 7.548] (--) NVIDIA(GPU-0): DFP-2: Internal DisplayPort [ 7.548] (--) NVIDIA(GPU-0): DFP-2: 2660.0 MHz maximum pixel clock [ 7.548] (--) NVIDIA(GPU-0): [ 7.548] (--) NVIDIA(GPU-0): DFP-3: disconnected [ 7.548] (--) NVIDIA(GPU-0): DFP-3: Internal TMDS [ 7.548] (--) NVIDIA(GPU-0): DFP-3: 165.0 MHz maximum pixel clock [ 7.548] (--) NVIDIA(GPU-0): [ 7.548] (--) NVIDIA(GPU-0): DFP-4: disconnected [ 7.548] (--) NVIDIA(GPU-0): DFP-4: Internal TMDS [ 7.548] (--) NVIDIA(GPU-0): DFP-4: 165.0 MHz maximum pixel clock [ 7.548] (--) NVIDIA(GPU-0): [ 7.548] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 7.548] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 7.548] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 7.548] (--) NVIDIA(GPU-0): [ 7.549] (--) NVIDIA(GPU-0): DFP-6: disconnected [ 7.549] (--) NVIDIA(GPU-0): DFP-6: Internal TMDS [ 7.549] (--) NVIDIA(GPU-0): DFP-6: 165.0 MHz maximum pixel clock [ 7.549] (--) NVIDIA(GPU-0): [ 7.950] (--) NVIDIA(GPU-0): DFP-0: disconnected [ 7.950] (--) NVIDIA(GPU-0): DFP-0: Internal DisplayPort [ 7.950] (--) NVIDIA(GPU-0): DFP-0: 2660.0 MHz maximum pixel clock [ 7.950] (--) NVIDIA(GPU-0): [ 7.950] (--) NVIDIA(GPU-0): DFP-1: disconnected [ 7.950] (--) NVIDIA(GPU-0): DFP-1: Internal TMDS [ 7.950] (--) NVIDIA(GPU-0): DFP-1: 165.0 MHz maximum pixel clock [ 7.950] (--) NVIDIA(GPU-0): [ 7.950] (--) NVIDIA(GPU-0): DFP-2: disconnected [ 7.950] (--) NVIDIA(GPU-0): DFP-2: Internal DisplayPort [ 7.950] (--) NVIDIA(GPU-0): DFP-2: 2660.0 MHz maximum pixel clock [ 7.950] (--) NVIDIA(GPU-0): [ 7.950] (--) NVIDIA(GPU-0): DFP-3: disconnected [ 7.950] (--) NVIDIA(GPU-0): DFP-3: Internal TMDS [ 7.950] (--) NVIDIA(GPU-0): DFP-3: 165.0 MHz maximum pixel clock [ 7.950] (--) NVIDIA(GPU-0): [ 7.950] (--) NVIDIA(GPU-0): DFP-4: disconnected [ 7.950] (--) NVIDIA(GPU-0): DFP-4: Internal TMDS [ 7.950] (--) NVIDIA(GPU-0): DFP-4: 165.0 MHz maximum pixel clock [ 7.950] (--) NVIDIA(GPU-0): [ 7.950] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): connected [ 7.950] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): Internal DisplayPort [ 7.950] (--) NVIDIA(GPU-0): DELL U2518D (DFP-5): 2660.0 MHz maximum pixel clock [ 7.950] (--) NVIDIA(GPU-0): [ 7.951] (--) NVIDIA(GPU-0): DFP-6: disconnected [ 7.951] (--) NVIDIA(GPU-0): DFP-6: Internal TMDS [ 7.951] (--) NVIDIA(GPU-0): DFP-6: 165.0 MHz maximum pixel clock [ 7.951] (--) NVIDIA(GPU-0): [ 8.411] (II) NVIDIA(0): Setting mode "DP-4: nvidia-auto-select @2560x1440 +0+0 {AllowGSYNC=Off, ViewPortIn=2560x1440, ViewPortOut=2560x1440+0+0}" [ 96.117] (II) event1 - Power Button: device removed [ 96.160] (II) event0 - Power Button: device removed [ 96.186] (II) event6 - Logitech USB Laser Mouse: device removed [ 96.253] (II) event8 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 96.280] (II) event11 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control: device removed [ 96.313] (II) event9 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse: device removed [ 96.366] (II) event2 - 123 COM Smart Control: device removed [ 96.396] (II) event3 - 123 COM Smart Control Mouse: device removed [ 96.453] (II) event5 - 123 COM Smart Control System Control: device removed [ 96.480] (II) event12 - Eee PC WMI hotkeys: device removed [ 96.533] (II) event10 - Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control: device removed [ 96.560] (II) event4 - 123 COM Smart Control Consumer Control: device removed [ 96.587] (II) UnloadModule: "libinput" [ 96.587] (II) UnloadModule: "libinput" [ 96.587] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.588] (II) UnloadModule: "libinput" [ 96.781] (II) NVIDIA(GPU-0): Deleting GPU-0 [ 96.782] (II) Server terminated successfully (0). Closing log file. ____________________________________________ *** /var/log/Xorg.1.log *** ls: -rw-r--r-- 1 root root 7304 2020-04-16 21:07:49.715323099 +0200 /var/log/Xorg.1.log [ 4569.565] _XSERVTransSocketUNIXCreateListener: ...SocketCreateListener() failed [ 4569.565] _XSERVTransMakeAllCOTSServerListeners: server already running [ 4569.565] (--) Log file renamed from "/var/log/Xorg.pid-9641.log" to "/var/log/Xorg.1.log" [ 4569.565] X.Org X Server 1.20.8 X Protocol Version 11, Revision 0 [ 4569.565] Build Operating System: Linux Manjaro Linux [ 4569.565] Current Operating System: Linux desktop 5.4.31-1-MANJARO #1 SMP PREEMPT Wed Apr 8 10:25:32 UTC 2020 x86_64 [ 4569.565] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-5.4-x86_64 root=UUID=516a50e0-c8c8-4dc1-a9a4-df5d24937bd6 rw loglevel=3 quiet [ 4569.565] Build Date: 30 March 2020 06:33:12AM [ 4569.565] [ 4569.565] Current version of pixman: 0.38.4 [ 4569.565] Before reporting problems, check http://wiki.x.org to make sure that you have the latest version. [ 4569.565] Markers: (--) probed, (**) from config file, (==) default setting, (++) from command line, (!!) notice, (II) informational, (WW) warning, (EE) error, (NI) not implemented, (??) unknown. [ 4569.565] (==) Log file: "/var/log/Xorg.1.log", Time: Thu Apr 16 21:07:28 2020 [ 4569.566] (==) Using config directory: "/etc/X11/xorg.conf.d" [ 4569.566] (==) Using system config directory "/usr/share/X11/xorg.conf.d" [ 4569.566] (==) ServerLayout "layout" [ 4569.566] (**) |-->Screen "Screen0" (0) [ 4569.566] (**) | |-->Monitor "Monitor0" [ 4569.566] (**) | |-->Device "Device0" [ 4569.566] (==) Automatically adding devices [ 4569.566] (==) Automatically enabling devices [ 4569.566] (==) Automatically adding GPU devices [ 4569.566] (==) Automatically binding GPU devices [ 4569.566] (==) Max clients allowed: 256, resource mask: 0x1fffff [ 4569.566] (WW) The directory "/usr/share/fonts/OTF" does not exist. [ 4569.566] Entry deleted from font path. [ 4569.566] (WW) The directory "/usr/share/fonts/Type1" does not exist. [ 4569.566] Entry deleted from font path. [ 4569.566] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/100dpi". [ 4569.566] Entry deleted from font path. [ 4569.566] (Run 'mkfontdir' on "/usr/share/fonts/100dpi"). [ 4569.566] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/75dpi". [ 4569.566] Entry deleted from font path. [ 4569.566] (Run 'mkfontdir' on "/usr/share/fonts/75dpi"). [ 4569.566] (==) FontPath set to: /usr/share/fonts/misc, /usr/share/fonts/TTF [ 4569.566] (==) ModulePath set to "/usr/lib/xorg/modules" [ 4569.566] (**) Extension "COMPOSITE" is enabled [ 4569.566] (II) The server relies on udev to provide the list of input devices. If no devices become available, reconfigure udev or disable AutoAddDevices. [ 4569.566] (II) Module ABI versions: [ 4569.566] X.Org ANSI C Emulation: 0.4 [ 4569.566] X.Org Video Driver: 24.1 [ 4569.566] X.Org XInput driver : 24.1 [ 4569.566] X.Org Server Extension : 10.0 [ 4569.566] (++) using VT number 1 [ 4569.566] (II) systemd-logind: logind integration requires -keeptty and -keeptty was not provided, disabling logind integration [ 4569.567] (II) xfree86: Adding drm device (/dev/dri/card0) [ 4569.569] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 4569.569] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 4569.571] (--) PCI:*(7@0:0:0) 10de:21c4:1462:c75a rev 161, Mem @ 0xf6000000/16777216, 0xe0000000/268435456, 0xf0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288 [ 4569.571] (WW) Open ACPI failed (/var/run/acpid.socket) (No such file or directory) [ 4569.571] (II) LoadModule: "glx" [ 4569.571] (II) Loading /usr/lib/xorg/modules/extensions/libglx.so [ 4569.572] (II) Module glx: vendor="X.Org Foundation" [ 4569.572] compiled for 1.20.8, module version = 1.0.0 [ 4569.572] ABI class: X.Org Server Extension, version 10.0 [ 4569.572] (II) LoadModule: "nvidia" [ 4569.572] (II) Loading /usr/lib/xorg/modules/drivers/nvidia_drv.so [ 4569.572] (II) Module nvidia: vendor="NVIDIA Corporation" [ 4569.572] compiled for 1.6.99.901, module version = 1.0.0 [ 4569.572] Module class: X.Org Video Driver [ 4569.572] (II) NVIDIA dlloader X Driver 440.82 Wed Apr 1 19:50:17 UTC 2020 [ 4569.572] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs [ 4569.572] (II) Loading sub module "fb" [ 4569.572] (II) LoadModule: "fb" [ 4569.572] (II) Loading /usr/lib/xorg/modules/libfb.so [ 4569.573] (II) Module fb: vendor="X.Org Foundation" [ 4569.573] compiled for 1.20.8, module version = 1.0.0 [ 4569.573] ABI class: X.Org ANSI C Emulation, version 0.4 [ 4569.573] (II) Loading sub module "wfb" [ 4569.573] (II) LoadModule: "wfb" [ 4569.573] (II) Loading /usr/lib/xorg/modules/libwfb.so [ 4569.573] (II) Module wfb: vendor="X.Org Foundation" [ 4569.573] compiled for 1.20.8, module version = 1.0.0 [ 4569.573] ABI class: X.Org ANSI C Emulation, version 0.4 [ 4569.573] (II) Loading sub module "ramdac" [ 4569.573] (II) LoadModule: "ramdac" [ 4569.573] (II) Module "ramdac" already built-in [ 4570.839] (**) NVIDIA(0): Depth 24, (--) framebuffer bpp 32 [ 4570.839] (==) NVIDIA(0): RGB weight 888 [ 4570.839] (==) NVIDIA(0): Default visual is TrueColor [ 4570.839] (==) NVIDIA(0): Using gamma correction (1.0, 1.0, 1.0) [ 4570.839] (**) Option "AllowNVIDIAGpuScreens" [ 4570.839] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 4570.839] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 4570.839] (**) NVIDIA(0): Option "TripleBuffer" "On" [ 4570.839] (**) NVIDIA(0): Option "AllowEmptyInitialConfiguration" [ 4570.839] (**) NVIDIA(0): Enabling 2D acceleration [ 4570.839] (II) Loading sub module "glxserver_nvidia" [ 4570.839] (II) LoadModule: "glxserver_nvidia" [ 4570.839] (II) Loading /usr/lib/xorg/modules/extensions/libglxserver_nvidia.so [ 4570.843] (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" [ 4570.843] compiled for 1.6.99.901, module version = 1.0.0 [ 4570.843] Module class: X.Org Server Extension [ 4570.843] (II) NVIDIA GLX Module 440.82 Wed Apr 1 19:47:36 UTC 2020 [ 4570.843] (II) NVIDIA: The X server supports PRIME Render Offload. [ 4574.933] (EE) NVIDIA(GPU-0): Failed to select a display subsystem. [ 4590.993] (EE) NVIDIA(0): Failing initialization of X screen [ 4590.993] (II) UnloadModule: "nvidia" [ 4590.993] (II) UnloadSubModule: "glxserver_nvidia" [ 4590.993] (II) Unloading glxserver_nvidia [ 4590.993] (II) UnloadSubModule: "wfb" [ 4590.993] (II) UnloadSubModule: "fb" [ 4590.993] (EE) Screen(s) found, but none have a usable configuration. [ 4590.993] (EE) Fatal server error: [ 4590.993] (EE) no screens found(EE) [ 4590.993] (EE) Please consult the The X.Org Foundation support at http://wiki.x.org for help. [ 4590.993] (EE) Please also check the log file at "/var/log/Xorg.1.log" for additional information. [ 4590.993] (EE) [ 4590.993] (WW) xf86CloseConsole: KDSETMODE failed: Input/output error [ 4590.993] (WW) xf86CloseConsole: VT_GETMODE failed: Input/output error [ 4590.993] (WW) xf86CloseConsole: VT_ACTIVATE failed: Input/output error [ 4590.993] (EE) Server terminated with error (1). Closing log file. ____________________________________________ *** /var/log/Xorg.1.log.old *** ls: -rw-r--r-- 1 root root 6919 2020-03-19 22:23:43.277120177 +0100 /var/log/Xorg.1.log.old [ 1671.339] _XSERVTransSocketUNIXCreateListener: ...SocketCreateListener() failed [ 1671.339] _XSERVTransMakeAllCOTSServerListeners: server already running [ 1671.339] (--) Log file renamed from "/var/log/Xorg.pid-9821.log" to "/var/log/Xorg.1.log" [ 1671.339] X.Org X Server 1.20.7 X Protocol Version 11, Revision 0 [ 1671.339] Build Operating System: Linux Manjaro Linux [ 1671.339] Current Operating System: Linux desktop 5.4.24-1-MANJARO #1 SMP PREEMPT Thu Mar 5 20:29:25 UTC 2020 x86_64 [ 1671.339] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-5.4-x86_64 root=UUID=516a50e0-c8c8-4dc1-a9a4-df5d24937bd6 rw quiet apparmor=1 security=apparmor udev.log_priority=3 [ 1671.340] Build Date: 14 January 2020 01:27:33PM [ 1671.340] [ 1671.340] Current version of pixman: 0.38.4 [ 1671.340] Before reporting problems, check http://wiki.x.org to make sure that you have the latest version. [ 1671.340] Markers: (--) probed, (**) from config file, (==) default setting, (++) from command line, (!!) notice, (II) informational, (WW) warning, (EE) error, (NI) not implemented, (??) unknown. [ 1671.340] (==) Log file: "/var/log/Xorg.1.log", Time: Thu Mar 19 22:23:19 2020 [ 1671.340] (==) Using config directory: "/etc/X11/xorg.conf.d" [ 1671.340] (==) Using system config directory "/usr/share/X11/xorg.conf.d" [ 1671.340] (==) ServerLayout "layout" [ 1671.340] (**) |-->Screen "Screen0" (0) [ 1671.340] (**) | |-->Monitor "Monitor0" [ 1671.340] (**) | |-->Device "Device0" [ 1671.340] (==) Automatically adding devices [ 1671.340] (==) Automatically enabling devices [ 1671.340] (==) Automatically adding GPU devices [ 1671.340] (==) Automatically binding GPU devices [ 1671.340] (==) Max clients allowed: 256, resource mask: 0x1fffff [ 1671.340] (WW) The directory "/usr/share/fonts/OTF" does not exist. [ 1671.340] Entry deleted from font path. [ 1671.340] (WW) The directory "/usr/share/fonts/Type1" does not exist. [ 1671.340] Entry deleted from font path. [ 1671.340] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/100dpi". [ 1671.340] Entry deleted from font path. [ 1671.340] (Run 'mkfontdir' on "/usr/share/fonts/100dpi"). [ 1671.340] (WW) `fonts.dir' not found (or not valid) in "/usr/share/fonts/75dpi". [ 1671.340] Entry deleted from font path. [ 1671.340] (Run 'mkfontdir' on "/usr/share/fonts/75dpi"). [ 1671.340] (==) FontPath set to: /usr/share/fonts/misc, /usr/share/fonts/TTF [ 1671.340] (==) ModulePath set to "/usr/lib/xorg/modules" [ 1671.340] (**) Extension "COMPOSITE" is enabled [ 1671.340] (II) The server relies on udev to provide the list of input devices. If no devices become available, reconfigure udev or disable AutoAddDevices. [ 1671.340] (II) Module ABI versions: [ 1671.340] X.Org ANSI C Emulation: 0.4 [ 1671.340] X.Org Video Driver: 24.1 [ 1671.340] X.Org XInput driver : 24.1 [ 1671.340] X.Org Server Extension : 10.0 [ 1671.341] (++) using VT number 1 [ 1671.341] (II) systemd-logind: logind integration requires -keeptty and -keeptty was not provided, disabling logind integration [ 1671.341] (II) xfree86: Adding drm device (/dev/dri/card0) [ 1671.343] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 1671.343] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules" [ 1671.345] (--) PCI:*(7@0:0:0) 10de:21c4:1462:c75a rev 161, Mem @ 0xf6000000/16777216, 0xe0000000/268435456, 0xf0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288 [ 1671.345] (WW) Open ACPI failed (/var/run/acpid.socket) (No such file or directory) [ 1671.345] (II) LoadModule: "glx" [ 1671.345] (II) Loading /usr/lib/xorg/modules/extensions/libglx.so [ 1671.346] (II) Module glx: vendor="X.Org Foundation" [ 1671.346] compiled for 1.20.7, module version = 1.0.0 [ 1671.346] ABI class: X.Org Server Extension, version 10.0 [ 1671.346] (II) LoadModule: "nvidia" [ 1671.346] (II) Loading /usr/lib/xorg/modules/drivers/nvidia_drv.so [ 1671.346] (II) Module nvidia: vendor="NVIDIA Corporation" [ 1671.346] compiled for 1.6.99.901, module version = 1.0.0 [ 1671.347] Module class: X.Org Video Driver [ 1671.347] (II) NVIDIA dlloader X Driver 440.64 Fri Feb 21 00:49:33 UTC 2020 [ 1671.347] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs [ 1671.347] (II) Loading sub module "fb" [ 1671.347] (II) LoadModule: "fb" [ 1671.347] (II) Loading /usr/lib/xorg/modules/libfb.so [ 1671.347] (II) Module fb: vendor="X.Org Foundation" [ 1671.347] compiled for 1.20.7, module version = 1.0.0 [ 1671.347] ABI class: X.Org ANSI C Emulation, version 0.4 [ 1671.347] (II) Loading sub module "wfb" [ 1671.347] (II) LoadModule: "wfb" [ 1671.347] (II) Loading /usr/lib/xorg/modules/libwfb.so [ 1671.347] (II) Module wfb: vendor="X.Org Foundation" [ 1671.347] compiled for 1.20.7, module version = 1.0.0 [ 1671.347] ABI class: X.Org ANSI C Emulation, version 0.4 [ 1671.347] (II) Loading sub module "ramdac" [ 1671.347] (II) LoadModule: "ramdac" [ 1671.347] (II) Module "ramdac" already built-in [ 1674.613] (**) NVIDIA(0): Depth 24, (--) framebuffer bpp 32 [ 1674.613] (==) NVIDIA(0): RGB weight 888 [ 1674.613] (==) NVIDIA(0): Default visual is TrueColor [ 1674.613] (==) NVIDIA(0): Using gamma correction (1.0, 1.0, 1.0) [ 1674.613] (**) Option "AllowNVIDIAGpuScreens" [ 1674.613] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 1674.613] (II) Applying OutputClass "nvidia" options to /dev/dri/card0 [ 1674.613] (**) NVIDIA(0): Option "AllowEmptyInitialConfiguration" [ 1674.613] (**) NVIDIA(0): Enabling 2D acceleration [ 1674.613] (II) Loading sub module "glxserver_nvidia" [ 1674.613] (II) LoadModule: "glxserver_nvidia" [ 1674.613] (II) Loading /usr/lib/xorg/modules/extensions/libglxserver_nvidia.so [ 1674.617] (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" [ 1674.617] compiled for 1.6.99.901, module version = 1.0.0 [ 1674.617] Module class: X.Org Server Extension [ 1674.617] (II) NVIDIA GLX Module 440.64 Fri Feb 21 00:46:14 UTC 2020 [ 1674.617] (II) NVIDIA: The X server supports PRIME Render Offload. [ 1678.618] (--) NVIDIA(0): Valid display device(s) on GPU-0 at PCI:7:0:0 [ 1678.618] (--) NVIDIA(0): DFP-0 [ 1678.618] (--) NVIDIA(0): DFP-1 [ 1678.618] (--) NVIDIA(0): DFP-2 [ 1678.618] (--) NVIDIA(0): DFP-3 [ 1678.618] (--) NVIDIA(0): DFP-4 (boot) [ 1678.618] (--) NVIDIA(0): DFP-5 [ 1678.618] (--) NVIDIA(0): DFP-6 [ 1694.636] (II) NVIDIA(0): NVIDIA GPU GeForce GTX 1660 SUPER (TU116-B) at PCI:7:0:0 [ 1694.636] (II) NVIDIA(0): (GPU-0) [ 1694.636] (--) NVIDIA(0): Memory: 6291456 kBytes [ 1694.636] (--) NVIDIA(0): VideoBIOS: 90.16.48.00.2e [ 1694.636] (II) NVIDIA(0): Detected PCI Express Link width: 16X ____________________________________________ *** /home/laurent/.nvidia-settings-rc *** ls: -rw-r--r-- 1 laurent laurent 2758 2020-04-18 11:26:36.724911767 +0200 /home/laurent/.nvidia-settings-rc # # /home/laurent/.nvidia-settings-rc # # Configuration file for nvidia-settings - the NVIDIA X Server Settings utility # Generated on Sat Apr 18 11:26:36 2020 # # ConfigProperties: RcFileLocale = C DisplayStatusBar = Yes SliderTextEntries = Yes IncludeDisplayNameInConfigFile = Yes ShowQuitDialog = No UpdateRulesOnProfileNameChange = Yes Timer = Memory_Used_(GPU_0),Yes,3000 Timer = Thermal_Monitor_(GPU_0),Yes,1000 Timer = PowerMizer_Monitor_(GPU_0),Yes,1000 # Attributes: desktop:0.0/SyncToVBlank=1 desktop:0.0/LogAniso=0 desktop:0.0/FSAA=0 desktop:0.0/TextureClamping=1 desktop:0.0/FXAA=0 desktop:0.0/AllowFlipping=1 desktop:0.0/FSAAAppControlled=1 desktop:0.0/LogAnisoAppControlled=1 desktop:0.0/OpenGLImageSettings=1 desktop:0.0/FSAAAppEnhanced=0 desktop:0.0/ShowGraphicsVisualIndicator=0 desktop:0[DPY:DP-0]/Dithering=0 desktop:0[DPY:DP-0]/DitheringMode=0 desktop:0[DPY:DP-0]/DitheringDepth=0 desktop:0[DPY:DP-0]/ColorSpace=0 desktop:0[DPY:DP-0]/ColorRange=0 desktop:0[DPY:DP-0]/SynchronousPaletteUpdates=0 desktop:0[DPY:DP-1]/Dithering=0 desktop:0[DPY:DP-1]/DitheringMode=0 desktop:0[DPY:DP-1]/DitheringDepth=0 desktop:0[DPY:DP-1]/ColorSpace=0 desktop:0[DPY:DP-1]/ColorRange=0 desktop:0[DPY:DP-1]/SynchronousPaletteUpdates=0 desktop:0[DPY:DP-2]/Dithering=0 desktop:0[DPY:DP-2]/DitheringMode=0 desktop:0[DPY:DP-2]/DitheringDepth=0 desktop:0[DPY:DP-2]/ColorSpace=0 desktop:0[DPY:DP-2]/ColorRange=0 desktop:0[DPY:DP-2]/SynchronousPaletteUpdates=0 desktop:0[DPY:DP-3]/Dithering=0 desktop:0[DPY:DP-3]/DitheringMode=0 desktop:0[DPY:DP-3]/DitheringDepth=0 desktop:0[DPY:DP-3]/ColorSpace=0 desktop:0[DPY:DP-3]/ColorRange=0 desktop:0[DPY:DP-3]/SynchronousPaletteUpdates=0 desktop:0[DPY:HDMI-0]/Dithering=0 desktop:0[DPY:HDMI-0]/DitheringMode=0 desktop:0[DPY:HDMI-0]/DitheringDepth=0 desktop:0[DPY:HDMI-0]/ColorSpace=0 desktop:0[DPY:HDMI-0]/ColorRange=0 desktop:0[DPY:HDMI-0]/SynchronousPaletteUpdates=0 desktop:0[DPY:DP-4]/RedBrightness=0.000000 desktop:0[DPY:DP-4]/GreenBrightness=0.000000 desktop:0[DPY:DP-4]/BlueBrightness=0.000000 desktop:0[DPY:DP-4]/RedContrast=0.000000 desktop:0[DPY:DP-4]/GreenContrast=0.000000 desktop:0[DPY:DP-4]/BlueContrast=0.000000 desktop:0[DPY:DP-4]/RedGamma=1.000000 desktop:0[DPY:DP-4]/GreenGamma=1.000000 desktop:0[DPY:DP-4]/BlueGamma=1.000000 desktop:0[DPY:DP-4]/Dithering=0 desktop:0[DPY:DP-4]/DitheringMode=0 desktop:0[DPY:DP-4]/DitheringDepth=0 desktop:0[DPY:DP-4]/DigitalVibrance=0 desktop:0[DPY:DP-4]/ColorSpace=0 desktop:0[DPY:DP-4]/ColorRange=0 desktop:0[DPY:DP-4]/SynchronousPaletteUpdates=0 desktop:0[DPY:DP-5]/Dithering=0 desktop:0[DPY:DP-5]/DitheringMode=0 desktop:0[DPY:DP-5]/DitheringDepth=0 desktop:0[DPY:DP-5]/ColorSpace=0 desktop:0[DPY:DP-5]/ColorRange=0 desktop:0[DPY:DP-5]/SynchronousPaletteUpdates=0 ____________________________________________ *** /usr/share/nvidia/nvidia-application-profiles-440.82-rc *** ls: -r--r--r-- 1 root root 7685 2020-04-07 19:02:07.000000000 +0200 /usr/share/nvidia/nvidia-application-profiles-440.82-rc # Application profiles for the NVIDIA Linux graphics driver, version 440.82 # Last modified: Wed Apr 1 19:37:49 UTC 2020 # These profiles were provided by NVIDIA and should not be modified. If you # wish to change the defaults provided here, you can override them by creating # custom rules in /etc/nvidia/nvidia-application-profiles-rc (which will apply # system-wide) or, for a given user, $HOME/.nv/nvidia-application-profiles-rc # (which will apply to that particular user). See the "APPLICATION PROFILE # SEARCH PATH" section of the NVIDIA Linux Graphics Driver README for more # information. { "profiles" : [ { "name" : "NonConformantBlitFramebufferScissor", "settings" : [ "GLConformantBlitFramebufferScissor", false ] }, { "name" : "CL1C", "settings" : [ "0x528ab3", 1 ] }, { "name" : "FA0", "settings" : [ "10572898", 0 ] }, { "name" : "ExactGLESVersion", "settings" : [ "ForceRequestedESVersion", 1 ] }, { "name" : "IgnoreGLSLExtensionRequirements", "settings" : [ "GLIgnoreGLSLExtReqs", true ] }, { "name" : "No VRR/OSD", "settings" : [ { "key" : "GLVRRAllowed", "value" : false }, { "key" : "VKDirectGSYNCAllowed", "value" : false }, { "key" : "VKDirectGSYNCCompatibleAllowed", "value" : 0 }, { "key" : "GLShowGraphicsOSD", "value" : false } ] }, { "name" : "UseThreadedOptimizations", "settings" : [ "GLThreadedOptimizations", true ] }, { "name" : "NoThreadedOptimizations", "settings" : [ "GLThreadedOptimizations", false ] }, { "name" : "NoAniso", "settings" : [ "GLLogMaxAniso", 0 ] }, { "name" : "NamedVertexAttributesApplyDivisor", "settings" : [ "GL23cd0e", 1 ] }, { "name" : "NonStrictDrawRangeElements", "settings" : [ "GLStrictDrawRangeElements", false ] }, { "name" : "NoEnforceShaderInputOutputMatching", "settings" : [ "GLShaderPortabilityWarnings", false ] }, { "name" : "OCL_MAYA_HANG_WAR", "settings" : [ "0xe6056f", 1 ] }, { "name" : "DisablePersampleFragcoord", "settings" : [ "DisablePersampleFragcoord", true ] } ], "rules" : [ { "pattern" : { "feature" : "dso", "matches" : "libcogl.so" }, "profile" : "NonConformantBlitFramebufferScissor" }, { "pattern" : { "feature" : "dso", "matches" : "libMaya.so" }, "profile" : "CL1C" }, { "pattern" : { "feature" : "dso", "matches" : "libMaya.so" }, "profile" : "NamedVertexAttributesApplyDivisor" }, { "pattern" : "SkullGirls.x86_64-pc-linux-gnu", "profile" : "NoAniso" }, { "pattern" : "SkullGirls.i686-pc-linux-gnu", "profile" : "NoAniso" }, { "pattern" : "Indivisible_Linux.i686-pc-linux-gnu", "profile" : "NoAniso" }, { "pattern" : "xsi", "profile" : "CL1C" }, { "pattern" : "HoudiniFX", "profile" : "CL1C" }, { "pattern" : "katana", "profile" : "CL1C" }, { "pattern" : "Autodesk Mudbox 2014 64-bit", "profile" : "CL1C" }, { "pattern" : "octane", "profile" : "CL1C" }, { "pattern" : "Fusion64_6.4", "profile" : "CL1C" }, { "pattern" : "Nuke7.0", "profile" : "CL1C" }, { "pattern" : "vray.exe", "profile" : "CL1C" }, { "pattern" : "vray.bin", "profile" : "CL1C" }, { "pattern" : "maya.bin", "profile" : "OCL_MAYA_HANG_WAR" }, { "pattern" : "kwin_gles", "profile" : "FA0" }, { "pattern" : "kwin_gles", "profile" : "ExactGLESVersion" }, { "pattern" : [ { "feature" : "procname", "matches" : "heaven_x86"}, { "op" : "not", "sub" : { "feature" : "findfile", "matches" : "browser_x86" } } ], "profile" : "IgnoreGLSLExtensionRequirements" }, { "pattern" : [ { "feature" : "procname", "matches" : "heaven_x64"}, { "op" : "not", "sub" : { "feature" : "findfile", "matches" : "browser_x64" } } ], "profile" : "IgnoreGLSLExtensionRequirements" }, { "pattern" : { "feature" : "procname", "matches" : "cinnamon" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "compiz" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "compton" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "enlightenment" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "gnome-shell" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "kscreenlocker_greet" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "kwin" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "kwin_x11" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "plasmashell" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "ksplashqml" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "systemsettings5" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "muffin" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "dso", "matches" : "libmutter" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "steam" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "steamcompmgr" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "vrmonitor" }, "profile" : "No VRR/OSD" }, { "pattern" : "GoatGame", "profile" : "NonStrictDrawRangeElements" }, { "pattern" : "ShadowOfMordor", "profile" : "NoEnforceShaderInputOutputMatching" }, { "pattern" : "shotcut", "profile" : "NoThreadedOptimizations" }, { "pattern" : "MetroLL", "profile" : "NoThreadedOptimizations" }, { "pattern" : "Borderlands2", "profile" : "UseThreadedOptimizations" }, { "pattern" : "BorderlandsPreSequel", "profile" : "UseThreadedOptimizations" }, { "pattern": "AlienIsolation", "profile" : "UseThreadedOptimizations" }, { "pattern": "Civ6", "profile" : "UseThreadedOptimizations" }, { "pattern": "CivBE", "profile" : "UseThreadedOptimizations" }, { "pattern": "overlord.i386", "profile" : "UseThreadedOptimizations" }, { "pattern": "X-Plane-x86_64", "profile" : "UseThreadedOptimizations" }, { "pattern": "RocketLeague", "profile" : "UseThreadedOptimizations" }, { "pattern": "DeusExMD", "profile" : "DisablePersampleFragcoord" } ] } ____________________________________________ ldd /sbin/glxinfo linux-vdso.so.1 (0x00007fff4957e000) libGL.so.1 => /usr/lib/libGL.so.1 (0x00007f974e8ab000) libX11.so.6 => /usr/lib/libX11.so.6 (0x00007f974e76b000) libc.so.6 => /usr/lib/libc.so.6 (0x00007f974e5a5000) libGLdispatch.so.0 => /usr/lib/libGLdispatch.so.0 (0x00007f974e4ee000) libGLX.so.0 => /usr/lib/libGLX.so.0 (0x00007f974e4bb000) libxcb.so.1 => /usr/lib/libxcb.so.1 (0x00007f974e491000) libdl.so.2 => /usr/lib/libdl.so.2 (0x00007f974e48a000) /lib64/ld-linux-x86-64.so.2 => /usr/lib64/ld-linux-x86-64.so.2 (0x00007f974e98f000) libXau.so.6 => /usr/lib/libXau.so.6 (0x00007f974e485000) libXdmcp.so.6 => /usr/lib/libXdmcp.so.6 (0x00007f974e47d000) ____________________________________________ Found Vulkan loader(s): /usr/lib/libvulkan.so.1.2.139 /usr/lib32/libvulkan.so.1.2.139 Listing common ICD paths: /etc/vulkan/icd.d/nvidia_icd.json /usr/share/vulkan/icd.d/intel_icd.i686.json /usr/share/vulkan/icd.d/intel_icd.x86_64.json /usr/share/vulkan/icd.d/radeon_icd.i686.json /usr/share/vulkan/icd.d/radeon_icd.x86_64.json ____________________________________________ /sbin/lspci -d "10de:*" -v -xxx 07:00.0 VGA compatible controller: NVIDIA Corporation TU116 [GeForce GTX 1660 SUPER] (rev a1) (prog-if 00 [VGA controller]) Subsystem: Micro-Star International Co., Ltd. [MSI] TU116 [GeForce GTX 1660 SUPER] Flags: bus master, fast devsel, latency 0, IRQ 106 Memory at f6000000 (32-bit, non-prefetchable) [size=16M] Memory at e0000000 (64-bit, prefetchable) [size=256M] Memory at f0000000 (64-bit, prefetchable) [size=32M] I/O ports at e000 [size=128] Expansion ROM at f7000000 [virtual] [disabled] [size=512K] Capabilities: [60] Power Management version 3 Capabilities: [68] MSI: Enable+ Count=1/1 Maskable- 64bit+ Capabilities: [78] Express Legacy Endpoint, MSI 00 Capabilities: [100] Virtual Channel Capabilities: [250] Latency Tolerance Reporting Capabilities: [258] L1 PM Substates Capabilities: [128] Power Budgeting Capabilities: [420] Advanced Error Reporting Capabilities: [600] Vendor Specific Information: ID=0001 Rev=1 Len=024 Capabilities: [900] Secondary PCI Express Capabilities: [bb0] Resizable BAR Kernel driver in use: nvidia Kernel modules: nouveau, nvidia_drm, nvidia 00: de 10 c4 21 07 04 10 00 a1 00 00 03 00 00 80 00 10: 00 00 00 f6 0c 00 00 e0 00 00 00 00 0c 00 00 f0 20: 00 00 00 00 01 e0 00 00 00 00 00 00 62 14 5a c7 30: 00 00 00 00 60 00 00 00 00 00 00 00 ff 01 00 00 40: 62 14 5a c7 00 00 00 00 00 00 00 00 00 00 00 00 50: 00 00 00 00 01 00 00 00 ce d6 23 00 00 00 00 00 60: 01 68 c3 c9 08 00 00 00 05 78 81 00 00 00 e0 fe 70: 00 00 00 00 00 00 00 00 10 00 12 00 e1 8d 00 10 80: 10 29 10 00 03 3d 46 00 40 01 01 11 00 00 00 00 90: 00 00 00 00 00 00 00 00 00 00 00 00 13 08 04 00 a0: 00 04 00 00 0e 00 00 00 03 00 1f 00 00 00 00 00 b0: 00 00 00 00 09 00 14 01 00 00 13 0b 80 00 00 00 c0: de 83 3e 69 00 00 00 00 11 00 05 00 00 00 b9 00 d0: 00 00 ba 00 00 00 00 00 00 00 00 00 62 14 5a c7 e0: 62 14 5a c7 03 00 00 00 00 00 00 00 00 00 00 00 f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 07:00.1 Audio device: NVIDIA Corporation TU116 High Definition Audio Controller (rev a1) Subsystem: Micro-Star International Co., Ltd. [MSI] TU116 High Definition Audio Controller Flags: bus master, fast devsel, latency 0, IRQ 102 Memory at f7080000 (32-bit, non-prefetchable) [size=16K] Capabilities: [60] Power Management version 3 Capabilities: [68] MSI: Enable- Count=1/1 Maskable- 64bit+ Capabilities: [78] Express Endpoint, MSI 00 Capabilities: [100] Advanced Error Reporting Kernel driver in use: snd_hda_intel Kernel modules: snd_hda_intel 00: de 10 eb 1a 06 00 10 00 a1 00 03 04 10 00 80 00 10: 00 00 08 f7 00 00 00 00 00 00 00 00 00 00 00 00 20: 00 00 00 00 00 00 00 00 00 00 00 00 62 14 5a c7 30: 00 00 00 00 60 00 00 00 00 00 00 00 ff 02 00 00 40: 62 14 5a c7 00 00 00 00 00 00 00 00 00 00 00 00 50: 00 00 00 00 00 00 00 00 ce d6 23 00 00 00 00 00 60: 01 68 03 00 08 00 00 00 05 78 80 00 00 00 00 00 70: 00 00 00 00 00 00 00 00 10 00 02 00 e1 8d 00 00 80: 10 29 2b 00 03 3d 45 00 43 01 01 11 00 00 00 00 90: 00 00 00 00 00 00 00 00 00 00 00 00 13 08 04 00 a0: 00 00 00 00 0e 00 00 00 00 00 01 00 00 00 00 00 b0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 c0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 d0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 e0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 07:00.2 USB controller: NVIDIA Corporation Device 1aec (rev a1) (prog-if 30 [XHCI]) Subsystem: Micro-Star International Co., Ltd. [MSI] Device c75a Flags: fast devsel, IRQ 50 Memory at f2000000 (64-bit, prefetchable) [size=256K] Memory at f2040000 (64-bit, prefetchable) [size=64K] Capabilities: [68] MSI: Enable+ Count=1/1 Maskable- 64bit+ Capabilities: [78] Express Endpoint, MSI 00 Capabilities: [b4] Power Management version 3 Capabilities: [100] Advanced Error Reporting Kernel driver in use: xhci_hcd Kernel modules: xhci_pci 00: de 10 ec 1a 02 04 10 00 a1 30 03 0c 10 00 80 00 10: 0c 00 00 f2 00 00 00 00 00 00 00 00 0c 00 04 f2 20: 00 00 00 00 00 00 00 00 00 00 00 00 62 14 5a c7 30: 00 00 00 00 68 00 00 00 00 00 00 00 ff 03 00 00 40: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 50: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 60: 31 60 00 00 00 00 00 00 05 78 81 00 00 00 e0 fe 70: 00 00 00 00 00 00 00 00 10 b4 02 00 e0 8d 00 00 80: 10 29 19 00 03 3d 46 00 40 00 01 11 00 00 00 00 90: 00 00 00 00 00 00 00 00 00 00 00 00 13 08 04 00 a0: 00 00 00 00 0e 00 00 00 00 00 01 00 00 00 00 00 b0: 00 00 00 00 01 00 43 c8 0b 01 00 00 00 00 00 00 c0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 d0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 e0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 07:00.3 Serial bus controller [0c80]: NVIDIA Corporation TU116 [GeForce GTX 1650 SUPER] (rev a1) Subsystem: Micro-Star International Co., Ltd. [MSI] TU116 [GeForce GTX 1650 SUPER] Flags: bus master, fast devsel, latency 0, IRQ 98 Memory at f7084000 (32-bit, non-prefetchable) [size=4K] Capabilities: [68] MSI: Enable+ Count=1/1 Maskable- 64bit+ Capabilities: [78] Express Endpoint, MSI 00 Capabilities: [b4] Power Management version 3 Capabilities: [100] Advanced Error Reporting Kernel driver in use: nvidia-gpu Kernel modules: i2c_nvidia_gpu 00: de 10 ed 1a 06 04 10 00 a1 00 80 0c 10 00 80 00 10: 00 40 08 f7 00 00 00 00 00 00 00 00 00 00 00 00 20: 00 00 00 00 00 00 00 00 00 00 00 00 62 14 5a c7 30: 00 00 00 00 68 00 00 00 00 00 00 00 ff 04 00 00 40: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 50: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 60: 00 00 00 00 00 00 00 00 05 78 81 00 00 00 e0 fe 70: 00 00 00 00 00 00 00 00 10 b4 02 00 e0 8d 00 00 80: 10 29 19 00 03 3d 46 00 40 00 01 11 00 00 00 00 90: 00 00 00 00 00 00 00 00 00 00 00 00 13 08 04 00 a0: 00 00 00 00 0e 00 00 00 00 00 01 00 00 00 00 00 b0: 00 00 00 00 01 00 43 c8 0b 01 00 00 00 00 00 00 c0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 d0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 e0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ____________________________________________ /sbin/lspci -d "10b5:*" -v -xxx ____________________________________________ /sbin/lspci -t -[0000:00]-+-00.0 +-00.2 +-01.0 +-01.2-[01-06]----00.0-[02-06]--+-05.0-[03]----00.0 | +-08.0-[04]--+-00.0 | | +-00.1 | | \-00.3 | +-09.0-[05]----00.0 | \-0a.0-[06]----00.0 +-02.0 +-03.0 +-03.1-[07]--+-00.0 | +-00.1 | +-00.2 | \-00.3 +-04.0 +-05.0 +-07.0 +-07.1-[08]----00.0 +-08.0 +-08.1-[09]--+-00.0 | +-00.1 | +-00.3 | \-00.4 +-08.2-[0a]----00.0 +-08.3-[0b]----00.0 +-14.0 +-14.3 +-18.0 +-18.1 +-18.2 +-18.3 +-18.4 +-18.5 +-18.6 \-18.7 ____________________________________________ /sbin/lspci -nn 00:00.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Root Complex [1022:1480] 00:00.2 IOMMU [0806]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse IOMMU [1022:1481] 00:01.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Host Bridge [1022:1482] 00:01.2 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse GPP Bridge [1022:1483] 00:02.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Host Bridge [1022:1482] 00:03.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Host Bridge [1022:1482] 00:03.1 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse GPP Bridge [1022:1483] 00:04.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Host Bridge [1022:1482] 00:05.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Host Bridge [1022:1482] 00:07.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Host Bridge [1022:1482] 00:07.1 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Internal PCIe GPP Bridge 0 to bus[E:B] [1022:1484] 00:08.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Host Bridge [1022:1482] 00:08.1 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Internal PCIe GPP Bridge 0 to bus[E:B] [1022:1484] 00:08.2 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Internal PCIe GPP Bridge 0 to bus[E:B] [1022:1484] 00:08.3 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Internal PCIe GPP Bridge 0 to bus[E:B] [1022:1484] 00:14.0 SMBus [0c05]: Advanced Micro Devices, Inc. [AMD] FCH SMBus Controller [1022:790b] (rev 61) 00:14.3 ISA bridge [0601]: Advanced Micro Devices, Inc. [AMD] FCH LPC Bridge [1022:790e] (rev 51) 00:18.0 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 0 [1022:1440] 00:18.1 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 1 [1022:1441] 00:18.2 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 2 [1022:1442] 00:18.3 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 3 [1022:1443] 00:18.4 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 4 [1022:1444] 00:18.5 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 5 [1022:1445] 00:18.6 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 6 [1022:1446] 00:18.7 Host bridge [0600]: Advanced Micro Devices, Inc. [AMD] Matisse Device 24: Function 7 [1022:1447] 01:00.0 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Matisse Switch Upstream [1022:57ad] 02:05.0 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Matisse PCIe GPP Bridge [1022:57a3] 02:08.0 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Matisse PCIe GPP Bridge [1022:57a4] 02:09.0 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Matisse PCIe GPP Bridge [1022:57a4] 02:0a.0 PCI bridge [0604]: Advanced Micro Devices, Inc. [AMD] Matisse PCIe GPP Bridge [1022:57a4] 03:00.0 Ethernet controller [0200]: Realtek Semiconductor Co., Ltd. RTL8111/8168/8411 PCI Express Gigabit Ethernet Controller [10ec:8168] (rev 26) 04:00.0 Non-Essential Instrumentation [1300]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Reserved SPP [1022:1485] 04:00.1 USB controller [0c03]: Advanced Micro Devices, Inc. [AMD] Matisse USB 3.0 Host Controller [1022:149c] 04:00.3 USB controller [0c03]: Advanced Micro Devices, Inc. [AMD] Matisse USB 3.0 Host Controller [1022:149c] 05:00.0 SATA controller [0106]: Advanced Micro Devices, Inc. [AMD] FCH SATA Controller [AHCI mode] [1022:7901] (rev 51) 06:00.0 SATA controller [0106]: Advanced Micro Devices, Inc. [AMD] FCH SATA Controller [AHCI mode] [1022:7901] (rev 51) 07:00.0 VGA compatible controller [0300]: NVIDIA Corporation TU116 [GeForce GTX 1660 SUPER] [10de:21c4] (rev a1) 07:00.1 Audio device [0403]: NVIDIA Corporation TU116 High Definition Audio Controller [10de:1aeb] (rev a1) 07:00.2 USB controller [0c03]: NVIDIA Corporation Device [10de:1aec] (rev a1) 07:00.3 Serial bus controller [0c80]: NVIDIA Corporation TU116 [GeForce GTX 1650 SUPER] [10de:1aed] (rev a1) 08:00.0 Non-Essential Instrumentation [1300]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse PCIe Dummy Function [1022:148a] 09:00.0 Non-Essential Instrumentation [1300]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Reserved SPP [1022:1485] 09:00.1 Encryption controller [1080]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse Cryptographic Coprocessor PSPCPP [1022:1486] 09:00.3 USB controller [0c03]: Advanced Micro Devices, Inc. [AMD] Matisse USB 3.0 Host Controller [1022:149c] 09:00.4 Audio device [0403]: Advanced Micro Devices, Inc. [AMD] Starship/Matisse HD Audio Controller [1022:1487] 0a:00.0 SATA controller [0106]: Advanced Micro Devices, Inc. [AMD] FCH SATA Controller [AHCI mode] [1022:7901] (rev 51) 0b:00.0 SATA controller [0106]: Advanced Micro Devices, Inc. [AMD] FCH SATA Controller [AHCI mode] [1022:7901] (rev 51) ____________________________________________ /sbin/numactl -H available: 1 nodes (0) node 0 cpus: 0 1 2 3 4 5 6 7 8 9 10 11 node 0 size: 15989 MB node 0 free: 5216 MB node distances: node 0 0: 10 ____________________________________________ *** /sys/devices/system/node/has_cpu *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:14.614256624 +0200 /sys/devices/system/node/has_cpu 0 ____________________________________________ *** /sys/devices/system/node/has_memory *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:14.617589933 +0200 /sys/devices/system/node/has_memory 0 ____________________________________________ *** /sys/devices/system/node/has_normal_memory *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:14.617589933 +0200 /sys/devices/system/node/has_normal_memory 0 ____________________________________________ *** /sys/devices/system/node/online *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:14.617589933 +0200 /sys/devices/system/node/online 0 ____________________________________________ *** /sys/devices/system/node/possible *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:14.620923240 +0200 /sys/devices/system/node/possible 0 ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.0/local_cpulist *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:14.614256624 +0200 /sys/bus/pci/devices/0000:07:00.0/local_cpulist 0-11 ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.0/numa_node *** ls: -rw-r--r-- 1 root root 4096 2020-05-09 22:07:14.570923600 +0200 /sys/bus/pci/devices/0000:07:00.0/numa_node -1 ____________________________________________ /sbin/lsusb Bus 008 Device 001: ID 1d6b:0003 Linux Foundation 3.0 root hub Bus 007 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 006 Device 001: ID 1d6b:0003 Linux Foundation 3.0 root hub Bus 005 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 004 Device 001: ID 1d6b:0003 Linux Foundation 3.0 root hub Bus 003 Device 003: ID 0b05:18f3 ASUSTek Computer, Inc. AURA LED Controller Bus 003 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 002 Device 004: ID 0424:5734 Microchip Technology, Inc. (formerly SMSC) USB5734 Bus 002 Device 001: ID 1d6b:0003 Linux Foundation 3.0 root hub Bus 001 Device 027: ID 0424:274c Microchip Technology, Inc. (formerly SMSC) Bus 001 Device 026: ID 17ef:6022 Lenovo Bus 001 Device 025: ID 2341:0043 Arduino SA Uno R3 (CDC ACM) Bus 001 Device 024: ID 046d:c062 Logitech, Inc. M-UAS144 [LS1 Laser Mouse] Bus 001 Device 023: ID 0424:2734 Microchip Technology, Inc. (formerly SMSC) USB2734 Bus 001 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub ____________________________________________ /sbin/dmidecode # dmidecode 3.2 Getting SMBIOS data from sysfs. SMBIOS 3.2.0 present. Table at 0xDA39C000. Handle 0x0000, DMI type 0, 26 bytes BIOS Information Vendor: American Megatrends Inc. Version: 1405 Release Date: 11/19/2019 Address: 0xF0000 Runtime Size: 64 kB ROM Size: 16 MB Characteristics: PCI is supported APM is supported BIOS is upgradeable BIOS shadowing is allowed Boot from CD is supported Selectable boot is supported BIOS ROM is socketed EDD is supported 5.25"/1.2 MB floppy services are supported (int 13h) 3.5"/720 kB floppy services are supported (int 13h) 3.5"/2.88 MB floppy services are supported (int 13h) Print screen service is supported (int 5h) 8042 keyboard services are supported (int 9h) Serial services are supported (int 14h) Printer services are supported (int 17h) ACPI is supported USB legacy is supported BIOS boot specification is supported Targeted content distribution is supported UEFI is supported BIOS Revision: 5.14 Handle 0x0001, DMI type 1, 27 bytes System Information Manufacturer: System manufacturer Product Name: System Product Name Version: System Version Serial Number: System Serial Number UUID: ddd9e555-7053-9c5b-3b61-04d9f5d762bc Wake-up Type: Power Switch SKU Number: SKU Family: To be filled by O.E.M. Handle 0x0002, DMI type 2, 15 bytes Base Board Information Manufacturer: ASUSTeK COMPUTER INC. Product Name: TUF GAMING X570-PLUS Version: Rev X.0x Serial Number: 191059926400283 Asset Tag: Default string Features: Board is a hosting board Board is replaceable Location In Chassis: Default string Chassis Handle: 0x0003 Type: Motherboard Contained Object Handles: 0 Handle 0x0003, DMI type 3, 22 bytes Chassis Information Manufacturer: Default string Type: Desktop Lock: Not Present Version: Default string Serial Number: Default string Asset Tag: Default string Boot-up State: Safe Power Supply State: Safe Thermal State: Safe Security Status: None OEM Information: 0x00000000 Height: Unspecified Number Of Power Cords: 1 Contained Elements: 0 SKU Number: Default string Handle 0x0004, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: PS/2 Keyboard/Mouse Internal Connector Type: None External Reference Designator: PS/2 Keyboard/Mouse External Connector Type: PS/2 Port Type: Keyboard Port Handle 0x0005, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: U32G1_56 Internal Connector Type: None External Reference Designator: U32G1_56 External Connector Type: Access Bus (USB) Port Type: USB Handle 0x0006, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: U32G1_34 Internal Connector Type: None External Reference Designator: U32G1_34 External Connector Type: Access Bus (USB) Port Type: USB Handle 0x0007, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: U32G2_C3 Internal Connector Type: None External Reference Designator: U32G2_C3 External Connector Type: Access Bus (USB) Port Type: USB Handle 0x0008, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: DP Internal Connector Type: None External Reference Designator: DP port External Connector Type: Other Port Type: Video Port Handle 0x0009, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: HDMI Internal Connector Type: None External Reference Designator: HDMI port External Connector Type: Other Port Type: Video Port Handle 0x000A, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: U32G2_12 Internal Connector Type: None External Reference Designator: U32G2_12 External Connector Type: Access Bus (USB) Port Type: USB Handle 0x000B, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: LAN Internal Connector Type: None External Reference Designator: LAN External Connector Type: RJ-45 Port Type: Network Port Handle 0x000C, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: AUDIO Internal Connector Type: None External Reference Designator: AUDIO External Connector Type: Other Port Type: Audio Port Handle 0x000D, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_1 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x000E, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_2 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x000F, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_3 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0010, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_4 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0011, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_5 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0012, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_6 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0013, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_7 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0014, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SATA6G_8 Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0015, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: M.2_1(SOCKET3) Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0016, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: M.2_2(SOCKET3) Internal Connector Type: SAS/SATA Plug Receptacle External Reference Designator: Not Specified External Connector Type: None Port Type: SATA Handle 0x0017, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: USB78 Internal Connector Type: Access Bus (USB) External Reference Designator: Not Specified External Connector Type: None Port Type: USB Handle 0x0018, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: USB910 Internal Connector Type: Access Bus (USB) External Reference Designator: Not Specified External Connector Type: None Port Type: USB Handle 0x0019, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: U32G1_12 Internal Connector Type: Access Bus (USB) External Reference Designator: Not Specified External Connector Type: None Port Type: USB Handle 0x001A, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: CPU_FAN Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x001B, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: CPU_OPT Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x001C, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: CHA_FAN1 Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x001D, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: CHA_FAN2 Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x001E, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: CHA_FAN3 Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x001F, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: AIO_PUMP Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x0020, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: PCH_FAN Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x0021, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: COM Internal Connector Type: DB-9 male External Reference Designator: Not Specified External Connector Type: None Port Type: Serial Port 16550A Compatible Handle 0x0022, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: AAFP Internal Connector Type: Mini Jack (headphones) External Reference Designator: Not Specified External Connector Type: None Port Type: Audio Port Handle 0x0023, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: PANEL Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x0024, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: SPI_TPM Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x0025, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: RGB_HEADER1 Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x0026, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: RGB_HEADER2 Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x0027, DMI type 8, 9 bytes Port Connector Information Internal Reference Designator: ADD_GEN 2 Internal Connector Type: Other External Reference Designator: Not Specified External Connector Type: None Port Type: Other Handle 0x0028, DMI type 10, 6 bytes On Board Device Information Type: Video Status: Enabled Description: To Be Filled By O.E.M. Handle 0x0029, DMI type 11, 5 bytes OEM Strings String 1: Default string String 2: Default string String 3: GIN String 4: Default string String 5: FFFFFFFFFFFFF String 6: FFFFFFFFFFFFF String 7: FFFFFFFFFFFFF String 8: Default string Handle 0x002A, DMI type 12, 5 bytes System Configuration Options Option 1: SMI:00B29C05 Option 2: DSN: . Option 3: DSN: . Option 4: DSN: . Handle 0x002B, DMI type 32, 20 bytes System Boot Information Status: No errors detected Handle 0x002C, DMI type 34, 11 bytes Management Device Description: NCT6798D-R Type: Other Address: 0x00000295 Address Type: I/O Port Handle 0x002D, DMI type 40, 50 bytes Additional Information 1 Referenced Handle: 0x0028 Referenced Offset: 0x01 String: To Be Filled By O.E.M. 1 Value: 0x00000000 Additional Information 2 Referenced Handle: 0x0001 Referenced Offset: 0x0f String: Not Specified Value: 0x0000001e Additional Information 3 Referenced Handle: 0x0034 Referenced Offset: 0x01 String: To Be Filled By O.E.M. 3 Value: 0x00000000 Additional Information 4 Referenced Handle: 0x0001 Referenced Offset: 0x1a String: PRODUCT_LINE Value: 0x00000000 Additional Information 5 Referenced Handle: 0x0001 Referenced Offset: 0x19 String: PRODUCT_SKU Value: 0x00000003 Handle 0x002E, DMI type 41, 11 bytes Onboard Device Reference Designation: Onboard IGD Type: Video Status: Enabled Type Instance: 1 Bus Address: 0000:00:02.0 Handle 0x002F, DMI type 18, 23 bytes 32-bit Memory Error Information Type: OK Granularity: Unknown Operation: Unknown Vendor Syndrome: Unknown Memory Array Address: Unknown Device Address: Unknown Resolution: Unknown Handle 0x0030, DMI type 16, 23 bytes Physical Memory Array Location: System Board Or Motherboard Use: System Memory Error Correction Type: None Maximum Capacity: 128 GB Error Information Handle: 0x002F Number Of Devices: 4 Handle 0x0031, DMI type 19, 31 bytes Memory Array Mapped Address Starting Address: 0x00000000000 Ending Address: 0x000DFFFFFFF Range Size: 3584 MB Physical Array Handle: 0x0030 Partition Width: 2 Handle 0x0032, DMI type 19, 31 bytes Memory Array Mapped Address Starting Address: 0x00100000000 Ending Address: 0x0041FFFFFFF Range Size: 12800 MB Physical Array Handle: 0x0030 Partition Width: 2 Handle 0x0033, DMI type 7, 27 bytes Cache Information Socket Designation: L1 - Cache Configuration: Enabled, Not Socketed, Level 1 Operational Mode: Write Back Location: Internal Installed Size: 384 kB Maximum Size: 384 kB Supported SRAM Types: Pipeline Burst Installed SRAM Type: Pipeline Burst Speed: 1 ns Error Correction Type: Multi-bit ECC System Type: Unified Associativity: 8-way Set-associative Handle 0x0034, DMI type 7, 27 bytes Cache Information Socket Designation: L2 - Cache Configuration: Enabled, Not Socketed, Level 2 Operational Mode: Write Back Location: Internal Installed Size: 3072 kB Maximum Size: 3072 kB Supported SRAM Types: Pipeline Burst Installed SRAM Type: Pipeline Burst Speed: 1 ns Error Correction Type: Multi-bit ECC System Type: Unified Associativity: 8-way Set-associative Handle 0x0035, DMI type 7, 27 bytes Cache Information Socket Designation: L3 - Cache Configuration: Enabled, Not Socketed, Level 3 Operational Mode: Write Back Location: Internal Installed Size: 32768 kB Maximum Size: 32768 kB Supported SRAM Types: Pipeline Burst Installed SRAM Type: Pipeline Burst Speed: 1 ns Error Correction Type: Multi-bit ECC System Type: Unified Associativity: 16-way Set-associative Handle 0x0036, DMI type 4, 48 bytes Processor Information Socket Designation: AM4 Type: Central Processor Family: Zen Manufacturer: Advanced Micro Devices, Inc. ID: 10 0F 87 00 FF FB 8B 17 Signature: Family 23, Model 113, Stepping 0 Flags: FPU (Floating-point unit on-chip) VME (Virtual mode extension) DE (Debugging extension) PSE (Page size extension) TSC (Time stamp counter) MSR (Model specific registers) PAE (Physical address extension) MCE (Machine check exception) CX8 (CMPXCHG8 instruction supported) APIC (On-chip APIC hardware supported) SEP (Fast system call) MTRR (Memory type range registers) PGE (Page global enable) MCA (Machine check architecture) CMOV (Conditional move instruction supported) PAT (Page attribute table) PSE-36 (36-bit page size extension) CLFSH (CLFLUSH instruction supported) MMX (MMX technology supported) FXSR (FXSAVE and FXSTOR instructions supported) SSE (Streaming SIMD extensions) SSE2 (Streaming SIMD extensions 2) HTT (Multi-threading) Version: AMD Ryzen 5 3600X 6-Core Processor Voltage: 1.1 V External Clock: 100 MHz Max Speed: 4400 MHz Current Speed: 3800 MHz Status: Populated, Enabled Upgrade: Socket AM4 L1 Cache Handle: 0x0033 L2 Cache Handle: 0x0034 L3 Cache Handle: 0x0035 Serial Number: Unknown Asset Tag: Unknown Part Number: Unknown Core Count: 6 Core Enabled: 6 Thread Count: 12 Characteristics: 64-bit capable Multi-Core Hardware Thread Execute Protection Enhanced Virtualization Power/Performance Control Handle 0x0037, DMI type 18, 23 bytes 32-bit Memory Error Information Type: OK Granularity: Unknown Operation: Unknown Vendor Syndrome: Unknown Memory Array Address: Unknown Device Address: Unknown Resolution: Unknown Handle 0x0038, DMI type 17, 84 bytes Memory Device Array Handle: 0x0030 Error Information Handle: 0x0037 Total Width: Unknown Data Width: Unknown Size: No Module Installed Form Factor: Unknown Set: None Locator: DIMM_A1 Bank Locator: BANK 0 Type: Unknown Type Detail: Unknown Speed: Unknown Manufacturer: Unknown Serial Number: Unknown Asset Tag: Not Specified Part Number: Unknown Rank: Unknown Configured Memory Speed: Unknown Minimum Voltage: Unknown Maximum Voltage: Unknown Configured Voltage: Unknown Memory Technology: Unknown Memory Operating Mode Capability: Unknown Firmware Version: Unknown Module Manufacturer ID: Unknown Module Product ID: Unknown Memory Subsystem Controller Manufacturer ID: Unknown Memory Subsystem Controller Product ID: Unknown Non-Volatile Size: None Volatile Size: None Cache Size: None Logical Size: None Handle 0x0039, DMI type 18, 23 bytes 32-bit Memory Error Information Type: OK Granularity: Unknown Operation: Unknown Vendor Syndrome: Unknown Memory Array Address: Unknown Device Address: Unknown Resolution: Unknown Handle 0x003A, DMI type 17, 84 bytes Memory Device Array Handle: 0x0030 Error Information Handle: 0x0039 Total Width: 64 bits Data Width: 64 bits Size: 8192 MB Form Factor: DIMM Set: None Locator: DIMM_A2 Bank Locator: BANK 1 Type: DDR4 Type Detail: Synchronous Unbuffered (Unregistered) Speed: 3600 MT/s Manufacturer: Corsair Serial Number: 00000000 Asset Tag: Not Specified Part Number: CMK16GX4M2D3600C18 Rank: 1 Configured Memory Speed: 3600 MT/s Minimum Voltage: 1.2 V Maximum Voltage: 1.2 V Configured Voltage: 1.2 V Memory Technology: DRAM Memory Operating Mode Capability: Volatile memory Firmware Version: Unknown Module Manufacturer ID: Bank 3, Hex 0x9E Module Product ID: Unknown Memory Subsystem Controller Manufacturer ID: Unknown Memory Subsystem Controller Product ID: Unknown Non-Volatile Size: None Volatile Size: 8 GB Cache Size: None Logical Size: None Handle 0x003B, DMI type 20, 35 bytes Memory Device Mapped Address Starting Address: 0x00000000000 Ending Address: 0x003FFFFFFFF Range Size: 16 GB Physical Device Handle: 0x003A Memory Array Mapped Address Handle: 0x0032 Partition Row Position: Unknown Interleave Position: Unknown Interleaved Data Depth: Unknown Handle 0x003C, DMI type 18, 23 bytes 32-bit Memory Error Information Type: OK Granularity: Unknown Operation: Unknown Vendor Syndrome: Unknown Memory Array Address: Unknown Device Address: Unknown Resolution: Unknown Handle 0x003D, DMI type 17, 84 bytes Memory Device Array Handle: 0x0030 Error Information Handle: 0x003C Total Width: Unknown Data Width: Unknown Size: No Module Installed Form Factor: Unknown Set: None Locator: DIMM_B1 Bank Locator: BANK 2 Type: Unknown Type Detail: Unknown Speed: Unknown Manufacturer: Unknown Serial Number: Unknown Asset Tag: Not Specified Part Number: Unknown Rank: Unknown Configured Memory Speed: Unknown Minimum Voltage: Unknown Maximum Voltage: Unknown Configured Voltage: Unknown Memory Technology: Unknown Memory Operating Mode Capability: Unknown Firmware Version: Unknown Module Manufacturer ID: Unknown Module Product ID: Unknown Memory Subsystem Controller Manufacturer ID: Unknown Memory Subsystem Controller Product ID: Unknown Non-Volatile Size: None Volatile Size: None Cache Size: None Logical Size: None Handle 0x003E, DMI type 18, 23 bytes 32-bit Memory Error Information Type: OK Granularity: Unknown Operation: Unknown Vendor Syndrome: Unknown Memory Array Address: Unknown Device Address: Unknown Resolution: Unknown Handle 0x003F, DMI type 17, 84 bytes Memory Device Array Handle: 0x0030 Error Information Handle: 0x003E Total Width: 64 bits Data Width: 64 bits Size: 8192 MB Form Factor: DIMM Set: None Locator: DIMM_B2 Bank Locator: BANK 3 Type: DDR4 Type Detail: Synchronous Unbuffered (Unregistered) Speed: 3600 MT/s Manufacturer: Corsair Serial Number: 00000000 Asset Tag: Not Specified Part Number: CMK16GX4M2D3600C18 Rank: 1 Configured Memory Speed: 3600 MT/s Minimum Voltage: 1.2 V Maximum Voltage: 1.2 V Configured Voltage: 1.2 V Memory Technology: DRAM Memory Operating Mode Capability: Volatile memory Firmware Version: Unknown Module Manufacturer ID: Bank 3, Hex 0x9E Module Product ID: Unknown Memory Subsystem Controller Manufacturer ID: Unknown Memory Subsystem Controller Product ID: Unknown Non-Volatile Size: None Volatile Size: 8 GB Cache Size: None Logical Size: None Handle 0x0040, DMI type 20, 35 bytes Memory Device Mapped Address Starting Address: 0x00000000000 Ending Address: 0x003FFFFFFFF Range Size: 16 GB Physical Device Handle: 0x003F Memory Array Mapped Address Handle: 0x0032 Partition Row Position: Unknown Interleave Position: Unknown Interleaved Data Depth: Unknown Handle 0x0041, DMI type 13, 22 bytes BIOS Language Information Language Description Format: Long Installable Languages: 9 en|US|iso8859-1 fr|FR|iso8859-1 zh|TW|unicode zh|CN|unicode ja|JP|unicode de|DE|iso8859-1 es|ES|iso8859-1 ru|RU|iso8859-5 ko|KR|unicode Currently Installed Language: en|US|iso8859-1 Handle 0x0042, DMI type 9, 17 bytes System Slot Information Designation: PCIEX16_1 Type: x16 PCI Express Current Usage: In Use Length: Long ID: 0 Characteristics: 3.3 V is provided Opening is shared PME signal is supported Bus Address: 0000:00:03.1 Handle 0x0043, DMI type 9, 17 bytes System Slot Information Designation: PCIEX16_2 Type: x4 PCI Express Current Usage: Available Length: Long ID: 1 Characteristics: 3.3 V is provided Opening is shared PME signal is supported Bus Address: 0000:00:1f.7 Handle 0x0044, DMI type 9, 17 bytes System Slot Information Designation: PCIEX1_1 Type: x1 PCI Express Current Usage: Available Length: Short ID: 2 Characteristics: 3.3 V is provided Opening is shared PME signal is supported Bus Address: 0000:00:1f.7 Handle 0x0045, DMI type 9, 17 bytes System Slot Information Designation: PCIEX1_2 Type: x1 PCI Express Current Usage: Available Length: Short ID: 3 Characteristics: 3.3 V is provided Opening is shared PME signal is supported Bus Address: 0000:00:1f.7 Handle 0x0046, DMI type 9, 17 bytes System Slot Information Designation: PCIEX1_3 Type: x1 PCI Express Current Usage: Available Length: Short ID: 4 Characteristics: 3.3 V is provided Opening is shared PME signal is supported Bus Address: 0000:00:1f.7 Handle 0x0047, DMI type 127, 4 bytes End Of Table ____________________________________________ /sbin/modinfo nvidia | grep vermagic vermagic: 5.4.38-1-MANJARO SMP preempt mod_unload modversions ____________________________________________ Scanning kernel log files for NVIDIA kernel messages: journalctl -b -0: mai 09 12:06:10 desktop kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 241 mai 09 12:06:10 desktop kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 440.82 Wed Apr 1 20:04:33 UTC 2020 mai 09 12:06:10 desktop kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 440.82 Wed Apr 1 19:41:29 UTC 2020 mai 09 12:06:10 desktop kernel: [drm] [nvidia-drm] [GPU ID 0x00000700] Loading driver mai 09 12:06:10 desktop kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:07:00.0 on minor 0 mai 09 12:06:10 desktop kernel: nvidia-gpu 0000:07:00.3: enabling device (0000 -> 0002) mai 09 12:06:11 desktop kernel: nvidia-gpu 0000:07:00.3: i2c timeout error e0000000 mai 09 22:01:33 desktop kernel: NVRM: GPU at PCI:0000:07:00: GPU-7e427271-1bb6-3ccd-9ba5-4c8ede833e69 mai 09 22:01:33 desktop kernel: NVRM: GPU Board Serial Number: mai 09 22:01:33 desktop kernel: NVRM: Xid (PCI:0000:07:00): 61, pid=1538, 0cec(3098) 00000000 00000000 mai 09 22:07:13 desktop sudo[49119]: laurent : TTY=pts/1 ; PWD=/home/laurent ; USER=root ; COMMAND=/usr/bin/nvidia-bug-report.sh journalctl -b -1: mai 07 17:00:22 desktop kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 241 mai 07 17:00:22 desktop kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 440.82 Wed Apr 1 20:04:33 UTC 2020 mai 07 17:00:22 desktop kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 440.82 Wed Apr 1 19:41:29 UTC 2020 mai 07 17:00:22 desktop kernel: [drm] [nvidia-drm] [GPU ID 0x00000700] Loading driver mai 07 17:00:22 desktop kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:07:00.0 on minor 0 mai 07 17:00:22 desktop kernel: nvidia-gpu 0000:07:00.3: enabling device (0000 -> 0002) mai 07 17:00:23 desktop kernel: nvidia-gpu 0000:07:00.3: i2c timeout error e0000000 journalctl -b -2: mai 06 16:56:53 desktop kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 241 mai 06 16:56:54 desktop kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 440.82 Wed Apr 1 20:04:33 UTC 2020 mai 06 16:56:54 desktop kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 440.82 Wed Apr 1 19:41:29 UTC 2020 mai 06 16:56:54 desktop kernel: [drm] [nvidia-drm] [GPU ID 0x00000700] Loading driver mai 06 16:56:54 desktop kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:07:00.0 on minor 0 mai 06 16:56:54 desktop kernel: nvidia-gpu 0000:07:00.3: enabling device (0000 -> 0002) mai 06 16:56:55 desktop kernel: nvidia-gpu 0000:07:00.3: i2c timeout error e0000000 ____________________________________________ dmesg: [ 0.000000] Linux version 5.4.36-1-MANJARO (builder@f15c2453c63a) (gcc version 9.3.0 (Arch Linux 9.3.0-1)) #1 SMP PREEMPT Wed Apr 29 18:47:01 UTC 2020 [ 0.000000] Command line: BOOT_IMAGE=/boot/vmlinuz-5.4-x86_64 root=UUID=516a50e0-c8c8-4dc1-a9a4-df5d24937bd6 rw loglevel=3 quiet [ 0.000000] KERNEL supported cpus: [ 0.000000] Intel GenuineIntel [ 0.000000] AMD AuthenticAMD [ 0.000000] Hygon HygonGenuine [ 0.000000] Centaur CentaurHauls [ 0.000000] zhaoxin Shanghai [ 0.000000] x86/fpu: Supporting XSAVE feature 0x001: 'x87 floating point registers' [ 0.000000] x86/fpu: Supporting XSAVE feature 0x002: 'SSE registers' [ 0.000000] x86/fpu: Supporting XSAVE feature 0x004: 'AVX registers' [ 0.000000] x86/fpu: xstate_offset[2]: 576, xstate_sizes[2]: 256 [ 0.000000] x86/fpu: Enabled xstate features 0x7, context size is 832 bytes, using 'compacted' format. [ 0.000000] BIOS-provided physical RAM map: [ 0.000000] BIOS-e820: [mem 0x0000000000000000-0x0000000000000fff] reserved [ 0.000000] BIOS-e820: [mem 0x0000000000001000-0x000000000008ffff] usable [ 0.000000] BIOS-e820: [mem 0x0000000000090000-0x0000000000090fff] type 20 [ 0.000000] BIOS-e820: [mem 0x0000000000091000-0x000000000009ffff] usable [ 0.000000] BIOS-e820: [mem 0x00000000000a0000-0x00000000000fffff] reserved [ 0.000000] BIOS-e820: [mem 0x0000000000100000-0x0000000009d01fff] usable [ 0.000000] BIOS-e820: [mem 0x0000000009d02000-0x0000000009ffffff] reserved [ 0.000000] BIOS-e820: [mem 0x000000000a000000-0x000000000a1fffff] usable [ 0.000000] BIOS-e820: [mem 0x000000000a200000-0x000000000a20bfff] ACPI NVS [ 0.000000] BIOS-e820: [mem 0x000000000a20c000-0x00000000d9010fff] usable [ 0.000000] BIOS-e820: [mem 0x00000000d9011000-0x00000000d915afff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000d915b000-0x00000000d92e3fff] ACPI data [ 0.000000] BIOS-e820: [mem 0x00000000d92e4000-0x00000000d9794fff] ACPI NVS [ 0.000000] BIOS-e820: [mem 0x00000000d9795000-0x00000000da4b9fff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000da4ba000-0x00000000da554fff] type 20 [ 0.000000] BIOS-e820: [mem 0x00000000da555000-0x00000000dcffffff] usable [ 0.000000] BIOS-e820: [mem 0x00000000dd000000-0x00000000dfffffff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000f8000000-0x00000000fbffffff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000fd000000-0x00000000ffffffff] reserved [ 0.000000] BIOS-e820: [mem 0x0000000100000000-0x000000041f37ffff] usable [ 0.000000] NX (Execute Disable) protection: active [ 0.000000] efi: EFI v2.70 by American Megatrends [ 0.000000] efi: ACPI 2.0=0xd9280000 ACPI=0xd9280000 SMBIOS=0xda39f000 SMBIOS 3.0=0xda39e000 MEMATTR=0xd7872018 ESRT=0xd5cdb818 [ 0.000000] SMBIOS 3.2.0 present. [ 0.000000] DMI: System manufacturer System Product Name/TUF GAMING X570-PLUS, BIOS 1405 11/19/2019 [ 0.000000] tsc: Fast TSC calibration failed [ 0.000000] e820: update [mem 0x00000000-0x00000fff] usable ==> reserved [ 0.000000] e820: remove [mem 0x000a0000-0x000fffff] usable [ 0.000000] last_pfn = 0x41f380 max_arch_pfn = 0x400000000 [ 0.000000] MTRR default type: uncachable [ 0.000000] MTRR fixed ranges enabled: [ 0.000000] 00000-9FFFF write-back [ 0.000000] A0000-BFFFF write-through [ 0.000000] C0000-DFFFF uncachable [ 0.000000] E0000-FFFFF write-protect [ 0.000000] MTRR variable ranges enabled: [ 0.000000] 0 base 000000000000 mask FFFF80000000 write-back [ 0.000000] 1 base 000080000000 mask FFFFC0000000 write-back [ 0.000000] 2 base 0000C0000000 mask FFFFE0000000 write-back [ 0.000000] 3 base 0000D9B60000 mask FFFFFFFF0000 uncachable [ 0.000000] 4 disabled [ 0.000000] 5 disabled [ 0.000000] 6 disabled [ 0.000000] 7 disabled [ 0.000000] TOM2: 0000000420000000 aka 16896M [ 0.000000] x86/PAT: Configuration [0-7]: WB WC UC- UC WB WP UC- WT [ 0.000000] total RAM covered: 3583M [ 0.000000] Found optimal setting for mtrr clean up [ 0.000000] gran_size: 64K chunk_size: 128M num_reg: 4 lose cover RAM: 0G [ 0.000000] e820: update [mem 0xd9b60000-0xd9b6ffff] usable ==> reserved [ 0.000000] e820: update [mem 0xe0000000-0xffffffff] usable ==> reserved [ 0.000000] last_pfn = 0xdd000 max_arch_pfn = 0x400000000 [ 0.000000] esrt: Reserving ESRT space from 0x00000000d5cdb818 to 0x00000000d5cdb850. [ 0.000000] e820: update [mem 0xd5cdb000-0xd5cdbfff] usable ==> reserved [ 0.000000] check: Scanning 1 areas for low memory corruption [ 0.000000] Using GB pages for direct mapping [ 0.000000] BRK [0x419201000, 0x419201fff] PGTABLE [ 0.000000] BRK [0x419202000, 0x419202fff] PGTABLE [ 0.000000] BRK [0x419203000, 0x419203fff] PGTABLE [ 0.000000] BRK [0x419204000, 0x419204fff] PGTABLE [ 0.000000] BRK [0x419205000, 0x419205fff] PGTABLE [ 0.000000] BRK [0x419206000, 0x419206fff] PGTABLE [ 0.000000] BRK [0x419207000, 0x419207fff] PGTABLE [ 0.000000] BRK [0x419208000, 0x419208fff] PGTABLE [ 0.000000] BRK [0x419209000, 0x419209fff] PGTABLE [ 0.000000] BRK [0x41920a000, 0x41920afff] PGTABLE [ 0.000000] BRK [0x41920b000, 0x41920bfff] PGTABLE [ 0.000000] Secure boot could not be determined [ 0.000000] RAMDISK: [mem 0x36f3f000-0x37796fff] [ 0.000000] ACPI: Early table checksum verification disabled [ 0.000000] ACPI: RSDP 0x00000000D9280000 000024 (v02 ALASKA) [ 0.000000] ACPI: XSDT 0x00000000D92800A0 0000BC (v01 ALASKA A M I 01072009 AMI 00010013) [ 0.000000] ACPI: FACP 0x00000000D928BBF0 000114 (v06 ALASKA A M I 01072009 AMI 00010013) [ 0.000000] ACPI: DSDT 0x00000000D92801F8 00B9F3 (v02 ALASKA A M I 01072009 INTL 20120913) [ 0.000000] ACPI: FACS 0x00000000D977DE00 000040 [ 0.000000] ACPI: APIC 0x00000000D928BD08 00015E (v03 ALASKA A M I 01072009 AMI 00010013) [ 0.000000] ACPI: FPDT 0x00000000D928BE68 000044 (v01 ALASKA A M I 01072009 AMI 00010013) [ 0.000000] ACPI: FIDT 0x00000000D928BEB0 00009C (v01 ALASKA A M I 01072009 AMI 00010013) [ 0.000000] ACPI: SSDT 0x00000000D928BF50 0000C8 (v02 ALASKA CPUSSDT 01072009 AMI 01072009) [ 0.000000] ACPI: WSMT 0x00000000D929DE08 000028 (v01 ALASKA A M I 01072009 AMI 00010013) [ 0.000000] ACPI: SSDT 0x00000000D928C070 008C98 (v02 AMD AMD ALIB 00000002 MSFT 04000000) [ 0.000000] ACPI: SSDT 0x00000000D9294D08 00368A (v01 AMD AMD AOD 00000001 INTL 20120913) [ 0.000000] ACPI: MCFG 0x00000000D9298398 00003C (v01 ALASKA A M I 01072009 MSFT 00010013) [ 0.000000] ACPI: HPET 0x00000000D92983D8 000038 (v01 ALASKA A M I 01072009 AMI 00000005) [ 0.000000] ACPI: SSDT 0x00000000D9298410 000024 (v01 AMD BIXBY 00001000 INTL 20120913) [ 0.000000] ACPI: UEFI 0x00000000D9298438 000042 (v01 ALASKA A M I 00000002 01000013) [ 0.000000] ACPI: WPBT 0x00000000D9298480 00003C (v01 ALASKA A M I 00000001 ASUS 00000001) [ 0.000000] ACPI: IVRS 0x00000000D92984C0 0000D0 (v02 AMD AMD IVRS 00000001 AMD 00000000) [ 0.000000] ACPI: PCCT 0x00000000D9298590 00006E (v01 AMD AMD PCCT 00000001 AMD 00000000) [ 0.000000] ACPI: SSDT 0x00000000D9298600 002F29 (v01 AMD AMD CPU 00000001 AMD 00000001) [ 0.000000] ACPI: CRAT 0x00000000D929B530 000B58 (v01 AMD AMD CRAT 00000001 AMD 00000001) [ 0.000000] ACPI: CDIT 0x00000000D929C088 000029 (v01 AMD AMD CDIT 00000001 AMD 00000001) [ 0.000000] ACPI: SSDT 0x00000000D929C0B8 001D4A (v01 AMD AmdTable 00000001 INTL 20120913) [ 0.000000] ACPI: Local APIC address 0xfee00000 [ 0.000000] No NUMA configuration found [ 0.000000] Faking a node at [mem 0x0000000000000000-0x000000041f37ffff] [ 0.000000] NODE_DATA(0) allocated [mem 0x41f37c000-0x41f37ffff] [ 0.000000] Zone ranges: [ 0.000000] DMA [mem 0x0000000000001000-0x0000000000ffffff] [ 0.000000] DMA32 [mem 0x0000000001000000-0x00000000ffffffff] [ 0.000000] Normal [mem 0x0000000100000000-0x000000041f37ffff] [ 0.000000] Device empty [ 0.000000] Movable zone start for each node [ 0.000000] Early memory node ranges [ 0.000000] node 0: [mem 0x0000000000001000-0x000000000008ffff] [ 0.000000] node 0: [mem 0x0000000000091000-0x000000000009ffff] [ 0.000000] node 0: [mem 0x0000000000100000-0x0000000009d01fff] [ 0.000000] node 0: [mem 0x000000000a000000-0x000000000a1fffff] [ 0.000000] node 0: [mem 0x000000000a20c000-0x00000000d9010fff] [ 0.000000] node 0: [mem 0x00000000da555000-0x00000000dcffffff] [ 0.000000] node 0: [mem 0x0000000100000000-0x000000041f37ffff] [ 0.000000] Zeroed struct page in unavailable ranges: 21808 pages [ 0.000000] Initmem setup node 0 [mem 0x0000000000001000-0x000000041f37ffff] [ 0.000000] On node 0 totalpages: 4172496 [ 0.000000] DMA zone: 64 pages used for memmap [ 0.000000] DMA zone: 24 pages reserved [ 0.000000] DMA zone: 3998 pages, LIFO batch:0 [ 0.000000] DMA32 zone: 13983 pages used for memmap [ 0.000000] DMA32 zone: 894898 pages, LIFO batch:63 [ 0.000000] Normal zone: 51150 pages used for memmap [ 0.000000] Normal zone: 3273600 pages, LIFO batch:63 [ 0.000000] ACPI: PM-Timer IO Port: 0x808 [ 0.000000] ACPI: Local APIC address 0xfee00000 [ 0.000000] ACPI: LAPIC_NMI (acpi_id[0xff] high edge lint[0x1]) [ 0.000000] IOAPIC[0]: apic_id 13, version 33, address 0xfec00000, GSI 0-23 [ 0.000000] IOAPIC[1]: apic_id 14, version 33, address 0xfec01000, GSI 24-55 [ 0.000000] ACPI: INT_SRC_OVR (bus 0 bus_irq 0 global_irq 2 dfl dfl) [ 0.000000] ACPI: INT_SRC_OVR (bus 0 bus_irq 9 global_irq 9 low level) [ 0.000000] ACPI: IRQ0 used by override. [ 0.000000] ACPI: IRQ9 used by override. [ 0.000000] Using ACPI (MADT) for SMP configuration information [ 0.000000] ACPI: HPET id: 0x10228201 base: 0xfed00000 [ 0.000000] smpboot: Allowing 32 CPUs, 20 hotplug CPUs [ 0.000000] PM: Registered nosave memory: [mem 0x00000000-0x00000fff] [ 0.000000] PM: Registered nosave memory: [mem 0x00090000-0x00090fff] [ 0.000000] PM: Registered nosave memory: [mem 0x000a0000-0x000fffff] [ 0.000000] PM: Registered nosave memory: [mem 0x09d02000-0x09ffffff] [ 0.000000] PM: Registered nosave memory: [mem 0x0a200000-0x0a20bfff] [ 0.000000] PM: Registered nosave memory: [mem 0xd5cdb000-0xd5cdbfff] [ 0.000000] PM: Registered nosave memory: [mem 0xd9011000-0xd915afff] [ 0.000000] PM: Registered nosave memory: [mem 0xd915b000-0xd92e3fff] [ 0.000000] PM: Registered nosave memory: [mem 0xd92e4000-0xd9794fff] [ 0.000000] PM: Registered nosave memory: [mem 0xd9795000-0xda4b9fff] [ 0.000000] PM: Registered nosave memory: [mem 0xda4ba000-0xda554fff] [ 0.000000] PM: Registered nosave memory: [mem 0xdd000000-0xdfffffff] [ 0.000000] PM: Registered nosave memory: [mem 0xe0000000-0xf7ffffff] [ 0.000000] PM: Registered nosave memory: [mem 0xf8000000-0xfbffffff] [ 0.000000] PM: Registered nosave memory: [mem 0xfc000000-0xfcffffff] [ 0.000000] PM: Registered nosave memory: [mem 0xfd000000-0xffffffff] [ 0.000000] [mem 0xe0000000-0xf7ffffff] available for PCI devices [ 0.000000] Booting paravirtualized kernel on bare hardware [ 0.000000] clocksource: refined-jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 6370452778343963 ns [ 0.000000] setup_percpu: NR_CPUS:320 nr_cpumask_bits:320 nr_cpu_ids:32 nr_node_ids:1 [ 0.000000] percpu: Embedded 54 pages/cpu s184320 r8192 d28672 u262144 [ 0.000000] pcpu-alloc: s184320 r8192 d28672 u262144 alloc=1*2097152 [ 0.000000] pcpu-alloc: [0] 00 01 02 03 04 05 06 07 [0] 08 09 10 11 12 13 14 15 [ 0.000000] pcpu-alloc: [0] 16 17 18 19 20 21 22 23 [0] 24 25 26 27 28 29 30 31 [ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 4107275 [ 0.000000] Policy zone: Normal [ 0.000000] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-5.4-x86_64 root=UUID=516a50e0-c8c8-4dc1-a9a4-df5d24937bd6 rw loglevel=3 quiet [ 0.000000] printk: log_buf_len individual max cpu contribution: 4096 bytes [ 0.000000] printk: log_buf_len total cpu_extra contributions: 126976 bytes [ 0.000000] printk: log_buf_len min size: 131072 bytes [ 0.000000] printk: log_buf_len: 262144 bytes [ 0.000000] printk: early log buf free: 118528(90%) [ 0.000000] Dentry cache hash table entries: 2097152 (order: 12, 16777216 bytes, linear) [ 0.000000] Inode-cache hash table entries: 1048576 (order: 11, 8388608 bytes, linear) [ 0.000000] mem auto-init: stack:byref_all, heap alloc:on, heap free:off [ 0.000000] Calgary: detecting Calgary via BIOS EBDA area [ 0.000000] Calgary: Unable to locate Rio Grande table in EBDA - bailing! [ 0.000000] Memory: 16195812K/16689984K available (12291K kernel code, 1329K rwdata, 4124K rodata, 1656K init, 3436K bss, 494172K reserved, 0K cma-reserved) [ 0.000000] random: get_random_u64 called from __kmem_cache_create+0x3e/0x520 with crng_init=0 [ 0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=32, Nodes=1 [ 0.000000] ftrace: allocating 38550 entries in 151 pages [ 0.000000] rcu: Preemptible hierarchical RCU implementation. [ 0.000000] rcu: RCU dyntick-idle grace-period acceleration is enabled. [ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=320 to nr_cpu_ids=32. [ 0.000000] rcu: RCU priority boosting: priority 1 delay 500 ms. [ 0.000000] Tasks RCU enabled. [ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 30 jiffies. [ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=32 [ 0.000000] NR_IRQS: 20736, nr_irqs: 1224, preallocated irqs: 16 [ 0.000000] Console: colour dummy device 80x25 [ 0.000000] printk: console [tty0] enabled [ 0.000000] ACPI: Core revision 20190816 [ 0.000000] clocksource: hpet: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 133484873504 ns [ 0.000000] APIC: Switch to symmetric I/O mode setup [ 0.003333] Switched APIC routing to physical flat. [ 0.006666] ..TIMER: vector=0x30 apic1=0 pin1=2 apic2=-1 pin2=-1 [ 0.026666] tsc: PIT calibration matches HPET. 1 loops [ 0.026666] tsc: Detected 3793.026 MHz processor [ 0.000001] clocksource: tsc-early: mask: 0xffffffffffffffff max_cycles: 0x6d5939a4cb0, max_idle_ns: 881590864249 ns [ 0.000003] Calibrating delay loop (skipped), value calculated using timer frequency.. 7589.13 BogoMIPS (lpj=12643420) [ 0.000004] pid_max: default: 32768 minimum: 301 [ 0.001285] efi: memattr: Entry attributes invalid: RO and XP bits both cleared [ 0.001287] efi: memattr: ! 0x000000090000-0x000000090fff [Runtime Code |RUN| | | | | | | | | | | ] [ 0.002180] LSM: Security Framework initializing [ 0.002183] Yama: becoming mindful. [ 0.002212] Mount-cache hash table entries: 32768 (order: 6, 262144 bytes, linear) [ 0.002232] Mountpoint-cache hash table entries: 32768 (order: 6, 262144 bytes, linear) [ 0.002241] *** VALIDATE tmpfs *** [ 0.002331] *** VALIDATE proc *** [ 0.002367] *** VALIDATE cgroup1 *** [ 0.002367] *** VALIDATE cgroup2 *** [ 0.002393] x86/cpu: User Mode Instruction Prevention (UMIP) activated [ 0.002435] LVT offset 1 assigned for vector 0xf9 [ 0.002559] LVT offset 2 assigned for vector 0xf4 [ 0.002593] Last level iTLB entries: 4KB 1024, 2MB 1024, 4MB 512 [ 0.002593] Last level dTLB entries: 4KB 2048, 2MB 2048, 4MB 1024, 1GB 0 [ 0.002595] Spectre V1 : Mitigation: usercopy/swapgs barriers and __user pointer sanitization [ 0.002596] Spectre V2 : Mitigation: Full AMD retpoline [ 0.002596] Spectre V2 : Spectre v2 / SpectreRSB mitigation: Filling RSB on context switch [ 0.002597] Spectre V2 : mitigation: Enabling conditional Indirect Branch Prediction Barrier [ 0.002597] Spectre V2 : User space: Mitigation: STIBP via seccomp and prctl [ 0.002598] Speculative Store Bypass: Mitigation: Speculative Store Bypass disabled via prctl and seccomp [ 0.002787] Freeing SMP alternatives memory: 32K [ 0.111001] smpboot: CPU0: AMD Ryzen 5 3600X 6-Core Processor (family: 0x17, model: 0x71, stepping: 0x0) [ 0.130007] Performance Events: Fam17h+ core perfctr, AMD PMU driver. [ 0.130009] ... version: 0 [ 0.130010] ... bit width: 48 [ 0.130010] ... generic registers: 6 [ 0.130010] ... value mask: 0000ffffffffffff [ 0.130011] ... max period: 00007fffffffffff [ 0.130011] ... fixed-purpose events: 0 [ 0.130011] ... event mask: 000000000000003f [ 0.136670] rcu: Hierarchical SRCU implementation. [ 0.160008] NMI watchdog: Enabled. Permanently consumes one hw-PMU counter. [ 0.166672] smp: Bringing up secondary CPUs ... [ 0.206678] x86: Booting SMP configuration: [ 0.206679] .... node #0, CPUs: #1 #2 #3 #4 #5 #6 #7 #8 #9 #10 #11 [ 0.608817] smp: Brought up 1 node, 12 CPUs [ 0.608817] smpboot: Max logical packages: 3 [ 0.608817] smpboot: Total of 12 processors activated (91069.65 BogoMIPS) [ 0.610099] devtmpfs: initialized [ 0.610099] x86/mm: Memory block size: 128MB [ 0.610780] PM: Registering ACPI NVS region [mem 0x0a200000-0x0a20bfff] (49152 bytes) [ 0.610780] PM: Registering ACPI NVS region [mem 0xd92e4000-0xd9794fff] (4919296 bytes) [ 0.610780] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 6370867519511994 ns [ 0.610780] futex hash table entries: 8192 (order: 7, 524288 bytes, linear) [ 0.610780] pinctrl core: initialized pinctrl subsystem [ 0.610780] PM: RTC time: 12:06:08, date: 2020-05-09 [ 0.610780] NET: Registered protocol family 16 [ 0.610780] audit: initializing netlink subsys (disabled) [ 0.610780] audit: type=2000 audit(1589025967.636:1): state=initialized audit_enabled=0 res=1 [ 0.610780] cpuidle: using governor ladder [ 0.610780] cpuidle: using governor menu [ 0.610780] Detected 1 PCC Subspaces [ 0.610780] Registering PCC driver as Mailbox controller [ 0.610780] ACPI: bus type PCI registered [ 0.610780] acpiphp: ACPI Hot Plug PCI Controller Driver version: 0.5 [ 0.610780] PCI: MMCONFIG for domain 0000 [bus 00-3f] at [mem 0xf8000000-0xfbffffff] (base 0xf8000000) [ 0.610780] PCI: MMCONFIG at [mem 0xf8000000-0xfbffffff] reserved in E820 [ 0.610780] PCI: Using configuration type 1 for base access [ 0.610780] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages [ 0.610780] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages [ 0.613403] ACPI: Added _OSI(Module Device) [ 0.613404] ACPI: Added _OSI(Processor Device) [ 0.613405] ACPI: Added _OSI(3.0 _SCP Extensions) [ 0.613406] ACPI: Added _OSI(Processor Aggregator Device) [ 0.613406] ACPI: Added _OSI(Linux-Dell-Video) [ 0.613407] ACPI: Added _OSI(Linux-Lenovo-NV-HDMI-Audio) [ 0.613407] ACPI: Added _OSI(Linux-HPI-Hybrid-Graphics) [ 0.622197] ACPI: 7 ACPI AML tables successfully acquired and loaded [ 0.623373] ACPI: [Firmware Bug]: BIOS _OSI(Linux) query ignored [ 0.625764] ACPI: Interpreter enabled [ 0.625774] ACPI: (supports S0 S3 S4 S5) [ 0.625775] ACPI: Using IOAPIC for interrupt routing [ 0.626034] PCI: Using host bridge windows from ACPI; if necessary, use "pci=nocrs" and report a bug [ 0.626254] ACPI: Enabled 2 GPEs in block 00 to 1F [ 0.632581] ACPI: PCI Root Bridge [PCI0] (domain 0000 [bus 00-ff]) [ 0.632584] acpi PNP0A08:00: _OSC: OS supports [ExtendedConfig ASPM ClockPM Segments MSI HPX-Type3] [ 0.632723] acpi PNP0A08:00: _OSC: platform does not support [PCIeHotplug SHPCHotplug PME LTR] [ 0.632855] acpi PNP0A08:00: _OSC: OS now controls [AER PCIeCapability] [ 0.632862] acpi PNP0A08:00: [Firmware Info]: MMCONFIG for domain 0000 [bus 00-3f] only partially covers this bridge [ 0.633125] PCI host bridge to bus 0000:00 [ 0.633127] pci_bus 0000:00: root bus resource [io 0x0000-0x03af window] [ 0.633127] pci_bus 0000:00: root bus resource [io 0x03e0-0x0cf7 window] [ 0.633128] pci_bus 0000:00: root bus resource [io 0x03b0-0x03df window] [ 0.633128] pci_bus 0000:00: root bus resource [io 0x0d00-0xffff window] [ 0.633129] pci_bus 0000:00: root bus resource [mem 0x000a0000-0x000bffff window] [ 0.633130] pci_bus 0000:00: root bus resource [mem 0x000c0000-0x000dffff window] [ 0.633130] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xfec02fff window] [ 0.633131] pci_bus 0000:00: root bus resource [mem 0xfee00000-0xffffffff window] [ 0.633131] pci_bus 0000:00: root bus resource [bus 00-ff] [ 0.633137] pci 0000:00:00.0: [1022:1480] type 00 class 0x060000 [ 0.633224] pci 0000:00:00.2: [1022:1481] type 00 class 0x080600 [ 0.633318] pci 0000:00:01.0: [1022:1482] type 00 class 0x060000 [ 0.633388] pci 0000:00:01.2: [1022:1483] type 01 class 0x060400 [ 0.633419] pci 0000:00:01.2: enabling Extended Tags [ 0.633461] pci 0000:00:01.2: PME# supported from D0 D3hot D3cold [ 0.633545] pci 0000:00:02.0: [1022:1482] type 00 class 0x060000 [ 0.633615] pci 0000:00:03.0: [1022:1482] type 00 class 0x060000 [ 0.633681] pci 0000:00:03.1: [1022:1483] type 01 class 0x060400 [ 0.633762] pci 0000:00:03.1: PME# supported from D0 D3hot D3cold [ 0.633862] pci 0000:00:04.0: [1022:1482] type 00 class 0x060000 [ 0.633933] pci 0000:00:05.0: [1022:1482] type 00 class 0x060000 [ 0.634004] pci 0000:00:07.0: [1022:1482] type 00 class 0x060000 [ 0.634069] pci 0000:00:07.1: [1022:1484] type 01 class 0x060400 [ 0.634096] pci 0000:00:07.1: enabling Extended Tags [ 0.634131] pci 0000:00:07.1: PME# supported from D0 D3hot D3cold [ 0.634211] pci 0000:00:08.0: [1022:1482] type 00 class 0x060000 [ 0.634276] pci 0000:00:08.1: [1022:1484] type 01 class 0x060400 [ 0.634306] pci 0000:00:08.1: enabling Extended Tags [ 0.634344] pci 0000:00:08.1: PME# supported from D0 D3hot D3cold [ 0.634423] pci 0000:00:08.2: [1022:1484] type 01 class 0x060400 [ 0.634453] pci 0000:00:08.2: enabling Extended Tags [ 0.634493] pci 0000:00:08.2: PME# supported from D0 D3hot D3cold [ 0.634573] pci 0000:00:08.3: [1022:1484] type 01 class 0x060400 [ 0.634602] pci 0000:00:08.3: enabling Extended Tags [ 0.634641] pci 0000:00:08.3: PME# supported from D0 D3hot D3cold [ 0.634735] pci 0000:00:14.0: [1022:790b] type 00 class 0x0c0500 [ 0.634849] pci 0000:00:14.3: [1022:790e] type 00 class 0x060100 [ 0.634969] pci 0000:00:18.0: [1022:1440] type 00 class 0x060000 [ 0.635012] pci 0000:00:18.1: [1022:1441] type 00 class 0x060000 [ 0.635055] pci 0000:00:18.2: [1022:1442] type 00 class 0x060000 [ 0.635098] pci 0000:00:18.3: [1022:1443] type 00 class 0x060000 [ 0.635141] pci 0000:00:18.4: [1022:1444] type 00 class 0x060000 [ 0.635184] pci 0000:00:18.5: [1022:1445] type 00 class 0x060000 [ 0.635231] pci 0000:00:18.6: [1022:1446] type 00 class 0x060000 [ 0.635275] pci 0000:00:18.7: [1022:1447] type 00 class 0x060000 [ 0.635365] pci 0000:01:00.0: [1022:57ad] type 01 class 0x060400 [ 0.635432] pci 0000:01:00.0: enabling Extended Tags [ 0.635513] pci 0000:01:00.0: PME# supported from D0 D3hot D3cold [ 0.635589] pci 0000:01:00.0: 63.012 Gb/s available PCIe bandwidth, limited by 16 GT/s x4 link at 0000:00:01.2 (capable of 126.024 Gb/s with 16 GT/s x8 link) [ 0.635654] pci 0000:00:01.2: PCI bridge to [bus 01-06] [ 0.635657] pci 0000:00:01.2: bridge window [io 0xf000-0xffff] [ 0.635659] pci 0000:00:01.2: bridge window [mem 0xf7200000-0xf76fffff] [ 0.635914] pci 0000:02:05.0: [1022:57a3] type 01 class 0x060400 [ 0.636012] pci 0000:02:05.0: enabling Extended Tags [ 0.636193] pci 0000:02:05.0: PME# supported from D0 D3hot D3cold [ 0.636401] pci 0000:02:08.0: [1022:57a4] type 01 class 0x060400 [ 0.636492] pci 0000:02:08.0: enabling Extended Tags [ 0.636644] pci 0000:02:08.0: PME# supported from D0 D3hot D3cold [ 0.636797] pci 0000:02:09.0: [1022:57a4] type 01 class 0x060400 [ 0.636887] pci 0000:02:09.0: enabling Extended Tags [ 0.637040] pci 0000:02:09.0: PME# supported from D0 D3hot D3cold [ 0.637186] pci 0000:02:0a.0: [1022:57a4] type 01 class 0x060400 [ 0.637276] pci 0000:02:0a.0: enabling Extended Tags [ 0.637438] pci 0000:02:0a.0: PME# supported from D0 D3hot D3cold [ 0.637610] pci 0000:01:00.0: PCI bridge to [bus 02-06] [ 0.637616] pci 0000:01:00.0: bridge window [io 0xf000-0xffff] [ 0.637619] pci 0000:01:00.0: bridge window [mem 0xf7200000-0xf76fffff] [ 0.637723] pci 0000:03:00.0: [10ec:8168] type 00 class 0x020000 [ 0.637765] pci 0000:03:00.0: reg 0x10: [io 0xf000-0xf0ff] [ 0.637801] pci 0000:03:00.0: reg 0x18: [mem 0xf7604000-0xf7604fff 64bit] [ 0.637825] pci 0000:03:00.0: reg 0x20: [mem 0xf7600000-0xf7603fff 64bit] [ 0.637967] pci 0000:03:00.0: supports D1 D2 [ 0.637967] pci 0000:03:00.0: PME# supported from D0 D1 D2 D3hot D3cold [ 0.638165] pci 0000:02:05.0: PCI bridge to [bus 03] [ 0.638172] pci 0000:02:05.0: bridge window [io 0xf000-0xffff] [ 0.638175] pci 0000:02:05.0: bridge window [mem 0xf7600000-0xf76fffff] [ 0.638286] pci 0000:04:00.0: [1022:1485] type 00 class 0x130000 [ 0.638365] pci 0000:04:00.0: enabling Extended Tags [ 0.638505] pci 0000:04:00.0: 63.012 Gb/s available PCIe bandwidth, limited by 16 GT/s x4 link at 0000:00:01.2 (capable of 252.048 Gb/s with 16 GT/s x16 link) [ 0.638635] pci 0000:04:00.1: [1022:149c] type 00 class 0x0c0330 [ 0.638999] pci 0000:04:00.1: reg 0x10: [mem 0xf7300000-0xf73fffff 64bit] [ 0.639750] pci 0000:04:00.1: enabling Extended Tags [ 0.640260] pci 0000:04:00.1: PME# supported from D0 D3hot D3cold [ 0.640573] pci 0000:04:00.3: [1022:149c] type 00 class 0x0c0330 [ 0.640606] pci 0000:04:00.3: reg 0x10: [mem 0xf7200000-0xf72fffff 64bit] [ 0.640664] pci 0000:04:00.3: enabling Extended Tags [ 0.640738] pci 0000:04:00.3: PME# supported from D0 D3hot D3cold [ 0.640878] pci 0000:02:08.0: PCI bridge to [bus 04] [ 0.640886] pci 0000:02:08.0: bridge window [mem 0xf7200000-0xf73fffff] [ 0.640976] pci 0000:05:00.0: [1022:7901] type 00 class 0x010601 [ 0.641058] pci 0000:05:00.0: reg 0x24: [mem 0xf7500000-0xf75007ff] [ 0.641077] pci 0000:05:00.0: enabling Extended Tags [ 0.641169] pci 0000:05:00.0: PME# supported from D3hot D3cold [ 0.641235] pci 0000:05:00.0: 63.012 Gb/s available PCIe bandwidth, limited by 16 GT/s x4 link at 0000:00:01.2 (capable of 252.048 Gb/s with 16 GT/s x16 link) [ 0.641305] pci 0000:02:09.0: PCI bridge to [bus 05] [ 0.641314] pci 0000:02:09.0: bridge window [mem 0xf7500000-0xf75fffff] [ 0.641403] pci 0000:06:00.0: [1022:7901] type 00 class 0x010601 [ 0.641486] pci 0000:06:00.0: reg 0x24: [mem 0xf7400000-0xf74007ff] [ 0.641505] pci 0000:06:00.0: enabling Extended Tags [ 0.641596] pci 0000:06:00.0: PME# supported from D3hot D3cold [ 0.641662] pci 0000:06:00.0: 63.012 Gb/s available PCIe bandwidth, limited by 16 GT/s x4 link at 0000:00:01.2 (capable of 252.048 Gb/s with 16 GT/s x16 link) [ 0.641731] pci 0000:02:0a.0: PCI bridge to [bus 06] [ 0.641739] pci 0000:02:0a.0: bridge window [mem 0xf7400000-0xf74fffff] [ 0.641815] pci 0000:07:00.0: [10de:21c4] type 00 class 0x030000 [ 0.641841] pci 0000:07:00.0: reg 0x10: [mem 0xf6000000-0xf6ffffff] [ 0.641853] pci 0000:07:00.0: reg 0x14: [mem 0xe0000000-0xefffffff 64bit pref] [ 0.641865] pci 0000:07:00.0: reg 0x1c: [mem 0xf0000000-0xf1ffffff 64bit pref] [ 0.641873] pci 0000:07:00.0: reg 0x24: [io 0xe000-0xe07f] [ 0.641880] pci 0000:07:00.0: reg 0x30: [mem 0xf7000000-0xf707ffff pref] [ 0.641945] pci 0000:07:00.0: PME# supported from D0 D3hot D3cold [ 0.641996] pci 0000:07:00.0: 32.000 Gb/s available PCIe bandwidth, limited by 2.5 GT/s x16 link at 0000:00:03.1 (capable of 126.016 Gb/s with 8 GT/s x16 link) [ 0.642026] pci 0000:07:00.1: [10de:1aeb] type 00 class 0x040300 [ 0.642042] pci 0000:07:00.1: reg 0x10: [mem 0xf7080000-0xf7083fff] [ 0.642159] pci 0000:07:00.2: [10de:1aec] type 00 class 0x0c0330 [ 0.642180] pci 0000:07:00.2: reg 0x10: [mem 0xf2000000-0xf203ffff 64bit pref] [ 0.642199] pci 0000:07:00.2: reg 0x1c: [mem 0xf2040000-0xf204ffff 64bit pref] [ 0.642259] pci 0000:07:00.2: PME# supported from D0 D3hot D3cold [ 0.642307] pci 0000:07:00.3: [10de:1aed] type 00 class 0x0c8000 [ 0.642322] pci 0000:07:00.3: reg 0x10: [mem 0xf7084000-0xf7084fff] [ 0.642398] pci 0000:07:00.3: PME# supported from D0 D3hot D3cold [ 0.642486] pci 0000:00:03.1: PCI bridge to [bus 07] [ 0.642490] pci 0000:00:03.1: bridge window [io 0xe000-0xefff] [ 0.642491] pci 0000:00:03.1: bridge window [mem 0xf6000000-0xf70fffff] [ 0.642495] pci 0000:00:03.1: bridge window [mem 0xe0000000-0xf20fffff 64bit pref] [ 0.642527] pci 0000:08:00.0: [1022:148a] type 00 class 0x130000 [ 0.642560] pci 0000:08:00.0: enabling Extended Tags [ 0.642656] pci 0000:00:07.1: PCI bridge to [bus 08] [ 0.642702] pci 0000:09:00.0: [1022:1485] type 00 class 0x130000 [ 0.642741] pci 0000:09:00.0: enabling Extended Tags [ 0.642832] pci 0000:09:00.1: [1022:1486] type 00 class 0x108000 [ 0.642853] pci 0000:09:00.1: reg 0x18: [mem 0xf7800000-0xf78fffff] [ 0.642864] pci 0000:09:00.1: reg 0x24: [mem 0xf7908000-0xf7909fff] [ 0.642871] pci 0000:09:00.1: enabling Extended Tags [ 0.642954] pci 0000:09:00.3: [1022:149c] type 00 class 0x0c0330 [ 0.642972] pci 0000:09:00.3: reg 0x10: [mem 0xf7700000-0xf77fffff 64bit] [ 0.643000] pci 0000:09:00.3: enabling Extended Tags [ 0.643044] pci 0000:09:00.3: PME# supported from D0 D3hot D3cold [ 0.643093] pci 0000:09:00.4: [1022:1487] type 00 class 0x040300 [ 0.643107] pci 0000:09:00.4: reg 0x10: [mem 0xf7900000-0xf7907fff] [ 0.643132] pci 0000:09:00.4: enabling Extended Tags [ 0.643172] pci 0000:09:00.4: PME# supported from D0 D3hot D3cold [ 0.643244] pci 0000:00:08.1: PCI bridge to [bus 09] [ 0.643248] pci 0000:00:08.1: bridge window [mem 0xf7700000-0xf79fffff] [ 0.643285] pci 0000:0a:00.0: [1022:7901] type 00 class 0x010601 [ 0.643326] pci 0000:0a:00.0: reg 0x24: [mem 0xf7b00000-0xf7b007ff] [ 0.643344] pci 0000:0a:00.0: enabling Extended Tags [ 0.643396] pci 0000:0a:00.0: PME# supported from D3hot D3cold [ 0.643472] pci 0000:00:08.2: PCI bridge to [bus 0a] [ 0.643476] pci 0000:00:08.2: bridge window [mem 0xf7b00000-0xf7bfffff] [ 0.643515] pci 0000:0b:00.0: [1022:7901] type 00 class 0x010601 [ 0.643556] pci 0000:0b:00.0: reg 0x24: [mem 0xf7a00000-0xf7a007ff] [ 0.643565] pci 0000:0b:00.0: enabling Extended Tags [ 0.643617] pci 0000:0b:00.0: PME# supported from D3hot D3cold [ 0.643694] pci 0000:00:08.3: PCI bridge to [bus 0b] [ 0.643698] pci 0000:00:08.3: bridge window [mem 0xf7a00000-0xf7afffff] [ 0.644031] ACPI: PCI Interrupt Link [LNKA] (IRQs 4 5 7 10 11 14 15) *0 [ 0.644071] ACPI: PCI Interrupt Link [LNKB] (IRQs 4 5 7 10 11 14 15) *0 [ 0.644106] ACPI: PCI Interrupt Link [LNKC] (IRQs 4 5 7 10 11 14 15) *0 [ 0.644148] ACPI: PCI Interrupt Link [LNKD] (IRQs 4 5 7 10 11 14 15) *0 [ 0.644187] ACPI: PCI Interrupt Link [LNKE] (IRQs 4 5 7 10 11 14 15) *0 [ 0.644219] ACPI: PCI Interrupt Link [LNKF] (IRQs 4 5 7 10 11 14 15) *0 [ 0.644250] ACPI: PCI Interrupt Link [LNKG] (IRQs 4 5 7 10 11 14 15) *0 [ 0.644282] ACPI: PCI Interrupt Link [LNKH] (IRQs 4 5 7 10 11 14 15) *0 [ 0.646671] iommu: Default domain type: Translated [ 0.646686] pci 0000:07:00.0: vgaarb: VGA device added: decodes=io+mem,owns=none,locks=none [ 0.646686] pci 0000:07:00.0: vgaarb: bridge control possible [ 0.646686] pci 0000:07:00.0: vgaarb: setting as boot device (VGA legacy resources not available) [ 0.646686] vgaarb: loaded [ 0.646759] ACPI: bus type USB registered [ 0.646764] usbcore: registered new interface driver usbfs [ 0.646768] usbcore: registered new interface driver hub [ 0.646783] usbcore: registered new device driver usb [ 0.646793] pps_core: LinuxPPS API ver. 1 registered [ 0.646793] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti [ 0.646796] PTP clock support registered [ 0.646800] EDAC MC: Ver: 3.0.0 [ 0.646914] Registered efivars operations [ 0.646914] PCI: Using ACPI for IRQ routing [ 0.648351] PCI: pci_cache_line_size set to 64 bytes [ 0.648351] Expanded resource Reserved due to conflict with PCI Bus 0000:00 [ 0.648351] e820: reserve RAM buffer [mem 0x09d02000-0x0bffffff] [ 0.648351] e820: reserve RAM buffer [mem 0x0a200000-0x0bffffff] [ 0.648351] e820: reserve RAM buffer [mem 0xd5cdb000-0xd7ffffff] [ 0.648351] e820: reserve RAM buffer [mem 0xd9011000-0xdbffffff] [ 0.648351] e820: reserve RAM buffer [mem 0xdd000000-0xdfffffff] [ 0.648351] e820: reserve RAM buffer [mem 0x41f380000-0x41fffffff] [ 0.648351] NetLabel: Initializing [ 0.648351] NetLabel: domain hash size = 128 [ 0.648351] NetLabel: protocols = UNLABELED CIPSOv4 CALIPSO [ 0.648351] NetLabel: unlabeled traffic allowed by default [ 0.648351] hpet0: at MMIO 0xfed00000, IRQs 2, 8, 0 [ 0.648351] hpet0: 3 comparators, 32-bit 14.318180 MHz counter [ 0.650004] clocksource: Switched to clocksource tsc-early [ 0.656370] *** VALIDATE bpf *** [ 0.656406] VFS: Disk quotas dquot_6.6.0 [ 0.656419] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) [ 0.656430] *** VALIDATE ramfs *** [ 0.656432] *** VALIDATE hugetlbfs *** [ 0.656453] pnp: PnP ACPI init [ 0.656515] system 00:00: [mem 0xf8000000-0xfbffffff] has been reserved [ 0.656517] system 00:00: Plug and Play ACPI device, IDs PNP0c01 (active) [ 0.656577] system 00:01: [mem 0xfd000000-0xfd0fffff] has been reserved [ 0.656579] system 00:01: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.656605] pnp 00:02: Plug and Play ACPI device, IDs PNP0b00 (active) [ 0.656704] system 00:03: [io 0x0290-0x029f] has been reserved [ 0.656705] system 00:03: [io 0x0200-0x021f] has been reserved [ 0.656706] system 00:03: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.656892] pnp 00:04: [dma 0 disabled] [ 0.656914] pnp 00:04: Plug and Play ACPI device, IDs PNP0501 (active) [ 0.657104] system 00:05: [io 0x04d0-0x04d1] has been reserved [ 0.657105] system 00:05: [io 0x040b] has been reserved [ 0.657105] system 00:05: [io 0x04d6] has been reserved [ 0.657106] system 00:05: [io 0x0c00-0x0c01] has been reserved [ 0.657107] system 00:05: [io 0x0c14] has been reserved [ 0.657107] system 00:05: [io 0x0c50-0x0c51] has been reserved [ 0.657108] system 00:05: [io 0x0c52] has been reserved [ 0.657108] system 00:05: [io 0x0c6c] has been reserved [ 0.657109] system 00:05: [io 0x0c6f] has been reserved [ 0.657110] system 00:05: [io 0x0cd0-0x0cd1] has been reserved [ 0.657110] system 00:05: [io 0x0cd2-0x0cd3] has been reserved [ 0.657111] system 00:05: [io 0x0cd4-0x0cd5] has been reserved [ 0.657112] system 00:05: [io 0x0cd6-0x0cd7] has been reserved [ 0.657112] system 00:05: [io 0x0cd8-0x0cdf] has been reserved [ 0.657113] system 00:05: [io 0x0800-0x089f] has been reserved [ 0.657114] system 00:05: [io 0x0b00-0x0b0f] has been reserved [ 0.657114] system 00:05: [io 0x0b20-0x0b3f] has been reserved [ 0.657115] system 00:05: [io 0x0900-0x090f] has been reserved [ 0.657116] system 00:05: [io 0x0910-0x091f] has been reserved [ 0.657117] system 00:05: [mem 0xfec00000-0xfec00fff] could not be reserved [ 0.657118] system 00:05: [mem 0xfec01000-0xfec01fff] could not be reserved [ 0.657119] system 00:05: [mem 0xfedc0000-0xfedc0fff] has been reserved [ 0.657120] system 00:05: [mem 0xfee00000-0xfee00fff] has been reserved [ 0.657120] system 00:05: [mem 0xfed80000-0xfed8ffff] could not be reserved [ 0.657121] system 00:05: [mem 0xfec10000-0xfec10fff] has been reserved [ 0.657122] system 00:05: [mem 0xff000000-0xffffffff] has been reserved [ 0.657123] system 00:05: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.657426] pnp: PnP ACPI: found 6 devices [ 0.658337] thermal_sys: Registered thermal governor 'fair_share' [ 0.658337] thermal_sys: Registered thermal governor 'bang_bang' [ 0.658337] thermal_sys: Registered thermal governor 'step_wise' [ 0.658338] thermal_sys: Registered thermal governor 'user_space' [ 0.658338] thermal_sys: Registered thermal governor 'power_allocator' [ 0.662879] clocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns [ 0.662908] pci 0000:02:05.0: PCI bridge to [bus 03] [ 0.662911] pci 0000:02:05.0: bridge window [io 0xf000-0xffff] [ 0.662916] pci 0000:02:05.0: bridge window [mem 0xf7600000-0xf76fffff] [ 0.662926] pci 0000:02:08.0: PCI bridge to [bus 04] [ 0.662931] pci 0000:02:08.0: bridge window [mem 0xf7200000-0xf73fffff] [ 0.662939] pci 0000:02:09.0: PCI bridge to [bus 05] [ 0.662944] pci 0000:02:09.0: bridge window [mem 0xf7500000-0xf75fffff] [ 0.662953] pci 0000:02:0a.0: PCI bridge to [bus 06] [ 0.662957] pci 0000:02:0a.0: bridge window [mem 0xf7400000-0xf74fffff] [ 0.662966] pci 0000:01:00.0: PCI bridge to [bus 02-06] [ 0.662968] pci 0000:01:00.0: bridge window [io 0xf000-0xffff] [ 0.662973] pci 0000:01:00.0: bridge window [mem 0xf7200000-0xf76fffff] [ 0.662981] pci 0000:00:01.2: PCI bridge to [bus 01-06] [ 0.662983] pci 0000:00:01.2: bridge window [io 0xf000-0xffff] [ 0.662985] pci 0000:00:01.2: bridge window [mem 0xf7200000-0xf76fffff] [ 0.662990] pci 0000:00:03.1: PCI bridge to [bus 07] [ 0.662991] pci 0000:00:03.1: bridge window [io 0xe000-0xefff] [ 0.662993] pci 0000:00:03.1: bridge window [mem 0xf6000000-0xf70fffff] [ 0.662995] pci 0000:00:03.1: bridge window [mem 0xe0000000-0xf20fffff 64bit pref] [ 0.662999] pci 0000:00:07.1: PCI bridge to [bus 08] [ 0.663005] pci 0000:00:08.1: PCI bridge to [bus 09] [ 0.663007] pci 0000:00:08.1: bridge window [mem 0xf7700000-0xf79fffff] [ 0.663011] pci 0000:00:08.2: PCI bridge to [bus 0a] [ 0.663014] pci 0000:00:08.2: bridge window [mem 0xf7b00000-0xf7bfffff] [ 0.663018] pci 0000:00:08.3: PCI bridge to [bus 0b] [ 0.663020] pci 0000:00:08.3: bridge window [mem 0xf7a00000-0xf7afffff] [ 0.663025] pci_bus 0000:00: resource 4 [io 0x0000-0x03af window] [ 0.663026] pci_bus 0000:00: resource 5 [io 0x03e0-0x0cf7 window] [ 0.663026] pci_bus 0000:00: resource 6 [io 0x03b0-0x03df window] [ 0.663027] pci_bus 0000:00: resource 7 [io 0x0d00-0xffff window] [ 0.663028] pci_bus 0000:00: resource 8 [mem 0x000a0000-0x000bffff window] [ 0.663028] pci_bus 0000:00: resource 9 [mem 0x000c0000-0x000dffff window] [ 0.663029] pci_bus 0000:00: resource 10 [mem 0xe0000000-0xfec02fff window] [ 0.663030] pci_bus 0000:00: resource 11 [mem 0xfee00000-0xffffffff window] [ 0.663031] pci_bus 0000:01: resource 0 [io 0xf000-0xffff] [ 0.663031] pci_bus 0000:01: resource 1 [mem 0xf7200000-0xf76fffff] [ 0.663032] pci_bus 0000:02: resource 0 [io 0xf000-0xffff] [ 0.663033] pci_bus 0000:02: resource 1 [mem 0xf7200000-0xf76fffff] [ 0.663033] pci_bus 0000:03: resource 0 [io 0xf000-0xffff] [ 0.663034] pci_bus 0000:03: resource 1 [mem 0xf7600000-0xf76fffff] [ 0.663035] pci_bus 0000:04: resource 1 [mem 0xf7200000-0xf73fffff] [ 0.663036] pci_bus 0000:05: resource 1 [mem 0xf7500000-0xf75fffff] [ 0.663036] pci_bus 0000:06: resource 1 [mem 0xf7400000-0xf74fffff] [ 0.663037] pci_bus 0000:07: resource 0 [io 0xe000-0xefff] [ 0.663038] pci_bus 0000:07: resource 1 [mem 0xf6000000-0xf70fffff] [ 0.663038] pci_bus 0000:07: resource 2 [mem 0xe0000000-0xf20fffff 64bit pref] [ 0.663039] pci_bus 0000:09: resource 1 [mem 0xf7700000-0xf79fffff] [ 0.663040] pci_bus 0000:0a: resource 1 [mem 0xf7b00000-0xf7bfffff] [ 0.663041] pci_bus 0000:0b: resource 1 [mem 0xf7a00000-0xf7afffff] [ 0.663118] NET: Registered protocol family 2 [ 0.663227] tcp_listen_portaddr_hash hash table entries: 8192 (order: 5, 131072 bytes, linear) [ 0.663306] TCP established hash table entries: 131072 (order: 8, 1048576 bytes, linear) [ 0.663480] TCP bind hash table entries: 65536 (order: 8, 1048576 bytes, linear) [ 0.663554] TCP: Hash tables configured (established 131072 bind 65536) [ 0.663596] UDP hash table entries: 8192 (order: 6, 262144 bytes, linear) [ 0.663631] UDP-Lite hash table entries: 8192 (order: 6, 262144 bytes, linear) [ 0.663738] NET: Registered protocol family 1 [ 0.663742] NET: Registered protocol family 44 [ 0.664114] pci 0000:07:00.1: D0 power state depends on 0000:07:00.0 [ 0.664158] pci 0000:07:00.2: D0 power state depends on 0000:07:00.0 [ 0.664262] pci 0000:07:00.3: D0 power state depends on 0000:07:00.0 [ 0.664373] PCI: CLS 64 bytes, default 64 [ 0.664399] Trying to unpack rootfs image as initramfs... [ 0.738145] Freeing initrd memory: 8544K [ 0.738160] pci 0000:00:00.2: AMD-Vi: IOMMU performance counters supported [ 0.738454] pci 0000:00:01.0: Adding to iommu group 0 [ 0.738563] pci 0000:00:01.2: Adding to iommu group 1 [ 0.738705] pci 0000:00:02.0: Adding to iommu group 2 [ 0.738808] pci 0000:00:03.0: Adding to iommu group 3 [ 0.738949] pci 0000:00:03.1: Adding to iommu group 4 [ 0.739049] pci 0000:00:04.0: Adding to iommu group 5 [ 0.739182] pci 0000:00:05.0: Adding to iommu group 6 [ 0.739319] pci 0000:00:07.0: Adding to iommu group 7 [ 0.739425] pci 0000:00:07.1: Adding to iommu group 8 [ 0.739567] pci 0000:00:08.0: Adding to iommu group 9 [ 0.739668] pci 0000:00:08.1: Adding to iommu group 10 [ 0.739811] pci 0000:00:08.2: Adding to iommu group 11 [ 0.739914] pci 0000:00:08.3: Adding to iommu group 12 [ 0.740055] pci 0000:00:14.0: Adding to iommu group 13 [ 0.740073] pci 0000:00:14.3: Adding to iommu group 13 [ 0.740189] pci 0000:00:18.0: Adding to iommu group 14 [ 0.740207] pci 0000:00:18.1: Adding to iommu group 14 [ 0.740224] pci 0000:00:18.2: Adding to iommu group 14 [ 0.740240] pci 0000:00:18.3: Adding to iommu group 14 [ 0.740257] pci 0000:00:18.4: Adding to iommu group 14 [ 0.740274] pci 0000:00:18.5: Adding to iommu group 14 [ 0.740291] pci 0000:00:18.6: Adding to iommu group 14 [ 0.740307] pci 0000:00:18.7: Adding to iommu group 14 [ 0.740458] pci 0000:01:00.0: Adding to iommu group 15 [ 0.740601] pci 0000:02:05.0: Adding to iommu group 16 [ 0.740771] pci 0000:02:08.0: Adding to iommu group 17 [ 0.740882] pci 0000:02:09.0: Adding to iommu group 18 [ 0.741035] pci 0000:02:0a.0: Adding to iommu group 19 [ 0.741172] pci 0000:03:00.0: Adding to iommu group 20 [ 0.741199] pci 0000:04:00.0: Adding to iommu group 17 [ 0.741230] pci 0000:04:00.1: Adding to iommu group 17 [ 0.741250] pci 0000:04:00.3: Adding to iommu group 17 [ 0.741276] pci 0000:05:00.0: Adding to iommu group 18 [ 0.741303] pci 0000:06:00.0: Adding to iommu group 19 [ 0.741470] pci 0000:07:00.0: Adding to iommu group 21 [ 0.741502] pci 0000:07:00.1: Adding to iommu group 21 [ 0.741531] pci 0000:07:00.2: Adding to iommu group 21 [ 0.741560] pci 0000:07:00.3: Adding to iommu group 21 [ 0.741662] pci 0000:08:00.0: Adding to iommu group 22 [ 0.741810] pci 0000:09:00.0: Adding to iommu group 23 [ 0.741918] pci 0000:09:00.1: Adding to iommu group 24 [ 0.742061] pci 0000:09:00.3: Adding to iommu group 25 [ 0.742168] pci 0000:09:00.4: Adding to iommu group 26 [ 0.742302] pci 0000:0a:00.0: Adding to iommu group 27 [ 0.742446] pci 0000:0b:00.0: Adding to iommu group 28 [ 0.742621] pci 0000:00:00.2: AMD-Vi: Found IOMMU cap 0x40 [ 0.742622] pci 0000:00:00.2: AMD-Vi: Extended features (0x58f77ef22294ade): [ 0.742623] PPR X2APIC NX GT IA GA PC GA_vAPIC [ 0.742624] AMD-Vi: Interrupt remapping enabled [ 0.742624] AMD-Vi: Virtual APIC enabled [ 0.742625] AMD-Vi: X2APIC enabled [ 0.742732] AMD-Vi: Lazy IO/TLB flushing enabled [ 0.743408] amd_uncore: AMD NB counters detected [ 0.743411] amd_uncore: AMD LLC counters detected [ 0.743780] LVT offset 0 assigned for vector 0x400 [ 0.743836] perf: AMD IBS detected (0x000003ff) [ 0.743840] perf/amd_iommu: Detected AMD IOMMU #0 (2 banks, 4 counters/bank). [ 0.743875] check: Scanning for low memory corruption every 60 seconds [ 0.744208] Initialise system trusted keyrings [ 0.744216] Key type blacklist registered [ 0.744264] workingset: timestamp_bits=41 max_order=22 bucket_order=0 [ 0.744897] zbud: loaded [ 0.746531] Key type asymmetric registered [ 0.746532] Asymmetric key parser 'x509' registered [ 0.746537] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245) [ 0.746576] io scheduler mq-deadline registered [ 0.746576] io scheduler kyber registered [ 0.746589] io scheduler bfq registered [ 0.747142] pcieport 0000:00:07.1: AER: enabled with IRQ 30 [ 0.747249] pcieport 0000:00:08.1: AER: enabled with IRQ 31 [ 0.747382] pcieport 0000:00:08.2: AER: enabled with IRQ 32 [ 0.747515] pcieport 0000:00:08.3: AER: enabled with IRQ 33 [ 0.748293] shpchp: Standard Hot Plug PCI Controller Driver version: 0.4 [ 0.748373] input: Power Button as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input0 [ 0.748386] ACPI: Power Button [PWRB] [ 0.748408] input: Power Button as /devices/LNXSYSTM:00/LNXPWRBN:00/input/input1 [ 0.751855] ACPI: Power Button [PWRF] [ 0.751911] Monitor-Mwait will be used to enter C-1 state [ 0.758759] Serial: 8250/16550 driver, 4 ports, IRQ sharing enabled [ 0.779446] 00:04: ttyS0 at I/O 0x3f8 (irq = 4, base_baud = 115200) is a 16550A [ 0.779732] AMD-Vi: AMD IOMMUv2 driver by Joerg Roedel [ 0.780468] usbcore: registered new interface driver usbserial_generic [ 0.780470] usbserial: USB Serial support registered for generic [ 0.780484] rtc_cmos 00:02: RTC can wake from S4 [ 0.780625] rtc_cmos 00:02: registered as rtc0 [ 0.780634] rtc_cmos 00:02: alarms up to one month, y3k, 114 bytes nvram, hpet irqs [ 0.780754] ledtrig-cpu: registered to indicate activity on CPUs [ 0.780799] drop_monitor: Initializing network drop monitor service [ 0.780889] NET: Registered protocol family 10 [ 0.784070] Segment Routing with IPv6 [ 0.784080] NET: Registered protocol family 17 [ 0.784619] RAS: Correctable Errors collector initialized. [ 0.784638] microcode: CPU0: patch_level=0x08701013 [ 0.784639] microcode: CPU1: patch_level=0x08701013 [ 0.784652] microcode: CPU2: patch_level=0x08701013 [ 0.784659] microcode: CPU3: patch_level=0x08701013 [ 0.784663] microcode: CPU4: patch_level=0x08701013 [ 0.784668] microcode: CPU5: patch_level=0x08701013 [ 0.784672] microcode: CPU6: patch_level=0x08701013 [ 0.784676] microcode: CPU7: patch_level=0x08701013 [ 0.784681] microcode: CPU8: patch_level=0x08701013 [ 0.784685] microcode: CPU9: patch_level=0x08701013 [ 0.784690] microcode: CPU10: patch_level=0x08701013 [ 0.784695] microcode: CPU11: patch_level=0x08701013 [ 0.784718] microcode: Microcode Update Driver: v2.2. [ 0.784816] *** VALIDATE rdt *** [ 0.784818] resctrl: L3 allocation detected [ 0.784818] resctrl: L3DATA allocation detected [ 0.784818] resctrl: L3CODE allocation detected [ 0.784819] resctrl: MB allocation detected [ 0.784819] resctrl: L3 monitoring detected [ 0.784821] IPI shorthand broadcast: enabled [ 0.784825] sched_clock: Marking stable (811476625, -26664131)->(877304896, -92492402) [ 0.784905] registered taskstats version 1 [ 0.784908] Loading compiled-in X.509 certificates [ 0.786687] Loaded X.509 cert 'Build time autogenerated kernel key: 33a2660415dd77aff30d5d11f2b61ec11885e614' [ 0.786714] zswap: loaded using pool lzo/zbud [ 0.786998] Key type ._fscrypt registered [ 0.786998] Key type .fscrypt registered [ 0.789851] Key type big_key registered [ 0.790145] PM: Magic number: 4:7:127 [ 0.790175] acpi device:02: hash matches [ 0.790230] rtc_cmos 00:02: setting system clock to 2020-05-09T12:06:08 UTC (1589025968) [ 0.790925] Freeing unused decrypted memory: 2040K [ 0.791144] Freeing unused kernel image memory: 1656K [ 0.810754] Write protecting the kernel read-only data: 20480k [ 0.811214] Freeing unused kernel image memory: 2012K [ 0.811490] Freeing unused kernel image memory: 2020K [ 0.819014] x86/mm: Checked W+X mappings: passed, no W+X pages found. [ 0.819016] Run /init as init process [ 0.890628] SCSI subsystem initialized [ 0.890690] xhci_hcd 0000:04:00.1: xHCI Host Controller [ 0.890696] xhci_hcd 0000:04:00.1: new USB bus registered, assigned bus number 1 [ 0.890856] xhci_hcd 0000:04:00.1: hcc params 0x0278ffe5 hci version 0x110 quirks 0x0000000000000410 [ 0.891387] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04 [ 0.891389] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.891390] usb usb1: Product: xHCI Host Controller [ 0.891391] usb usb1: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.891392] usb usb1: SerialNumber: 0000:04:00.1 [ 0.891471] hub 1-0:1.0: USB hub found [ 0.891482] hub 1-0:1.0: 6 ports detected [ 0.892078] xhci_hcd 0000:04:00.1: xHCI Host Controller [ 0.892080] xhci_hcd 0000:04:00.1: new USB bus registered, assigned bus number 2 [ 0.892082] xhci_hcd 0000:04:00.1: Host supports USB 3.1 Enhanced SuperSpeed [ 0.892100] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM. [ 0.892115] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04 [ 0.892117] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.892118] usb usb2: Product: xHCI Host Controller [ 0.892119] usb usb2: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.892120] usb usb2: SerialNumber: 0000:04:00.1 [ 0.892444] hub 2-0:1.0: USB hub found [ 0.892453] hub 2-0:1.0: 4 ports detected [ 0.892885] xhci_hcd 0000:04:00.3: xHCI Host Controller [ 0.892888] xhci_hcd 0000:04:00.3: new USB bus registered, assigned bus number 3 [ 0.893052] xhci_hcd 0000:04:00.3: hcc params 0x0278ffe5 hci version 0x110 quirks 0x0000000000000410 [ 0.893403] usb usb3: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04 [ 0.893404] usb usb3: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.893405] usb usb3: Product: xHCI Host Controller [ 0.893405] usb usb3: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.893406] usb usb3: SerialNumber: 0000:04:00.3 [ 0.893480] hub 3-0:1.0: USB hub found [ 0.893494] hub 3-0:1.0: 6 ports detected [ 0.893993] xhci_hcd 0000:04:00.3: xHCI Host Controller [ 0.893996] xhci_hcd 0000:04:00.3: new USB bus registered, assigned bus number 4 [ 0.893997] xhci_hcd 0000:04:00.3: Host supports USB 3.1 Enhanced SuperSpeed [ 0.894008] usb usb4: We don't know the algorithms for LPM for this host, disabling LPM. [ 0.894019] usb usb4: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04 [ 0.894020] usb usb4: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.894021] usb usb4: Product: xHCI Host Controller [ 0.894021] usb usb4: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.894022] usb usb4: SerialNumber: 0000:04:00.3 [ 0.894071] hub 4-0:1.0: USB hub found [ 0.894079] hub 4-0:1.0: 4 ports detected [ 0.894456] xhci_hcd 0000:07:00.2: xHCI Host Controller [ 0.894458] xhci_hcd 0000:07:00.2: new USB bus registered, assigned bus number 5 [ 0.894731] libata version 3.00 loaded. [ 0.895077] xhci_hcd 0000:07:00.2: hcc params 0x0180ff05 hci version 0x110 quirks 0x0000000000000010 [ 0.895209] usb usb5: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04 [ 0.895210] usb usb5: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.895211] usb usb5: Product: xHCI Host Controller [ 0.895212] usb usb5: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.895213] usb usb5: SerialNumber: 0000:07:00.2 [ 0.895628] hub 5-0:1.0: USB hub found [ 0.895634] hub 5-0:1.0: 2 ports detected [ 0.895724] xhci_hcd 0000:07:00.2: xHCI Host Controller [ 0.895725] xhci_hcd 0000:07:00.2: new USB bus registered, assigned bus number 6 [ 0.895727] xhci_hcd 0000:07:00.2: Host supports USB 3.1 Enhanced SuperSpeed [ 0.895744] usb usb6: We don't know the algorithms for LPM for this host, disabling LPM. [ 0.895756] usb usb6: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04 [ 0.895756] usb usb6: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.895757] usb usb6: Product: xHCI Host Controller [ 0.895759] usb usb6: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.895760] usb usb6: SerialNumber: 0000:07:00.2 [ 0.895804] hub 6-0:1.0: USB hub found [ 0.895813] hub 6-0:1.0: 4 ports detected [ 0.895981] xhci_hcd 0000:09:00.3: xHCI Host Controller [ 0.895983] xhci_hcd 0000:09:00.3: new USB bus registered, assigned bus number 7 [ 0.896098] xhci_hcd 0000:09:00.3: hcc params 0x0278ffe5 hci version 0x110 quirks 0x0000000000000410 [ 0.896395] usb usb7: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.04 [ 0.896397] usb usb7: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.896398] usb usb7: Product: xHCI Host Controller [ 0.896399] usb usb7: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.896400] usb usb7: SerialNumber: 0000:09:00.3 [ 0.896461] hub 7-0:1.0: USB hub found [ 0.896470] hub 7-0:1.0: 4 ports detected [ 0.896656] xhci_hcd 0000:09:00.3: xHCI Host Controller [ 0.896658] ahci 0000:05:00.0: version 3.0 [ 0.896659] xhci_hcd 0000:09:00.3: new USB bus registered, assigned bus number 8 [ 0.896661] xhci_hcd 0000:09:00.3: Host supports USB 3.1 Enhanced SuperSpeed [ 0.896673] usb usb8: We don't know the algorithms for LPM for this host, disabling LPM. [ 0.896687] usb usb8: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.04 [ 0.896688] usb usb8: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.896689] usb usb8: Product: xHCI Host Controller [ 0.896690] usb usb8: Manufacturer: Linux 5.4.36-1-MANJARO xhci-hcd [ 0.896691] usb usb8: SerialNumber: 0000:09:00.3 [ 0.896741] hub 8-0:1.0: USB hub found [ 0.896746] hub 8-0:1.0: 4 ports detected [ 0.896849] ahci 0000:05:00.0: AHCI 0001.0301 32 slots 1 ports 6 Gbps 0x8 impl SATA mode [ 0.896850] ahci 0000:05:00.0: flags: 64bit ncq sntf ilck pm led clo only pmp fbs pio slum part [ 0.897047] scsi host0: ahci [ 0.897161] scsi host1: ahci [ 0.897230] scsi host2: ahci [ 0.897278] scsi host3: ahci [ 0.897300] ata1: DUMMY [ 0.897301] ata2: DUMMY [ 0.897301] ata3: DUMMY [ 0.897304] ata4: SATA max UDMA/133 abar m2048@0xf7500000 port 0xf7500280 irq 63 [ 0.897509] ahci 0000:06:00.0: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x21 impl SATA mode [ 0.897511] ahci 0000:06:00.0: flags: 64bit ncq sntf ilck pm led clo only pmp fbs pio slum part [ 0.897787] scsi host4: ahci [ 0.897837] scsi host5: ahci [ 0.897889] scsi host6: ahci [ 0.897986] scsi host7: ahci [ 0.898691] scsi host8: ahci [ 0.898759] scsi host9: ahci [ 0.898804] ata5: SATA max UDMA/133 abar m2048@0xf7400000 port 0xf7400100 irq 76 [ 0.898805] ata6: DUMMY [ 0.898805] ata7: DUMMY [ 0.898806] ata8: DUMMY [ 0.898806] ata9: DUMMY [ 0.898809] ata10: SATA max UDMA/133 abar m2048@0xf7400000 port 0xf7400380 irq 81 [ 0.898947] ahci 0000:0a:00.0: AHCI 0001.0301 32 slots 1 ports 6 Gbps 0x1 impl SATA mode [ 0.898948] ahci 0000:0a:00.0: flags: 64bit ncq sntf ilck pm led clo only pmp fbs pio slum part [ 0.899063] scsi host10: ahci [ 0.899106] ata11: SATA max UDMA/133 abar m2048@0xf7b00000 port 0xf7b00100 irq 93 [ 0.899229] ahci 0000:0b:00.0: AHCI 0001.0301 32 slots 1 ports 6 Gbps 0x1 impl SATA mode [ 0.899231] ahci 0000:0b:00.0: flags: 64bit ncq sntf ilck pm led clo only pmp fbs pio slum part [ 0.899359] scsi host11: ahci [ 0.899395] ata12: SATA max UDMA/133 abar m2048@0xf7a00000 port 0xf7a00100 irq 95 [ 1.216438] ata11: SATA link down (SStatus 0 SControl 300) [ 1.216646] ata12: SATA link down (SStatus 0 SControl 300) [ 1.223343] usb 3-1: new full-speed USB device number 2 using xhci_hcd [ 1.226674] usb 1-3: new high-speed USB device number 2 using xhci_hcd [ 1.370100] ata4: SATA link up 6.0 Gbps (SStatus 133 SControl 300) [ 1.370102] ata5: SATA link up 1.5 Gbps (SStatus 113 SControl 300) [ 1.370374] ata4.00: supports DRM functions and may not be fully accessible [ 1.370844] usb 1-3: New USB device found, idVendor=0424, idProduct=2734, bcdDevice= 1.29 [ 1.370845] usb 1-3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 1.370846] usb 1-3: Product: USB2734 [ 1.370847] usb 1-3: Manufacturer: Microchip Tech [ 1.371673] ata4.00: NCQ Send/Recv Log not supported [ 1.371675] ata4.00: ATA-9: Samsung SSD 850 EVO 500GB, EMT01B6Q, max UDMA/133 [ 1.371676] ata4.00: 976773168 sectors, multi 1: LBA48 NCQ (depth 32), AA [ 1.373079] ata4.00: supports DRM functions and may not be fully accessible [ 1.373114] ata5.00: ATAPI: HL-DT-ST DVDRAM GH22NS50, TN01, max UDMA/100 [ 1.373470] ata4.00: NCQ Send/Recv Log not supported [ 1.373479] ata10: SATA link up 6.0 Gbps (SStatus 133 SControl 300) [ 1.374516] ata4.00: configured for UDMA/133 [ 1.374655] scsi 3:0:0:0: Direct-Access ATA Samsung SSD 850 1B6Q PQ: 0 ANSI: 5 [ 1.377034] ata5.00: configured for UDMA/100 [ 1.381144] usb 3-1: New USB device found, idVendor=1915, idProduct=1028, bcdDevice= 1.00 [ 1.381145] usb 3-1: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 1.381146] usb 3-1: Product: Smart Control [ 1.381147] usb 3-1: Manufacturer: 123 COM [ 1.385929] ata10.00: ATA-10: ST8000NM0055-1RM112, SN05, max UDMA/133 [ 1.385931] ata10.00: 15628053168 sectors, multi 16: LBA48 NCQ (depth 32), AA [ 1.386317] scsi 4:0:0:0: CD-ROM HL-DT-ST DVDRAM GH22NS50 TN01 PQ: 0 ANSI: 5 [ 1.389318] ata10.00: configured for UDMA/133 [ 1.404756] hub 1-3:1.0: USB hub found [ 1.405080] hub 1-3:1.0: 5 ports detected [ 1.409761] hidraw: raw HID events driver (C) Jiri Kosina [ 1.413492] sd 3:0:0:0: [sda] 976773168 512-byte logical blocks: (500 GB/466 GiB) [ 1.413498] sd 3:0:0:0: [sda] Write Protect is off [ 1.413499] sd 3:0:0:0: [sda] Mode Sense: 00 3a 00 00 [ 1.413505] sd 3:0:0:0: [sda] Write cache: enabled, read cache: enabled, doesn't support DPO or FUA [ 1.419100] usbcore: registered new interface driver usbhid [ 1.419100] usbhid: USB HID core driver [ 1.420205] input: 123 COM Smart Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.0/0003:1915:1028.0001/input/input2 [ 1.455116] sda: sda1 sda2 sda3 sda4 sda5 [ 1.455320] scsi 9:0:0:0: Direct-Access ATA ST8000NM0055-1RM SN05 PQ: 0 ANSI: 5 [ 1.455422] sd 9:0:0:0: [sdb] 15628053168 512-byte logical blocks: (8.00 TB/7.28 TiB) [ 1.455424] sd 9:0:0:0: [sdb] 4096-byte physical blocks [ 1.455429] sd 9:0:0:0: [sdb] Write Protect is off [ 1.455430] sd 9:0:0:0: [sdb] Mode Sense: 00 3a 00 00 [ 1.455443] sd 9:0:0:0: [sdb] Write cache: enabled, read cache: enabled, doesn't support DPO or FUA [ 1.455728] sd 3:0:0:0: [sda] supports TCG Opal [ 1.455729] sd 3:0:0:0: [sda] Attached SCSI disk [ 1.476764] hid-generic 0003:1915:1028.0001: input,hidraw0: USB HID v1.01 Keyboard [123 COM Smart Control] on usb-0000:04:00.3-1/input0 [ 1.476865] input: 123 COM Smart Control Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input3 [ 1.476890] input: 123 COM Smart Control Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input4 [ 1.490197] usb 2-3: new SuperSpeed Gen 1 USB device number 2 using xhci_hcd [ 1.493481] sd 9:0:0:0: [sdb] Attached SCSI disk [ 1.493895] sr 4:0:0:0: [sr0] scsi3-mmc drive: 48x/48x writer dvd-ram cd/rw xa/form2 cdda tray [ 1.493896] cdrom: Uniform CD-ROM driver Revision: 3.20 [ 1.507016] usb 2-3: New USB device found, idVendor=0424, idProduct=5734, bcdDevice= 1.29 [ 1.507017] usb 2-3: New USB device strings: Mfr=2, Product=3, SerialNumber=0 [ 1.507018] usb 2-3: Product: USB5734 [ 1.507019] usb 2-3: Manufacturer: Microchip Tech [ 1.530011] usb 3-6: new full-speed USB device number 3 using xhci_hcd [ 1.532135] hub 2-3:1.0: USB hub found [ 1.532158] hub 2-3:1.0: 4 ports detected [ 1.532322] sr 4:0:0:0: Attached scsi CD-ROM sr0 [ 1.532483] random: fast init done [ 1.533363] input: 123 COM Smart Control System Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.3/usb3/3-1/3-1:1.1/0003:1915:1028.0002/input/input5 [ 1.533386] hid-generic 0003:1915:1028.0002: input,hidraw1: USB HID v1.01 Mouse [123 COM Smart Control] on usb-0000:04:00.3-1/input1 [ 1.684014] usb 3-6: config 1 has an invalid interface number: 2 but max is 1 [ 1.684016] usb 3-6: config 1 has no interface number 1 [ 1.696024] usb 3-6: New USB device found, idVendor=0b05, idProduct=18f3, bcdDevice= 1.00 [ 1.696025] usb 3-6: New USB device strings: Mfr=1, Product=2, SerialNumber=3 [ 1.696026] usb 3-6: Product: AURA LED Controller [ 1.696027] usb 3-6: Manufacturer: AsusTek Computer Inc. [ 1.696027] usb 3-6: SerialNumber: 9876543210 [ 1.719124] hid-generic 0003:0B05:18F3.0003: hiddev0,hidraw2: USB HID v1.11 Device [AsusTek Computer Inc. AURA LED Controller] on usb-0000:04:00.3-6/input2 [ 1.760018] tsc: Refined TSC clocksource calibration: 3792.865 MHz [ 1.760026] clocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x6d580a0eebb, max_idle_ns: 881591138602 ns [ 1.760342] clocksource: Switched to clocksource tsc [ 2.010908] EXT4-fs (sda5): mounted filesystem with ordered data mode. Opts: (null) [ 2.074479] systemd[1]: RTC configured in localtime, applying delta of 120 minutes to system time. [ 2.095118] systemd[1]: systemd 244.4-1-manjaro running in system mode. (+PAM +AUDIT -SELINUX -IMA -APPARMOR +SMACK -SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=hybrid) [ 2.110036] systemd[1]: Detected architecture x86-64. [ 2.148220] systemd[1]: Set hostname to . [ 2.180144] usb 1-3.2: new low-speed USB device number 3 using xhci_hcd [ 2.266037] systemd[1]: Created slice system-getty.slice. [ 2.266150] systemd[1]: Created slice system-modprobe.slice. [ 2.266250] systemd[1]: Created slice system-systemd\x2dfsck.slice. [ 2.266406] systemd[1]: Created slice User and Session Slice. [ 2.266430] systemd[1]: Started Dispatch Password Requests to Console Directory Watch. [ 2.266446] systemd[1]: Started Forward Password Requests to Wall Directory Watch. [ 2.266579] systemd[1]: Set up automount Arbitrary Executable File Formats File System Automount Point. [ 2.266591] systemd[1]: Reached target Local Encrypted Volumes. [ 2.266596] systemd[1]: Reached target Login Prompts. [ 2.266606] systemd[1]: Reached target Remote File Systems. [ 2.266610] systemd[1]: Reached target Slices. [ 2.266617] systemd[1]: Reached target Swap. [ 2.266649] systemd[1]: Listening on Device-mapper event daemon FIFOs. [ 2.266816] systemd[1]: Listening on LVM2 metadata daemon socket. [ 2.266950] systemd[1]: Listening on LVM2 poll daemon socket. [ 2.267822] systemd[1]: Listening on Process Core Dump Socket. [ 2.267845] systemd[1]: Listening on initctl Compatibility Named Pipe. [ 2.267897] systemd[1]: Listening on Journal Audit Socket. [ 2.267930] systemd[1]: Listening on Journal Socket (/dev/log). [ 2.267962] systemd[1]: Listening on Journal Socket. [ 2.267995] systemd[1]: Listening on udev Control Socket. [ 2.268017] systemd[1]: Listening on udev Kernel Socket. [ 2.268384] systemd[1]: Mounting Huge Pages File System... [ 2.268821] systemd[1]: Mounting POSIX Message Queue File System... [ 2.269289] systemd[1]: Mounting Kernel Debug File System... [ 2.269340] systemd[1]: Condition check resulted in Load AppArmor profiles being skipped. [ 2.269858] systemd[1]: Starting Create list of static device nodes for the current kernel... [ 2.270284] systemd[1]: Starting Monitoring of LVM2 mirrors, snapshots etc. using dmeventd or progress polling... [ 2.270303] systemd[1]: Condition check resulted in Load AppArmor profiles managed internally by snapd being skipped. [ 2.270967] systemd[1]: Starting Set Up Additional Binary Formats... [ 2.270984] systemd[1]: Condition check resulted in File System Check on Root Device being skipped. [ 2.271761] systemd[1]: Starting Journal Service... [ 2.272903] systemd[1]: Starting Load Kernel Modules... [ 2.273539] systemd[1]: Starting Remount Root and Kernel File Systems... [ 2.274322] systemd[1]: Starting udev Coldplug all Devices... [ 2.274894] systemd[1]: Mounted Huge Pages File System. [ 2.274951] systemd[1]: Mounted POSIX Message Queue File System. [ 2.275004] systemd[1]: Mounted Kernel Debug File System. [ 2.275305] systemd[1]: Started Create list of static device nodes for the current kernel. [ 2.275405] systemd[1]: proc-sys-fs-binfmt_misc.automount: Got automount request for /proc/sys/fs/binfmt_misc, triggered by 406 (systemd-binfmt) [ 2.276473] systemd[1]: Mounting Arbitrary Executable File Formats File System... [ 2.278603] systemd[1]: Mounted Arbitrary Executable File Formats File System. [ 2.279163] EXT4-fs (sda5): re-mounted. Opts: discard [ 2.279690] systemd[1]: Started Remount Root and Kernel File Systems. [ 2.279909] systemd[1]: Started Set Up Additional Binary Formats. [ 2.279962] systemd[1]: Condition check resulted in First Boot Wizard being skipped. [ 2.280284] random: lvm: uninitialized urandom read (4 bytes read) [ 2.281591] systemd[1]: Condition check resulted in Rebuild Hardware Database being skipped. [ 2.282099] systemd[1]: Starting Load/Save Random Seed... [ 2.282461] systemd[1]: Starting Create System Users... [ 2.283063] systemd[1]: Started LVM2 metadata daemon. [ 2.286241] sd 3:0:0:0: Attached scsi generic sg0 type 0 [ 2.286265] sr 4:0:0:0: Attached scsi generic sg1 type 5 [ 2.286282] sd 9:0:0:0: Attached scsi generic sg2 type 0 [ 2.300328] vboxdrv: loading out-of-tree module taints kernel. [ 2.300457] vboxdrv: module verification failed: signature and/or required key missing - tainting kernel [ 2.302616] vboxdrv: Found 12 processor cores [ 2.302862] systemd[1]: Started Create System Users. [ 2.303128] usb 1-3.2: New USB device found, idVendor=046d, idProduct=c062, bcdDevice=31.00 [ 2.303130] usb 1-3.2: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 2.303131] usb 1-3.2: Product: USB Laser Mouse [ 2.303132] usb 1-3.2: Manufacturer: Logitech [ 2.303480] systemd[1]: Starting Create Static Device Nodes in /dev... [ 2.314417] systemd[1]: Started Create Static Device Nodes in /dev. [ 2.315139] systemd[1]: Starting udev Kernel Device Manager... [ 2.318183] systemd[1]: Started udev Coldplug all Devices. [ 2.326794] vboxdrv: TSC mode is Invariant, tentative frequency 3792853521 Hz [ 2.326795] vboxdrv: Successfully loaded version 6.1.6 (interface 0x002d0001) [ 2.327540] VBoxNetAdp: Successfully started. [ 2.328759] VBoxNetFlt: Successfully started. [ 2.332318] IPMI message handler: version 39.2 [ 2.333524] ipmi device interface [ 2.372245] input: Logitech USB Laser Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.2/1-3.2:1.0/0003:046D:C062.0004/input/input6 [ 2.372282] hid-generic 0003:046D:C062.0004: input,hidraw3: USB HID v1.10 Mouse [Logitech USB Laser Mouse] on usb-0000:04:00.1-3.2/input0 [ 2.425739] nvidia: module license 'NVIDIA' taints kernel. [ 2.425740] Disabling lock debugging due to kernel taint [ 2.437999] nvidia-nvlink: Nvlink Core is being initialized, major device number 241 [ 2.438266] nvidia 0000:07:00.0: vgaarb: changed VGA decodes: olddecodes=io+mem,decodes=none:owns=io+mem [ 2.460013] usb 1-3.4: new full-speed USB device number 4 using xhci_hcd [ 2.463527] systemd[1]: Started Journal Service. [ 2.463587] audit: type=1130 audit(1589018770.170:2): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-journald comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 2.467790] systemd-journald[407]: Received client request to flush runtime journal. [ 2.480571] NVRM: loading NVIDIA UNIX x86_64 Kernel Module 440.82 Wed Apr 1 20:04:33 UTC 2020 [ 2.491398] Linux agpgart interface v0.103 [ 2.523584] nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 440.82 Wed Apr 1 19:41:29 UTC 2020 [ 2.524628] [drm] [nvidia-drm] [GPU ID 0x00000700] Loading driver [ 2.524629] [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:07:00.0 on minor 0 [ 2.525645] audit: type=1130 audit(1589018770.230:3): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-modules-load comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 2.532370] audit: type=1130 audit(1589018770.237:4): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-sysctl comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 2.564118] audit: type=1130 audit(1589018770.270:5): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-udevd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 2.583077] usb 1-3.4: New USB device found, idVendor=17ef, idProduct=6022, bcdDevice= 2.20 [ 2.583079] usb 1-3.4: New USB device strings: Mfr=0, Product=2, SerialNumber=0 [ 2.583080] usb 1-3.4: Product: Lenovo Ultraslim Plus Wireless Keyboard & Mouse [ 2.584801] acpi_cpufreq: overriding BIOS provided _PSD data [ 2.599038] audit: type=1130 audit(1589018770.304:6): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-journal-flush comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 2.654488] piix4_smbus 0000:00:14.0: SMBus Host Controller at 0xb00, revision 0 [ 2.654491] piix4_smbus 0000:00:14.0: Using register 0x02 for SMBus port selection [ 2.654519] nvidia-gpu 0000:07:00.3: enabling device (0000 -> 0002) [ 2.656968] input: PC Speaker as /devices/platform/pcspkr/input/input7 [ 2.657226] acpi PNP0C14:01: duplicate WMI GUID 05901221-D566-11D1-B2F0-00A0C9062910 (first instance was on PNP0C14:00) [ 2.657365] acpi PNP0C14:02: duplicate WMI GUID 05901221-D566-11D1-B2F0-00A0C9062910 (first instance was on PNP0C14:00) [ 2.657683] cryptd: max_cpu_qlen set to 1000 [ 2.658197] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.0/0003:17EF:6022.0005/input/input8 [ 2.658397] sp5100_tco: SP5100/SB800 TCO WatchDog Timer Driver [ 2.658452] sp5100-tco sp5100-tco: Using 0xfed80b00 for watchdog MMIO address [ 2.658458] sp5100-tco sp5100-tco: Watchdog hardware is disabled [ 2.662317] ccp 0000:09:00.1: enabling device (0000 -> 0002) [ 2.662693] ccp 0000:09:00.1: ccp enabled [ 2.665864] AVX2 version of gcm_enc/dec engaged. [ 2.665864] AES CTR mode by8 optimization enabled [ 2.716739] hid-generic 0003:17EF:6022.0005: input,hidraw4: USB HID v1.11 Keyboard [Lenovo Ultraslim Plus Wireless Keyboard & Mouse] on usb-0000:04:00.1-3.4/input0 [ 2.721223] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input9 [ 2.721262] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input10 [ 2.776760] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0006/input/input11 [ 2.776818] hid-generic 0003:17EF:6022.0006: input,hidraw5: USB HID v1.11 Mouse [Lenovo Ultraslim Plus Wireless Keyboard & Mouse] on usb-0000:04:00.1-3.4/input1 [ 2.850017] usb 1-3.5: new high-speed USB device number 5 using xhci_hcd [ 2.875362] snd_hda_intel 0000:07:00.1: enabling device (0000 -> 0002) [ 2.875465] snd_hda_intel 0000:07:00.1: Disabling MSI [ 2.875477] snd_hda_intel 0000:07:00.1: Handle vga_switcheroo audio client [ 2.875600] snd_hda_intel 0000:09:00.4: enabling device (0000 -> 0002) [ 2.875816] asus_wmi: ASUS WMI generic driver loaded [ 2.879430] asus_wmi: Initialization: 0x0 [ 2.879486] asus_wmi: BIOS WMI version: 0.9 [ 2.879794] asus_wmi: SFUN value: 0x0 [ 2.879797] eeepc-wmi eeepc-wmi: Detected ASUSWMI, use DCTS [ 2.882885] input: Eee PC WMI hotkeys as /devices/platform/eeepc-wmi/input/input12 [ 2.948073] usb 1-3.5: New USB device found, idVendor=0424, idProduct=274c, bcdDevice= 2.00 [ 2.948075] usb 1-3.5: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 2.948076] usb 1-3.5: Product: Hub Controller [ 2.948077] usb 1-3.5: Manufacturer: Microchip Tech [ 3.002241] snd_hda_codec_realtek hdaudioC1D0: ALCS1200A: SKU not ready 0x00000000 [ 3.002720] snd_hda_codec_realtek hdaudioC1D0: autoconfig for ALCS1200A: line_outs=3 (0x14/0x15/0x16/0x0/0x0) type:line [ 3.002722] snd_hda_codec_realtek hdaudioC1D0: speaker_outs=0 (0x0/0x0/0x0/0x0/0x0) [ 3.002724] snd_hda_codec_realtek hdaudioC1D0: hp_outs=1 (0x1b/0x0/0x0/0x0/0x0) [ 3.002726] snd_hda_codec_realtek hdaudioC1D0: mono: mono_out=0x0 [ 3.002728] snd_hda_codec_realtek hdaudioC1D0: dig-out=0x1e/0x0 [ 3.002729] snd_hda_codec_realtek hdaudioC1D0: inputs: [ 3.002731] snd_hda_codec_realtek hdaudioC1D0: Front Mic=0x19 [ 3.002733] snd_hda_codec_realtek hdaudioC1D0: Rear Mic=0x18 [ 3.002735] snd_hda_codec_realtek hdaudioC1D0: Line=0x1a [ 3.009916] kvm: Nested Virtualization enabled [ 3.009941] kvm: Nested Paging enabled [ 3.009942] SVM: Virtual VMLOAD VMSAVE supported [ 3.009942] SVM: Virtual GIF supported [ 3.012533] MCE: In-kernel MCE decoding enabled. [ 3.015283] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.015285] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.017614] input: HDA Digital PCBeep as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input13 [ 3.017679] input: HD-Audio Generic Front Mic as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input14 [ 3.017735] input: HD-Audio Generic Rear Mic as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input15 [ 3.017793] input: HD-Audio Generic Line as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input16 [ 3.017848] input: HD-Audio Generic Line Out Front as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input17 [ 3.017903] input: HD-Audio Generic Line Out Surround as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input18 [ 3.017958] input: HD-Audio Generic Line Out CLFE as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input19 [ 3.018014] input: HD-Audio Generic Front Headphone as /devices/pci0000:00/0000:00:08.1/0000:09:00.4/sound/card1/input20 [ 3.038209] hid-generic 0003:0424:274C.0007: hiddev1,hidraw6: USB HID v1.10 Device [Microchip Tech Hub Controller] on usb-0000:04:00.1-3.5/input1 [ 3.113954] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.113956] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.137954] libphy: r8169: probed [ 3.138140] r8169 0000:03:00.0 eth0: RTL8168h/8111h, 04:d9:f5:d7:62:bc, XID 541, IRQ 105 [ 3.138142] r8169 0000:03:00.0 eth0: jumbo features [frames: 9200 bytes, tx checksumming: ko] [ 3.191611] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.191614] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.191774] mousedev: PS/2 mouse device common for all mice [ 3.305673] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.305676] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.319011] r8169 0000:03:00.0 enp3s0: renamed from eth0 [ 3.341827] audit: type=1130 audit(1589018771.047:7): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=lvm2-monitor comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3.365800] random: crng init done [ 3.370622] audit: type=1130 audit(1589018771.077:8): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-random-seed comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3.382435] audit: type=1130 audit(1589018771.087:9): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-fsck@dev-disk-by\x2duuid-7C26\x2d0DBB comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3.397711] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.397713] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.495282] audit: type=1130 audit(1589018771.200:10): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-fsck@dev-disk-by\x2duuid-e6e8150f\x2de183\x2d41c3\x2daceb\x2d8062335dd76f comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3.521350] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.521352] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.558488] EXT4-fs (sdb): mounted filesystem with ordered data mode. Opts: (null) [ 3.600556] input: HDA NVidia HDMI/DP,pcm=3 as /devices/pci0000:00/0000:00:03.1/0000:07:00.1/sound/card0/input21 [ 3.600603] input: HDA NVidia HDMI/DP,pcm=7 as /devices/pci0000:00/0000:00:03.1/0000:07:00.1/sound/card0/input22 [ 3.600645] input: HDA NVidia HDMI/DP,pcm=8 as /devices/pci0000:00/0000:00:03.1/0000:07:00.1/sound/card0/input23 [ 3.600685] input: HDA NVidia HDMI/DP,pcm=9 as /devices/pci0000:00/0000:00:03.1/0000:07:00.1/sound/card0/input24 [ 3.616966] loop: module loaded [ 3.628457] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.628459] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.760533] nvidia-gpu 0000:07:00.3: i2c timeout error e0000000 [ 3.760538] ucsi_ccg 2-0008: i2c_transfer failed -110 [ 3.760541] ucsi_ccg 2-0008: ucsi_ccg_init failed - -110 [ 3.760547] ucsi_ccg: probe of 2-0008 failed with error -110 [ 3.774604] squashfs: version 4.0 (2009/01/31) Phillip Lougher [ 3.775415] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.775417] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.867255] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.867257] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 3.960840] EDAC amd64: Node 0: DRAM ECC disabled. [ 3.960842] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 4.040375] EDAC amd64: Node 0: DRAM ECC disabled. [ 4.040377] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 4.107438] EDAC amd64: Node 0: DRAM ECC disabled. [ 4.107441] EDAC amd64: ECC disabled in the BIOS or no ECC capability, module will not load. Either enable ECC checking or force module loading by setting 'ecc_enable_override'. (Note that use of the override may cause unknown side effects.) [ 4.311826] Generic FE-GE Realtek PHY r8169-300:00: attached PHY driver [Generic FE-GE Realtek PHY] (mii_bus:phy_addr=r8169-300:00, irq=IGNORE) [ 4.421241] r8169 0000:03:00.0 enp3s0: Link is Down [ 6.486829] kauditd_printk_skb: 23 callbacks suppressed [ 6.486831] audit: type=1100 audit(1589018774.194:34): pid=808 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:authentication grantors=pam_tally,pam_shells,pam_permit acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 6.487772] audit: type=1101 audit(1589018774.194:35): pid=808 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:accounting grantors=pam_tally2,pam_access,pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 6.488404] audit: type=1103 audit(1589018774.194:36): pid=808 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:setcred grantors=pam_tally,pam_shells,pam_permit acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 6.488437] audit: type=1006 audit(1589018774.194:37): pid=808 uid=0 old-auid=4294967295 auid=1000 tty=(none) old-ses=4294967295 ses=1 res=1 [ 6.508172] audit: type=1130 audit(1589018774.214:38): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user-runtime-dir@1000 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 6.512454] audit: type=1101 audit(1589018774.217:39): pid=811 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:accounting grantors=pam_tally2,pam_access,pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 6.512478] audit: type=1006 audit(1589018774.217:40): pid=811 uid=0 old-auid=4294967295 auid=1000 tty=(none) old-ses=4294967295 ses=2 res=1 [ 6.514259] audit: type=1105 audit(1589018774.220:41): pid=811 uid=0 auid=1000 ses=2 msg='op=PAM:session_open grantors=pam_loginuid,pam_loginuid,pam_keyinit,pam_limits,pam_unix,pam_permit,pam_mail,pam_systemd,pam_env acct="laurent" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 6.593233] audit: type=1130 audit(1589018774.297:42): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user@1000 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 6.665957] fuse: init (API version 7.31) [ 6.665985] *** VALIDATE fuse *** [ 6.665987] *** VALIDATE fuse *** [ 6.668876] audit: type=1105 audit(1589018774.374:43): pid=808 uid=0 auid=1000 ses=1 msg='op=PAM:session_open grantors=pam_loginuid,pam_keyinit,pam_limits,pam_unix,pam_permit,pam_mail,pam_systemd,pam_env,pam_kwallet5 acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=:0 res=success' [ 7.688778] r8169 0000:03:00.0 enp3s0: Link is Up - 1Gbps/Full - flow control rx/tx [ 7.688786] IPv6: ADDRCONF(NETDEV_CHANGE): enp3s0: link becomes ready [ 14.978305] kauditd_printk_skb: 8 callbacks suppressed [ 14.978307] audit: type=1131 audit(1589018782.684:52): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 34.318859] audit: type=1131 audit(1589022355.173:53): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-hostnamed comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 68.501670] audit: type=1131 audit(1589022389.356:54): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pcscd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 96.088102] audit: type=1106 audit(1589022416.943:55): pid=808 uid=0 auid=1000 ses=1 msg='op=PAM:session_close grantors=pam_loginuid,pam_keyinit,pam_limits,pam_unix,pam_permit,pam_mail,pam_systemd,pam_env,pam_kwallet5 acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=:0 res=success' [ 96.088162] audit: type=1104 audit(1589022416.943:56): pid=808 uid=0 auid=1000 ses=1 msg='op=PAM:setcred grantors=pam_tally,pam_shells,pam_permit acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=:0 res=success' [ 98.336455] audit: type=1100 audit(1589022419.190:57): pid=1546 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:authentication grantors=pam_permit acct="sddm" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 98.336458] audit: type=1101 audit(1589022419.190:58): pid=1546 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:accounting grantors=pam_permit acct="sddm" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 98.336543] audit: type=1103 audit(1589022419.193:59): pid=1546 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:setcred grantors=pam_permit acct="sddm" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 98.351776] audit: type=1130 audit(1589022419.206:60): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user-runtime-dir@968 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 98.356399] audit: type=1101 audit(1589022419.210:61): pid=1550 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:accounting grantors=pam_tally2,pam_access,pam_unix,pam_permit,pam_time acct="sddm" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 98.356430] audit: type=1006 audit(1589022419.210:62): pid=1550 uid=0 old-auid=4294967295 auid=968 tty=(none) old-ses=4294967295 ses=3 res=1 [ 98.357270] audit: type=1105 audit(1589022419.213:63): pid=1550 uid=0 auid=968 ses=3 msg='op=PAM:session_open grantors=pam_loginuid,pam_loginuid,pam_keyinit,pam_limits,pam_unix,pam_permit,pam_mail,pam_systemd,pam_env acct="sddm" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 98.408259] audit: type=1130 audit(1589022419.263:64): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user@968 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 102.936359] kauditd_printk_skb: 1 callbacks suppressed [ 102.936361] audit: type=1100 audit(1589022423.790:66): pid=1567 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:authentication grantors=? acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=failed' [ 108.592084] audit: type=1100 audit(1589022429.446:67): pid=1568 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:authentication grantors=pam_tally2,pam_shells,pam_unix,pam_permit acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 108.593850] audit: type=1101 audit(1589022429.450:68): pid=1568 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:accounting grantors=pam_tally2,pam_access,pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 108.594128] audit: type=1103 audit(1589022429.450:69): pid=1568 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:setcred grantors=pam_tally2,pam_shells,pam_unix,pam_permit acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=? res=success' [ 108.594171] audit: type=1006 audit(1589022429.450:70): pid=1568 uid=0 old-auid=4294967295 auid=1000 tty=(none) old-ses=4294967295 ses=4 res=1 [ 108.669276] audit: type=1105 audit(1589022429.523:71): pid=1568 uid=0 auid=1000 ses=4 msg='op=PAM:session_open grantors=pam_loginuid,pam_keyinit,pam_limits,pam_unix,pam_permit,pam_mail,pam_systemd,pam_env,pam_kwallet5 acct="laurent" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=:0 res=success' [ 108.771069] audit: type=1106 audit(1589022429.626:72): pid=1546 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:session_close grantors=pam_unix,pam_systemd acct="sddm" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=:0 res=success' [ 108.771148] audit: type=1104 audit(1589022429.626:73): pid=1546 uid=0 auid=4294967295 ses=4294967295 msg='op=PAM:setcred grantors=pam_permit acct="sddm" exe="/usr/lib/sddm/sddm-helper" hostname=? addr=? terminal=:0 res=success' [ 109.171333] audit: type=1130 audit(1589022430.026:74): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pcscd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 109.338083] audit: type=1130 audit(1589022430.193:75): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 109.340059] audit: type=1131 audit(1589022430.196:76): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 118.938736] audit: type=1131 audit(1589022439.793:77): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user@968 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 118.945558] audit: type=1131 audit(1589022439.800:78): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user-runtime-dir@968 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 119.604064] audit: type=1100 audit(1589022440.460:79): pid=1916 uid=1000 auid=1000 ses=4 msg='op=PAM:authentication grantors=pam_unix,pam_permit acct="laurent" exe="/usr/lib/polkit-1/polkit-agent-helper-1" hostname=? addr=? terminal=? res=success' [ 119.604218] audit: type=1101 audit(1589022440.460:80): pid=1916 uid=1000 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/lib/polkit-1/polkit-agent-helper-1" hostname=? addr=? terminal=? res=success' [ 119.610968] audit: type=1105 audit(1589022440.466:81): pid=1913 uid=1000 auid=1000 ses=4 msg='op=PAM:session_open grantors=pam_limits,pam_unix,pam_permit acct="root" exe="/usr/bin/pkexec" hostname=? addr=? terminal=? res=success' [ 120.173650] audit: type=1101 audit(1589022441.030:82): pid=1939 uid=0 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 120.173753] audit: type=1110 audit(1589022441.030:83): pid=1939 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit,pam_env acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 120.174978] audit: type=1105 audit(1589022441.030:84): pid=1939 uid=0 auid=1000 ses=4 msg='op=PAM:session_open grantors=pam_limits,pam_unix,pam_permit acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 145.932043] audit: type=1101 audit(1589022466.786:85): pid=2027 uid=0 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 145.932251] audit: type=1110 audit(1589022466.786:86): pid=2027 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit,pam_env acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 145.934051] audit: type=1105 audit(1589022466.790:87): pid=2027 uid=0 auid=1000 ses=4 msg='op=PAM:session_open grantors=pam_limits,pam_unix,pam_permit acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 145.961564] audit: type=1106 audit(1589022466.816:88): pid=2027 uid=0 auid=1000 ses=4 msg='op=PAM:session_close grantors=pam_limits,pam_unix,pam_permit acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 145.961660] audit: type=1104 audit(1589022466.816:89): pid=2027 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit,pam_env acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 147.277035] tun: Universal TUN/TAP device driver, 1.6 [ 147.298546] audit: type=1130 audit(1589022468.153:90): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 153.654620] audit: type=1101 audit(1589022474.510:91): pid=2084 uid=0 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 153.654776] audit: type=1110 audit(1589022474.510:92): pid=2084 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit,pam_env acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 153.656893] audit: type=1105 audit(1589022474.513:93): pid=2084 uid=0 auid=1000 ses=4 msg='op=PAM:session_open grantors=pam_limits,pam_unix,pam_permit acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 153.683421] audit: type=1106 audit(1589022474.540:94): pid=2084 uid=0 auid=1000 ses=4 msg='op=PAM:session_close grantors=pam_limits,pam_unix,pam_permit acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 153.683474] audit: type=1104 audit(1589022474.540:95): pid=2084 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit,pam_env acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 155.270027] audit: type=1100 audit(1589022476.123:96): pid=2092 uid=1000 auid=1000 ses=4 msg='op=PAM:authentication grantors=pam_unix,pam_permit acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 155.270132] audit: type=1101 audit(1589022476.126:97): pid=2092 uid=1000 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 155.401068] audit: type=1101 audit(1589022476.256:98): pid=2120 uid=1000 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 155.401144] audit: type=1110 audit(1589022476.256:99): pid=2120 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit,pam_env acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 155.401605] audit: type=1105 audit(1589022476.256:100): pid=2120 uid=0 auid=1000 ses=4 msg='op=PAM:session_open grantors=pam_limits,pam_unix,pam_permit acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 160.023388] kauditd_printk_skb: 2 callbacks suppressed [ 160.023390] audit: type=1106 audit(1589022480.876:103): pid=2120 uid=0 auid=1000 ses=4 msg='op=PAM:session_close grantors=pam_limits,pam_unix,pam_permit acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 160.023457] audit: type=1104 audit(1589022480.879:104): pid=2120 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit,pam_env acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=? res=success' [ 170.186752] audit: type=1131 audit(1589022491.039:105): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pcscd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 248.856872] audit: type=1100 audit(1589022569.709:106): pid=2510 uid=1000 auid=1000 ses=4 msg='op=PAM:authentication grantors=pam_unix,pam_permit acct="laurent" exe="/usr/lib/polkit-1/polkit-agent-helper-1" hostname=? addr=? terminal=? res=success' [ 248.856918] audit: type=1101 audit(1589022569.709:107): pid=2510 uid=1000 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/lib/polkit-1/polkit-agent-helper-1" hostname=? addr=? terminal=? res=success' [ 904.235587] audit: type=1130 audit(1589023225.089:108): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-tmpfiles-clean comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 904.235592] audit: type=1131 audit(1589023225.089:109): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-tmpfiles-clean comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1529.008755] audit: type=1131 audit(1589023849.860:110): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1532.129759] audit: type=1130 audit(1589023852.983:111): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pcscd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1809.864374] audit: type=1130 audit(1589024130.716:112): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1819.988813] audit: type=1131 audit(1589024140.839:113): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 2785.535818] audit: type=1130 audit(1589025106.382:114): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 2787.690463] audit: type=1131 audit(1589025108.535:115): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3609.740582] audit: type=1130 audit(1589025930.586:116): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3619.995428] audit: type=1131 audit(1589025940.839:117): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3670.197907] audit: type=1130 audit(1589025991.043:118): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=shadow comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3670.204979] audit: type=1131 audit(1589025991.049:119): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=shadow comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3670.239417] audit: type=1130 audit(1589025991.083:120): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=logrotate comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3670.239421] audit: type=1131 audit(1589025991.083:121): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=logrotate comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3686.474534] audit: type=1130 audit(1589026007.319:122): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=man-db comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3686.474539] audit: type=1131 audit(1589026007.319:123): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=man-db comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3745.169635] audit: type=1130 audit(1589026066.012:124): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3772.614831] audit: type=1100 audit(1589026093.459:125): pid=17103 uid=1000 auid=1000 ses=4 msg='op=PAM:authentication grantors=pam_unix,pam_permit acct="laurent" exe="/usr/lib/polkit-1/polkit-agent-helper-1" hostname=? addr=? terminal=? res=success' [ 3772.614970] audit: type=1101 audit(1589026093.459:126): pid=17103 uid=1000 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/lib/polkit-1/polkit-agent-helper-1" hostname=? addr=? terminal=? res=success' [ 3803.884192] audit: type=1131 audit(1589026124.729:127): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 3998.439713] usb 3-1: USB disconnect, device number 2 [ 4162.391251] usb 1-3.3: new full-speed USB device number 6 using xhci_hcd [ 4162.517853] usb 1-3.3: New USB device found, idVendor=057e, idProduct=0306, bcdDevice= 1.00 [ 4162.517854] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 4162.517855] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 4162.517856] usb 1-3.3: Manufacturer: HJZ [ 4162.670169] hid-generic 0003:057E:0306.0008: No inputs registered, leaving [ 4162.670212] hid-generic 0003:057E:0306.0008: hidraw0: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 4162.675009] hid-generic 0003:057E:0306.0009: No inputs registered, leaving [ 4162.675038] hid-generic 0003:057E:0306.0009: hidraw1: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 4162.679999] hid-generic 0003:057E:0306.000A: No inputs registered, leaving [ 4162.680025] hid-generic 0003:057E:0306.000A: hidraw7: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input2 [ 4162.685016] hid-generic 0003:057E:0306.000B: No inputs registered, leaving [ 4162.685037] hid-generic 0003:057E:0306.000B: hidraw8: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input3 [ 4420.233224] usb 1-3.3: USB disconnect, device number 6 [ 4423.766233] usb 1-3.3: new full-speed USB device number 7 using xhci_hcd [ 4423.875507] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1802, bcdDevice= 1.00 [ 4423.875509] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 4423.875510] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 4423.875511] usb 1-3.3: Manufacturer: HJZ [ 4423.949645] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.000C/input/input29 [ 4424.006484] hid-generic 0003:0079:1802.000C: input,hidraw0: USB HID v10.01 Keyboard [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 4424.009656] input: HJZ Mayflash Wiimote PC Adapter Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.000D/input/input30 [ 4424.010047] input: HJZ Mayflash Wiimote PC Adapter Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.000D/input/input31 [ 4424.066434] hid-generic 0003:0079:1802.000D: input,hidraw1: USB HID v10.01 Mouse [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 4537.007904] Bluetooth: Core ver 2.22 [ 4537.007915] NET: Registered protocol family 31 [ 4537.007915] Bluetooth: HCI device and connection manager initialized [ 4537.007918] Bluetooth: HCI socket layer initialized [ 4537.007919] Bluetooth: L2CAP socket layer initialized [ 4537.007920] Bluetooth: SCO socket layer initialized [ 5409.749801] audit: type=1130 audit(1589027730.585:128): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 5420.003147] audit: type=1131 audit(1589027740.839:129): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 6102.157549] usb 1-3.3: USB disconnect, device number 7 [ 6102.715589] usb 1-3.3: new full-speed USB device number 8 using xhci_hcd [ 6102.841691] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1803, bcdDevice= 1.00 [ 6102.841693] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6102.841695] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6102.841695] usb 1-3.3: Manufacturer: HJZ [ 6102.944259] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.000E/input/input32 [ 6102.944373] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.000E/input/input33 [ 6102.944445] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.000E/input/input34 [ 6102.944514] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.000E/input/input35 [ 6102.944584] hid_mf 0003:0079:1803.000E: input,hidraw0: USB HID v1.11 Joystick [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6102.944597] hid_mf 0003:0079:1803.000E: Force feedback for HJZ Mayflash game controller adapters by Marcel Hasler [ 6113.421454] usb 1-3.3: USB disconnect, device number 8 [ 6116.955644] usb 1-3.3: new full-speed USB device number 9 using xhci_hcd [ 6117.081747] usb 1-3.3: New USB device found, idVendor=057e, idProduct=0306, bcdDevice= 1.00 [ 6117.081749] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6117.081750] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6117.081751] usb 1-3.3: Manufacturer: HJZ [ 6117.234936] hid-generic 0003:057E:0306.000F: No inputs registered, leaving [ 6117.234964] hid-generic 0003:057E:0306.000F: hidraw0: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6117.239931] hid-generic 0003:057E:0306.0010: No inputs registered, leaving [ 6117.239960] hid-generic 0003:057E:0306.0010: hidraw1: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 6117.244916] hid-generic 0003:057E:0306.0011: No inputs registered, leaving [ 6117.244943] hid-generic 0003:057E:0306.0011: hidraw7: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input2 [ 6117.249927] hid-generic 0003:057E:0306.0012: No inputs registered, leaving [ 6117.249956] hid-generic 0003:057E:0306.0012: hidraw8: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input3 [ 6218.381767] usb 1-3.3: USB disconnect, device number 9 [ 6219.099687] usb 1-3.3: new full-speed USB device number 10 using xhci_hcd [ 6219.226014] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1802, bcdDevice= 1.00 [ 6219.226016] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6219.226017] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6219.226017] usb 1-3.3: Manufacturer: HJZ [ 6219.314156] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.0013/input/input40 [ 6219.369823] hid-generic 0003:0079:1802.0013: input,hidraw0: USB HID v10.01 Keyboard [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6219.372184] input: HJZ Mayflash Wiimote PC Adapter Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0014/input/input41 [ 6219.372280] input: HJZ Mayflash Wiimote PC Adapter Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0014/input/input42 [ 6219.426420] hid-generic 0003:0079:1802.0014: input,hidraw1: USB HID v10.01 Mouse [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 6278.030039] usb 1-3.3: USB disconnect, device number 10 [ 6278.620200] usb 1-3.3: new full-speed USB device number 11 using xhci_hcd [ 6278.746204] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1803, bcdDevice= 1.00 [ 6278.746207] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6278.746208] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6278.746209] usb 1-3.3: Manufacturer: HJZ [ 6278.806373] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0015/input/input43 [ 6278.806436] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0015/input/input44 [ 6278.806482] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0015/input/input45 [ 6278.806525] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0015/input/input46 [ 6278.806567] hid_mf 0003:0079:1803.0015: input,hidraw0: USB HID v1.11 Joystick [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6278.806577] hid_mf 0003:0079:1803.0015: Force feedback for HJZ Mayflash game controller adapters by Marcel Hasler [ 6350.222115] usb 1-3.3: USB disconnect, device number 11 [ 6353.500773] usb 1-3.3: new full-speed USB device number 12 using xhci_hcd [ 6353.627384] usb 1-3.3: New USB device found, idVendor=057e, idProduct=0306, bcdDevice= 1.00 [ 6353.627386] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6353.627387] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6353.627388] usb 1-3.3: Manufacturer: HJZ [ 6353.779560] hid-generic 0003:057E:0306.0016: No inputs registered, leaving [ 6353.779591] hid-generic 0003:057E:0306.0016: hidraw0: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6353.784550] hid-generic 0003:057E:0306.0017: No inputs registered, leaving [ 6353.784575] hid-generic 0003:057E:0306.0017: hidraw1: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 6353.789523] hid-generic 0003:057E:0306.0018: No inputs registered, leaving [ 6353.789545] hid-generic 0003:057E:0306.0018: hidraw7: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input2 [ 6353.794520] hid-generic 0003:057E:0306.0019: No inputs registered, leaving [ 6353.794543] hid-generic 0003:057E:0306.0019: hidraw8: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input3 [ 6362.510147] usb 1-3.3: USB disconnect, device number 12 [ 6365.534195] usb 1-3.3: new full-speed USB device number 13 using xhci_hcd [ 6365.647413] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1802, bcdDevice= 1.00 [ 6365.647415] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6365.647416] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6365.647416] usb 1-3.3: Manufacturer: HJZ [ 6365.746530] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.001A/input/input51 [ 6365.800990] hid-generic 0003:0079:1802.001A: input,hidraw0: USB HID v10.01 Keyboard [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6365.803536] input: HJZ Mayflash Wiimote PC Adapter Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.001B/input/input52 [ 6365.803599] input: HJZ Mayflash Wiimote PC Adapter Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.001B/input/input53 [ 6365.857606] hid-generic 0003:0079:1802.001B: input,hidraw1: USB HID v10.01 Mouse [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 6517.646659] usb 1-3.3: USB disconnect, device number 13 [ 6518.175257] usb 1-3.3: new full-speed USB device number 14 using xhci_hcd [ 6518.284796] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1803, bcdDevice= 1.00 [ 6518.284798] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6518.284799] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6518.284800] usb 1-3.3: Manufacturer: HJZ [ 6518.326945] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.001C/input/input54 [ 6518.327004] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.001C/input/input55 [ 6518.327047] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.001C/input/input56 [ 6518.327088] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.001C/input/input57 [ 6518.327129] hid_mf 0003:0079:1803.001C: input,hidraw0: USB HID v1.11 Joystick [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6518.327134] hid_mf 0003:0079:1803.001C: Force feedback for HJZ Mayflash game controller adapters by Marcel Hasler [ 6755.215122] usb 1-3.3: USB disconnect, device number 14 [ 6757.980037] usb 1-3.3: new full-speed USB device number 15 using xhci_hcd [ 6758.093391] usb 1-3.3: New USB device found, idVendor=057e, idProduct=0306, bcdDevice= 1.00 [ 6758.093392] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6758.093394] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6758.093395] usb 1-3.3: Manufacturer: HJZ [ 6758.228596] hid-generic 0003:057E:0306.001D: No inputs registered, leaving [ 6758.228625] hid-generic 0003:057E:0306.001D: hidraw0: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6758.233567] hid-generic 0003:057E:0306.001E: No inputs registered, leaving [ 6758.233589] hid-generic 0003:057E:0306.001E: hidraw1: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 6758.238569] hid-generic 0003:057E:0306.001F: No inputs registered, leaving [ 6758.238593] hid-generic 0003:057E:0306.001F: hidraw7: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input2 [ 6758.244571] hid-generic 0003:057E:0306.0020: No inputs registered, leaving [ 6758.244592] hid-generic 0003:057E:0306.0020: hidraw8: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input3 [ 6763.151142] usb 1-3.3: USB disconnect, device number 15 [ 6766.683412] usb 1-3.3: new full-speed USB device number 16 using xhci_hcd [ 6766.809414] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1802, bcdDevice= 1.00 [ 6766.809416] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6766.809417] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6766.809418] usb 1-3.3: Manufacturer: HJZ [ 6766.899521] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.0021/input/input62 [ 6766.953524] hid-generic 0003:0079:1802.0021: input,hidraw0: USB HID v10.01 Keyboard [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6766.956526] input: HJZ Mayflash Wiimote PC Adapter Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0022/input/input63 [ 6766.956594] input: HJZ Mayflash Wiimote PC Adapter Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.0022/input/input64 [ 6767.010130] hid-generic 0003:0079:1802.0022: input,hidraw1: USB HID v10.01 Mouse [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 6804.111372] usb 1-3.3: USB disconnect, device number 16 [ 6804.670282] usb 1-3.3: new full-speed USB device number 17 using xhci_hcd [ 6804.779510] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1803, bcdDevice= 1.00 [ 6804.779512] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6804.779513] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6804.779513] usb 1-3.3: Manufacturer: HJZ [ 6804.823686] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0023/input/input65 [ 6804.823753] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0023/input/input66 [ 6804.823797] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0023/input/input67 [ 6804.823843] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1803.0023/input/input68 [ 6804.823883] hid_mf 0003:0079:1803.0023: input,hidraw0: USB HID v1.11 Joystick [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6804.823888] hid_mf 0003:0079:1803.0023: Force feedback for HJZ Mayflash game controller adapters by Marcel Hasler [ 6964.879639] usb 1-3.3: USB disconnect, device number 17 [ 6968.414473] usb 1-3.3: new full-speed USB device number 18 using xhci_hcd [ 6968.523923] usb 1-3.3: New USB device found, idVendor=057e, idProduct=0306, bcdDevice= 1.00 [ 6968.523924] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 6968.523925] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 6968.523926] usb 1-3.3: Manufacturer: HJZ [ 6968.661110] hid-generic 0003:057E:0306.0024: No inputs registered, leaving [ 6968.661159] hid-generic 0003:057E:0306.0024: hidraw0: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 6968.666096] hid-generic 0003:057E:0306.0025: No inputs registered, leaving [ 6968.666142] hid-generic 0003:057E:0306.0025: hidraw1: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 6968.671091] hid-generic 0003:057E:0306.0026: No inputs registered, leaving [ 6968.671169] hid-generic 0003:057E:0306.0026: hidraw7: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input2 [ 6968.676098] hid-generic 0003:057E:0306.0027: No inputs registered, leaving [ 6968.676144] hid-generic 0003:057E:0306.0027: hidraw8: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input3 [ 7209.862841] audit: type=1130 audit(1589029530.690:130): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 7220.011065] audit: type=1131 audit(1589029540.836:131): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 7373.689706] usb 2-4: new SuperSpeed Gen 1 USB device number 3 using xhci_hcd [ 7373.714552] usb 2-4: New USB device found, idVendor=04e8, idProduct=61f5, bcdDevice= 1.00 [ 7373.714553] usb 2-4: New USB device strings: Mfr=2, Product=3, SerialNumber=1 [ 7373.714555] usb 2-4: Product: Portable SSD T5 [ 7373.714555] usb 2-4: Manufacturer: Samsung [ 7373.714556] usb 2-4: SerialNumber: 1234567E7D12 [ 7373.733737] usbcore: registered new interface driver usb-storage [ 7373.736555] scsi host12: uas [ 7373.736657] usbcore: registered new interface driver uas [ 7373.737167] scsi 12:0:0:0: Direct-Access Samsung Portable SSD T5 0 PQ: 0 ANSI: 6 [ 7373.737653] sd 12:0:0:0: Attached scsi generic sg3 type 0 [ 7373.738108] sd 12:0:0:0: [sdc] 1953525168 512-byte logical blocks: (1.00 TB/932 GiB) [ 7373.738201] sd 12:0:0:0: [sdc] Write Protect is off [ 7373.738206] sd 12:0:0:0: [sdc] Mode Sense: 43 00 00 00 [ 7373.738359] sd 12:0:0:0: [sdc] Write cache: enabled, read cache: enabled, doesn't support DPO or FUA [ 7373.738557] sd 12:0:0:0: [sdc] Optimal transfer size 33553920 bytes [ 7373.757693] sdc: sdc1 [ 7373.758678] sd 12:0:0:0: [sdc] Attached SCSI disk [ 7404.233712] sd 12:0:0:0: [sdc] Synchronizing SCSI cache [ 7404.433147] sd 12:0:0:0: [sdc] Synchronize Cache(10) failed: Result: hostbyte=DID_ERROR driverbyte=DRIVER_OK [ 7405.234496] usb 2-4: USB disconnect, device number 3 [ 7509.904976] usb 1-3.3: USB disconnect, device number 18 [ 7765.857979] usb 1-3.3: new full-speed USB device number 19 using xhci_hcd [ 7765.983904] usb 1-3.3: New USB device found, idVendor=057e, idProduct=0306, bcdDevice= 1.00 [ 7765.983906] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 7765.983907] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 7765.983908] usb 1-3.3: Manufacturer: HJZ [ 7766.135063] hid-generic 0003:057E:0306.0028: No inputs registered, leaving [ 7766.135093] hid-generic 0003:057E:0306.0028: hidraw0: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 7766.140045] hid-generic 0003:057E:0306.0029: No inputs registered, leaving [ 7766.140071] hid-generic 0003:057E:0306.0029: hidraw1: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 7766.145106] hid-generic 0003:057E:0306.002A: No inputs registered, leaving [ 7766.145130] hid-generic 0003:057E:0306.002A: hidraw7: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input2 [ 7766.150035] hid-generic 0003:057E:0306.002B: No inputs registered, leaving [ 7766.150062] hid-generic 0003:057E:0306.002B: hidraw8: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input3 [ 9009.767388] audit: type=1130 audit(1589031330.588:132): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 9020.018022] audit: type=1131 audit(1589031340.838:133): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 9843.605561] usb 1-3.3: USB disconnect, device number 19 [ 9847.143506] usb 1-3.3: new full-speed USB device number 20 using xhci_hcd [ 9847.269831] usb 1-3.3: New USB device found, idVendor=0079, idProduct=1802, bcdDevice= 1.00 [ 9847.269832] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 9847.269833] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 9847.269833] usb 1-3.3: Manufacturer: HJZ [ 9847.353951] input: HJZ Mayflash Wiimote PC Adapter as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.0/0003:0079:1802.002C/input/input77 [ 9847.410294] hid-generic 0003:0079:1802.002C: input,hidraw0: USB HID v10.01 Keyboard [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 9847.412997] input: HJZ Mayflash Wiimote PC Adapter Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.002D/input/input78 [ 9847.413110] input: HJZ Mayflash Wiimote PC Adapter Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.3/1-3.3:1.1/0003:0079:1802.002D/input/input79 [ 9847.466907] hid-generic 0003:0079:1802.002D: input,hidraw1: USB HID v10.01 Mouse [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 9849.749696] usb 1-3.3: USB disconnect, device number 20 [ 9850.306862] usb 1-3.3: new full-speed USB device number 21 using xhci_hcd [ 9850.433836] usb 1-3.3: New USB device found, idVendor=057e, idProduct=0306, bcdDevice= 1.00 [ 9850.433838] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 9850.433839] usb 1-3.3: Product: Mayflash Wiimote PC Adapter [ 9850.433839] usb 1-3.3: Manufacturer: HJZ [ 9850.587015] hid-generic 0003:057E:0306.002E: No inputs registered, leaving [ 9850.587051] hid-generic 0003:057E:0306.002E: hidraw0: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input0 [ 9850.591968] hid-generic 0003:057E:0306.002F: No inputs registered, leaving [ 9850.591993] hid-generic 0003:057E:0306.002F: hidraw1: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input1 [ 9850.597007] hid-generic 0003:057E:0306.0030: No inputs registered, leaving [ 9850.597032] hid-generic 0003:057E:0306.0030: hidraw7: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input2 [ 9850.601973] hid-generic 0003:057E:0306.0031: No inputs registered, leaving [ 9850.601997] hid-generic 0003:057E:0306.0031: hidraw8: USB HID v1.11 Gamepad [HJZ Mayflash Wiimote PC Adapter] on usb-0000:04:00.1-3.3/input3 [10042.512701] audit: type=1130 audit(1589032363.327:134): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-mirrorlist comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [10042.512705] audit: type=1131 audit(1589032363.327:135): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=pamac-mirrorlist comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [10809.772935] audit: type=1130 audit(1589033130.587:136): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [10820.023444] audit: type=1131 audit(1589033140.837:137): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [12609.773513] audit: type=1130 audit(1589034930.582:138): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [12620.028988] audit: type=1131 audit(1589034940.839:139): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [14409.782452] audit: type=1130 audit(1589036730.587:140): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [14420.032185] audit: type=1131 audit(1589036740.837:141): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [16209.906629] audit: type=1130 audit(1589038530.705:142): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [16220.036935] audit: type=1131 audit(1589038540.835:143): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [18009.792913] audit: type=1130 audit(1589040330.584:144): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [18020.046185] audit: type=1131 audit(1589040340.837:145): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [19089.320395] usb 1-3.3: USB disconnect, device number 21 [19809.800607] audit: type=1130 audit(1589042130.582:146): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [19820.056152] audit: type=1131 audit(1589042140.839:147): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [19843.481603] audit: type=1130 audit(1589042164.262:148): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=bluetooth comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [19843.490683] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 [19843.490684] Bluetooth: BNEP filters: protocol multicast [19843.490687] Bluetooth: BNEP socket layer initialized [19843.707090] audit: type=1130 audit(1589042164.488:149): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-hostnamed comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [19873.740190] audit: type=1131 audit(1589042194.522:150): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-hostnamed comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [21609.910601] audit: type=1130 audit(1589043930.686:151): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [21620.061321] audit: type=1131 audit(1589043940.839:152): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [23409.816766] audit: type=1130 audit(1589045730.583:153): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [23420.071978] audit: type=1131 audit(1589045740.839:154): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [25209.825614] audit: type=1130 audit(1589047530.585:155): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [25220.079795] audit: type=1131 audit(1589047540.838:156): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [27009.939072] audit: type=1130 audit(1589049330.694:157): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [27020.083989] audit: type=1131 audit(1589049340.838:158): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [27357.067976] usb 1-3.3: new full-speed USB device number 22 using xhci_hcd [27357.197021] usb 1-3.3: New USB device found, idVendor=2341, idProduct=0043, bcdDevice= 0.01 [27357.197023] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=220 [27357.197024] usb 1-3.3: Manufacturer: Arduino (www.arduino.cc) [27357.197024] usb 1-3.3: SerialNumber: 9573632353235120D010 [27357.270363] cdc_acm 1-3.3:1.0: ttyACM0: USB ACM device [27357.271969] usbcore: registered new interface driver cdc_acm [27357.271970] cdc_acm: USB Abstract Control Model driver for USB modems and ISDN adapters [27860.417180] usb 1-3.3: USB disconnect, device number 22 [27871.169210] usb 1-3.2: USB disconnect, device number 3 [27874.853130] usb 1-3: USB disconnect, device number 2 [27874.853133] usb 1-3.4: USB disconnect, device number 4 [27874.876131] usb 2-3: USB disconnect, device number 2 [27875.200792] usb 1-3.5: USB disconnect, device number 5 [28532.539810] usb 1-3: new high-speed USB device number 23 using xhci_hcd [28532.683829] usb 1-3: New USB device found, idVendor=0424, idProduct=2734, bcdDevice= 1.29 [28532.683831] usb 1-3: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [28532.683832] usb 1-3: Product: USB2734 [28532.683833] usb 1-3: Manufacturer: Microchip Tech [28532.738241] hub 1-3:1.0: USB hub found [28532.738581] hub 1-3:1.0: 5 ports detected [28532.803207] usb 2-3: new SuperSpeed Gen 1 USB device number 4 using xhci_hcd [28532.820153] usb 2-3: New USB device found, idVendor=0424, idProduct=5734, bcdDevice= 1.29 [28532.820155] usb 2-3: New USB device strings: Mfr=2, Product=3, SerialNumber=0 [28532.820157] usb 2-3: Product: USB5734 [28532.820158] usb 2-3: Manufacturer: Microchip Tech [28532.850148] hub 2-3:1.0: USB hub found [28532.850172] hub 2-3:1.0: 4 ports detected [28533.499837] usb 1-3.1: new low-speed USB device number 24 using xhci_hcd [28533.623174] usb 1-3.1: New USB device found, idVendor=046d, idProduct=c062, bcdDevice=31.00 [28533.623177] usb 1-3.1: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [28533.623179] usb 1-3.1: Product: USB Laser Mouse [28533.623180] usb 1-3.1: Manufacturer: Logitech [28533.674387] input: Logitech USB Laser Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.1/1-3.1:1.0/0003:046D:C062.0032/input/input84 [28533.674689] hid-generic 0003:046D:C062.0032: input,hidraw0: USB HID v1.10 Mouse [Logitech USB Laser Mouse] on usb-0000:04:00.1-3.1/input0 [28533.766502] usb 1-3.3: new full-speed USB device number 25 using xhci_hcd [28533.902118] usb 1-3.3: New USB device found, idVendor=2341, idProduct=0043, bcdDevice= 0.01 [28533.902121] usb 1-3.3: New USB device strings: Mfr=1, Product=2, SerialNumber=220 [28533.902123] usb 1-3.3: Manufacturer: Arduino (www.arduino.cc) [28533.902124] usb 1-3.3: SerialNumber: 9573632353235120D010 [28533.955173] cdc_acm 1-3.3:1.0: ttyACM0: USB ACM device [28534.046488] usb 1-3.4: new full-speed USB device number 26 using xhci_hcd [28534.170120] usb 1-3.4: New USB device found, idVendor=17ef, idProduct=6022, bcdDevice= 2.20 [28534.170123] usb 1-3.4: New USB device strings: Mfr=0, Product=2, SerialNumber=0 [28534.170125] usb 1-3.4: Product: Lenovo Ultraslim Plus Wireless Keyboard & Mouse [28534.248321] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.0/0003:17EF:6022.0033/input/input85 [28534.303282] hid-generic 0003:17EF:6022.0033: input,hidraw1: USB HID v1.11 Keyboard [Lenovo Ultraslim Plus Wireless Keyboard & Mouse] on usb-0000:04:00.1-3.4/input0 [28534.308430] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0034/input/input86 [28534.308571] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse Consumer Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0034/input/input87 [28534.363276] input: Lenovo Ultraslim Plus Wireless Keyboard & Mouse System Control as /devices/pci0000:00/0000:00:01.2/0000:01:00.0/0000:02:08.0/0000:04:00.1/usb1/1-3/1-3.4/1-3.4:1.1/0003:17EF:6022.0034/input/input88 [28534.363484] hid-generic 0003:17EF:6022.0034: input,hidraw3: USB HID v1.11 Mouse [Lenovo Ultraslim Plus Wireless Keyboard & Mouse] on usb-0000:04:00.1-3.4/input1 [28534.436507] usb 1-3.5: new high-speed USB device number 27 using xhci_hcd [28534.531622] usb 1-3.5: New USB device found, idVendor=0424, idProduct=274c, bcdDevice= 2.00 [28534.531625] usb 1-3.5: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [28534.531627] usb 1-3.5: Product: Hub Controller [28534.531628] usb 1-3.5: Manufacturer: Microchip Tech [28534.628185] hid-generic 0003:0424:274C.0035: hiddev1,hidraw4: USB HID v1.10 Device [Microchip Tech Hub Controller] on usb-0000:04:00.1-3.5/input1 [28809.839700] audit: type=1130 audit(1589051130.592:159): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [28820.086192] audit: type=1131 audit(1589051140.839:160): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [30609.835049] audit: type=1130 audit(1589052930.584:161): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [30620.089966] audit: type=1131 audit(1589052940.840:162): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [32172.512976] NVRM: GPU at PCI:0000:07:00: GPU-7e427271-1bb6-3ccd-9ba5-4c8ede833e69 [32172.512978] NVRM: GPU Board Serial Number: [32172.512980] NVRM: Xid (PCI:0000:07:00): 61, pid=1538, 0cec(3098) 00000000 00000000 [32409.963572] audit: type=1130 audit(1589054730.688:163): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [32420.112966] audit: type=1131 audit(1589054740.838:164): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [32512.646551] audit: type=1100 audit(1589054833.370:165): pid=49119 uid=1000 auid=1000 ses=4 msg='op=PAM:authentication grantors=pam_unix,pam_permit acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=/dev/pts/1 res=success' [32512.648055] audit: type=1101 audit(1589054833.370:166): pid=49119 uid=1000 auid=1000 ses=4 msg='op=PAM:accounting grantors=pam_unix,pam_permit,pam_time acct="laurent" exe="/usr/bin/sudo" hostname=? addr=? terminal=/dev/pts/1 res=success' [32512.649535] audit: type=1110 audit(1589054833.374:167): pid=49119 uid=0 auid=1000 ses=4 msg='op=PAM:setcred grantors=pam_unix,pam_permit acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=/dev/pts/1 res=success' [32512.650866] audit: type=1105 audit(1589054833.374:168): pid=49119 uid=0 auid=1000 ses=4 msg='op=PAM:session_open grantors=pam_limits,pam_unix,pam_permit acct="root" exe="/usr/bin/sudo" hostname=? addr=? terminal=/dev/pts/1 res=success' ____________________________________________ Utilisation des specs internes. COLLECT_GCC=gcc COLLECT_LTO_WRAPPER=/usr/lib/gcc/x86_64-pc-linux-gnu/9.3.0/lto-wrapper Cible : x86_64-pc-linux-gnu Configuré avec: /build/gcc/src/gcc/configure --prefix=/usr --libdir=/usr/lib --libexecdir=/usr/lib --mandir=/usr/share/man --infodir=/usr/share/info --with-pkgversion='Arch Linux 9.3.0-1' --with-bugurl=https://bugs.archlinux.org/ --enable-languages=c,c++,ada,fortran,go,lto,objc,obj-c++,d --enable-shared --enable-threads=posix --with-system-zlib --with-isl --enable-__cxa_atexit --disable-libunwind-exceptions --enable-clocale=gnu --disable-libstdcxx-pch --disable-libssp --enable-gnu-unique-object --enable-linker-build-id --enable-lto --enable-plugin --enable-install-libiberty --with-linker-hash-style=gnu --enable-gnu-indirect-function --enable-multilib --disable-werror --enable-checking=release --enable-default-pie --enable-default-ssp --enable-cet=auto gdc_include_dir=/usr/include/dlang/gdc Modèle de thread: posix gcc version 9.3.0 (Arch Linux 9.3.0-1) ____________________________________________ Utilisation des specs internes. COLLECT_GCC=g++ COLLECT_LTO_WRAPPER=/usr/lib/gcc/x86_64-pc-linux-gnu/9.3.0/lto-wrapper Cible : x86_64-pc-linux-gnu Configuré avec: /build/gcc/src/gcc/configure --prefix=/usr --libdir=/usr/lib --libexecdir=/usr/lib --mandir=/usr/share/man --infodir=/usr/share/info --with-pkgversion='Arch Linux 9.3.0-1' --with-bugurl=https://bugs.archlinux.org/ --enable-languages=c,c++,ada,fortran,go,lto,objc,obj-c++,d --enable-shared --enable-threads=posix --with-system-zlib --with-isl --enable-__cxa_atexit --disable-libunwind-exceptions --enable-clocale=gnu --disable-libstdcxx-pch --disable-libssp --enable-gnu-unique-object --enable-linker-build-id --enable-lto --enable-plugin --enable-install-libiberty --with-linker-hash-style=gnu --enable-gnu-indirect-function --enable-multilib --disable-werror --enable-checking=release --enable-default-pie --enable-default-ssp --enable-cet=auto gdc_include_dir=/usr/include/dlang/gdc Modèle de thread: posix gcc version 9.3.0 (Arch Linux 9.3.0-1) ____________________________________________ xset -q: xset could not connect to an X server ____________________________________________ nvidia-settings -q all: Unable to init server: Impossible de se connecter : Connexion refusée nvidia-settings could not connect to an X server ____________________________________________ xrandr --verbose: xrandr could not connect to an X server ____________________________________________ Running window manager properties: Unable to detect window manager properties ____________________________________________ *** /proc/cmdline *** ls: -r--r--r-- 1 root root 0 2020-05-09 18:36:04.272283536 +0200 /proc/cmdline BOOT_IMAGE=/boot/vmlinuz-5.4-x86_64 root=UUID=516a50e0-c8c8-4dc1-a9a4-df5d24937bd6 rw loglevel=3 quiet ____________________________________________ *** /proc/cpuinfo *** ls: -r--r--r-- 1 root root 0 2020-05-09 18:34:49.329264796 +0200 /proc/cpuinfo processor : 0 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 2464.795 cache size : 512 KB physical id : 0 siblings : 12 core id : 0 cpu cores : 6 apicid : 0 initial apicid : 0 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 1 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 3278.858 cache size : 512 KB physical id : 0 siblings : 12 core id : 1 cpu cores : 6 apicid : 2 initial apicid : 2 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 2 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 4191.956 cache size : 512 KB physical id : 0 siblings : 12 core id : 2 cpu cores : 6 apicid : 4 initial apicid : 4 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 3 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 2963.276 cache size : 512 KB physical id : 0 siblings : 12 core id : 4 cpu cores : 6 apicid : 8 initial apicid : 8 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 4 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 4171.271 cache size : 512 KB physical id : 0 siblings : 12 core id : 5 cpu cores : 6 apicid : 10 initial apicid : 10 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 5 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 3440.875 cache size : 512 KB physical id : 0 siblings : 12 core id : 6 cpu cores : 6 apicid : 12 initial apicid : 12 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 6 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 2519.206 cache size : 512 KB physical id : 0 siblings : 12 core id : 0 cpu cores : 6 apicid : 1 initial apicid : 1 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 7 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 3979.432 cache size : 512 KB physical id : 0 siblings : 12 core id : 1 cpu cores : 6 apicid : 3 initial apicid : 3 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 8 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 4188.836 cache size : 512 KB physical id : 0 siblings : 12 core id : 2 cpu cores : 6 apicid : 5 initial apicid : 5 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 9 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 2480.728 cache size : 512 KB physical id : 0 siblings : 12 core id : 4 cpu cores : 6 apicid : 9 initial apicid : 9 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 10 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 4188.412 cache size : 512 KB physical id : 0 siblings : 12 core id : 5 cpu cores : 6 apicid : 11 initial apicid : 11 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] processor : 11 vendor_id : AuthenticAMD cpu family : 23 model : 113 model name : AMD Ryzen 5 3600X 6-Core Processor stepping : 0 microcode : 0x8701013 cpu MHz : 3709.888 cache size : 512 KB physical id : 0 siblings : 12 core id : 6 cpu cores : 6 apicid : 13 initial apicid : 13 fpu : yes fpu_exception : yes cpuid level : 16 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf pni pclmulqdq monitor ssse3 fma cx16 sse4_1 sse4_2 movbe popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 hw_pstate sme ssbd mba sev ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 cqm rdt_a rdseed adx smap clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd arat npt lbrv svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter pfthreshold avic v_vmsave_vmload vgif umip rdpid overflow_recov succor smca bugs : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass bogomips : 7589.13 TLB size : 3072 4K pages clflush size : 64 cache_alignment : 64 address sizes : 43 bits physical, 48 bits virtual power management: ts ttp tm hwpstate cpb eff_freq_ro [13] [14] ____________________________________________ *** /proc/interrupts *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.150890853 +0200 /proc/interrupts CPU0 CPU1 CPU2 CPU3 CPU4 CPU5 CPU6 CPU7 CPU8 CPU9 CPU10 CPU11 0: 41 0 0 0 0 0 0 0 0 0 0 0 IR-IO-APIC 2-edge timer 7: 0 0 0 0 0 0 0 0 0 0 0 0 IR-IO-APIC 7-fasteoi pinctrl_amd 8: 0 1 0 0 0 0 0 0 0 0 0 0 IR-IO-APIC 8-edge rtc0 9: 0 0 0 0 0 0 0 0 0 0 0 0 IR-IO-APIC 9-fasteoi acpi 26: 0 0 0 0 0 0 0 0 0 0 0 0 PCI-MSI 4096-edge AMD-Vi 30: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 116736-edge aerdrv 31: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 133120-edge aerdrv 32: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 135168-edge aerdrv 33: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 137216-edge aerdrv 40: 0 0 1243385 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2099200-edge xhci_hcd 41: 0 0 0 78 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103296-edge xhci_hcd 42: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103297-edge xhci_hcd 43: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103298-edge xhci_hcd 44: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103299-edge xhci_hcd 45: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103300-edge xhci_hcd 46: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103301-edge xhci_hcd 47: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103302-edge xhci_hcd 48: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 2103303-edge xhci_hcd 50: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 3674112-edge xhci_hcd 52: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724736-edge xhci_hcd 53: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724737-edge xhci_hcd 54: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724738-edge xhci_hcd 55: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724739-edge xhci_hcd 56: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724740-edge xhci_hcd 57: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724741-edge xhci_hcd 58: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724742-edge xhci_hcd 59: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4724743-edge xhci_hcd 63: 0 0 0 0 0 0 0 0 0 313478 0 0 IR-PCI-MSI 2621443-edge ahci3 76: 0 0 0 0 0 0 0 0 0 0 31278 0 IR-PCI-MSI 3145728-edge ahci0 81: 0 0 0 0 0 0 0 0 0 0 0 29235 IR-PCI-MSI 3145733-edge ahci5 93: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 5242880-edge ahci[0000:0a:00.0] 95: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 5767168-edge ahci[0000:0b:00.0] 101: 0 0 0 0 0 0 0 0 0 0 0 0 IR-PCI-MSI 4720641-edge ccp-1 102: 0 0 0 0 0 0 1349 0 0 0 0 0 IR-IO-APIC 31-fasteoi snd_hda_intel:card0 104: 0 0 0 0 0 2095754 0 0 0 0 0 0 IR-PCI-MSI 4726784-edge snd_hda_intel:card1 105: 0 0 0 0 0 0 0 6732914 0 0 0 0 IR-PCI-MSI 1572864-edge enp3s0 106: 0 0 0 0 0 0 0 0 7396850 0 0 0 IR-PCI-MSI 3670016-edge nvidia NMI: 70 65 70 101 58 92 67 78 147 69 74 74 Non-maskable interrupts LOC: 3667341 3238620 3546954 3115375 6767823 43729686 3517518 3785716 2622369 2903709 3221214 3374002 Local timer interrupts SPU: 0 0 0 0 0 0 0 0 0 0 0 0 Spurious interrupts PMI: 70 65 70 101 58 92 67 78 147 69 74 74 Performance monitoring interrupts IWI: 0 0 0 0 0 0 0 0 0 0 1 0 IRQ work interrupts RTR: 0 0 0 0 0 0 0 0 0 0 0 0 APIC ICR read retries RES: 6615389 5549265 5392747 5111098 4225000 507211 5247900 4803704 2320856 5166722 5331952 6392823 Rescheduling interrupts CAL: 3255516 3418849 3484004 3325967 3468925 3350680 3475410 3438350 3579332 3539993 3490217 3553099 Function call interrupts TLB: 666649 693765 682723 861300 804447 874665 659091 666332 577724 805294 791277 768946 TLB shootdowns TRM: 0 0 0 0 0 0 0 0 0 0 0 0 Thermal event interrupts THR: 0 0 0 0 0 0 0 0 0 0 0 0 Threshold APIC interrupts DFR: 0 0 0 0 0 0 0 0 0 0 0 0 Deferred Error APIC interrupts MCE: 0 0 0 0 0 0 0 0 0 0 0 0 Machine check exceptions MCP: 105 105 105 105 105 105 105 105 105 105 105 105 Machine check polls HYP: 0 0 0 0 0 0 0 0 0 0 0 0 Hypervisor callback interrupts HRE: 0 0 0 0 0 0 0 0 0 0 0 0 Hyper-V reenlightenment interrupts HVS: 0 0 0 0 0 0 0 0 0 0 0 0 Hyper-V stimer0 interrupts ERR: 0 MIS: 0 PIN: 0 0 0 0 0 0 0 0 0 0 0 0 Posted-interrupt notification event NPI: 0 0 0 0 0 0 0 0 0 0 0 0 Nested posted-interrupt event PIW: 0 0 0 0 0 0 0 0 0 0 0 0 Posted-interrupt wakeup event ____________________________________________ *** /proc/meminfo *** ls: -r--r--r-- 1 root root 0 2020-05-09 18:34:49.329264796 +0200 /proc/meminfo MemTotal: 16372896 kB MemFree: 5338996 kB MemAvailable: 13376916 kB Buffers: 186176 kB Cached: 7982484 kB SwapCached: 0 kB Active: 3511188 kB Inactive: 6499520 kB Active(anon): 1850944 kB Inactive(anon): 220540 kB Active(file): 1660244 kB Inactive(file): 6278980 kB Unevictable: 32 kB Mlocked: 32 kB SwapTotal: 0 kB SwapFree: 0 kB Dirty: 100 kB Writeback: 0 kB AnonPages: 1842308 kB Mapped: 883276 kB Shmem: 232672 kB KReclaimable: 434656 kB Slab: 689096 kB SReclaimable: 434656 kB SUnreclaim: 254440 kB KernelStack: 13840 kB PageTables: 24176 kB NFS_Unstable: 0 kB Bounce: 0 kB WritebackTmp: 0 kB CommitLimit: 8186448 kB Committed_AS: 5509824 kB VmallocTotal: 34359738367 kB VmallocUsed: 50776 kB VmallocChunk: 0 kB Percpu: 30208 kB HardwareCorrupted: 0 kB AnonHugePages: 0 kB ShmemHugePages: 0 kB ShmemPmdMapped: 0 kB FileHugePages: 0 kB FilePmdMapped: 0 kB HugePages_Total: 0 HugePages_Free: 0 HugePages_Rsvd: 0 HugePages_Surp: 0 Hugepagesize: 2048 kB Hugetlb: 0 kB DirectMap4k: 2813128 kB DirectMap2M: 13877248 kB DirectMap1G: 1048576 kB ____________________________________________ *** /proc/modules *** ls: -r--r--r-- 1 root root 0 2020-05-09 18:36:04.465616060 +0200 /proc/modules cdc_acm 40960 0 - Live 0xffffffffc1ff1000 bnep 28672 2 - Live 0xffffffffc1f6a000 uas 32768 0 - Live 0xffffffffc1faf000 usb_storage 81920 1 uas, Live 0xffffffffc1f7f000 hid_mf 20480 0 - Live 0xffffffffc1f79000 ff_memless 20480 1 hid_mf, Live 0xffffffffc1f73000 bluetooth 671744 7 bnep, Live 0xffffffffc21de000 ecdh_generic 16384 1 bluetooth, Live 0xffffffffc1f00000 ecc 32768 1 ecdh_generic, Live 0xffffffffc1daa000 tun 57344 2 - Live 0xffffffffc1f5b000 snd_seq_dummy 16384 2 - Live 0xffffffffc1f56000 snd_hrtimer 16384 2 - Live 0xffffffffc1f4e000 snd_seq 86016 9 snd_seq_dummy, Live 0xffffffffc1f31000 snd_seq_device 16384 1 snd_seq, Live 0xffffffffc1f25000 fuse 139264 9 - Live 0xffffffffc1ecd000 squashfs 69632 4 - Live 0xffffffffc1c7f000 nls_iso8859_1 16384 1 - Live 0xffffffffc21aa000 nls_cp437 20480 1 - Live 0xffffffffc1ec7000 vfat 24576 1 - Live 0xffffffffc21a3000 fat 86016 1 vfat, Live 0xffffffffc2165000 loop 40960 8 - Live 0xffffffffc1f07000 snd_hda_codec_hdmi 73728 1 - Live 0xffffffffc2147000 joydev 28672 0 - Live 0xffffffffc20fb000 input_leds 16384 0 - Live 0xffffffffc20f6000 mousedev 24576 0 - Live 0xffffffffc1da3000 r8169 98304 0 - Live 0xffffffffc1d8a000 realtek 24576 1 - Live 0xffffffffc1c4e000 libphy 102400 2 r8169,realtek, Live 0xffffffffc20dc000 edac_mce_amd 32768 0 - Live 0xffffffffc22e2000 kvm_amd 110592 0 - Live 0xffffffffc217e000 snd_hda_codec_realtek 131072 1 - Live 0xffffffffc2126000 kvm 798720 1 kvm_amd, Live 0xffffffffc2018000 snd_hda_codec_generic 94208 1 snd_hda_codec_realtek, Live 0xffffffffc2000000 ledtrig_audio 16384 2 snd_hda_codec_realtek,snd_hda_codec_generic, Live 0xffffffffc1db6000 eeepc_wmi 16384 0 - Live 0xffffffffc1d71000 asus_wmi 36864 1 eeepc_wmi, Live 0xffffffffc1d2e000 snd_hda_intel 53248 9 - Live 0xffffffffc1d20000 battery 24576 1 asus_wmi, Live 0xffffffffc1d39000 irqbypass 16384 1 kvm, Live 0xffffffffc1c7a000 snd_intel_nhlt 20480 1 snd_hda_intel, Live 0xffffffffc1c48000 sparse_keymap 16384 1 asus_wmi, Live 0xffffffffc1c43000 snd_hda_codec 155648 4 snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel, Live 0xffffffffc1fca000 ucsi_ccg 20480 0 - Live 0xffffffffc1fb9000 typec_ucsi 45056 1 ucsi_ccg, Live 0xffffffffc1fa3000 crct10dif_pclmul 16384 1 - Live 0xffffffffc1f9b000 crc32_pclmul 16384 0 - Live 0xffffffffc1d77000 typec 49152 1 typec_ucsi, Live 0xffffffffc1eba000 rfkill 28672 7 bluetooth,asus_wmi, Live 0xffffffffc1ead000 wmi_bmof 16384 0 - Live 0xffffffffc1e2b000 ghash_clmulni_intel 16384 0 - Live 0xffffffffc1bb9000 snd_hda_core 102400 5 snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel,snd_hda_codec, Live 0xffffffffc2349000 snd_hwdep 16384 1 snd_hda_codec, Live 0xffffffffc1dff000 snd_pcm 135168 6 snd_hda_codec_hdmi,snd_hda_intel,snd_hda_codec,snd_hda_core, Live 0xffffffffc2327000 snd_timer 40960 4 snd_hrtimer,snd_seq,snd_pcm, Live 0xffffffffc21d3000 aesni_intel 372736 0 - Live 0xffffffffc1e51000 ccp 98304 1 kvm_amd, Live 0xffffffffc1e38000 snd 106496 27 snd_seq,snd_seq_device,snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel,snd_hda_codec,snd_hwdep,snd_pcm,snd_timer, Live 0xffffffffc1dd7000 crypto_simd 16384 1 aesni_intel, Live 0xffffffffc1c75000 sp5100_tco 20480 0 - Live 0xffffffffc1df6000 cryptd 24576 2 ghash_clmulni_intel,crypto_simd, Live 0xffffffffc1c69000 glue_helper 16384 1 aesni_intel, Live 0xffffffffc1e04000 pcspkr 16384 0 - Live 0xffffffffc1dd2000 k10temp 16384 0 - Live 0xffffffffc1dc9000 i2c_piix4 28672 0 - Live 0xffffffffc1dbd000 soundcore 16384 1 snd, Live 0xffffffffc1caa000 rng_core 16384 1 ccp, Live 0xffffffffc0548000 i2c_nvidia_gpu 16384 0 - Live 0xffffffffc04ef000 wmi 36864 2 asus_wmi,wmi_bmof, Live 0xffffffffc1d62000 evdev 24576 13 - Live 0xffffffffc1cc4000 pinctrl_amd 32768 0 - Live 0xffffffffc1cbb000 mac_hid 16384 0 - Live 0xffffffffc1cb3000 acpi_cpufreq 28672 0 - Live 0xffffffffc1a6e000 nvidia_drm 57344 8 - Live 0xffffffffc1b95000 (POE) nvidia_modeset 1118208 24 nvidia_drm, Live 0xffffffffc1a83000 (POE) drm_kms_helper 217088 1 nvidia_drm, Live 0xffffffffc1a38000 drm 520192 11 nvidia_drm,drm_kms_helper, Live 0xffffffffc1bbf000 agpgart 53248 1 drm, Live 0xffffffffc1bab000 syscopyarea 16384 1 drm_kms_helper, Live 0xffffffffc0534000 sysfillrect 16384 1 drm_kms_helper, Live 0xffffffffc052f000 sysimgblt 16384 1 drm_kms_helper, Live 0xffffffffc04ea000 fb_sys_fops 16384 1 drm_kms_helper, Live 0xffffffffc04e3000 nvidia 20504576 1238 nvidia_modeset, Live 0xffffffffc06a9000 (POE) ipmi_devintf 20480 0 - Live 0xffffffffc06a3000 ipmi_msghandler 69632 2 nvidia,ipmi_devintf, Live 0xffffffffc0691000 vboxnetflt 32768 0 - Live 0xffffffffc0526000 (OE) vboxnetadp 28672 0 - Live 0xffffffffc051b000 (OE) vboxdrv 503808 2 vboxnetflt,vboxnetadp, Live 0xffffffffc0615000 (OE) sg 40960 0 - Live 0xffffffffc04ca000 crypto_user 16384 0 - Live 0xffffffffc04c2000 ip_tables 36864 0 - Live 0xffffffffc03fe000 x_tables 49152 1 ip_tables, Live 0xffffffffc0357000 ext4 786432 2 - Live 0xffffffffc0554000 crc32c_generic 16384 0 - Live 0xffffffffc038e000 crc16 16384 2 bluetooth,ext4, Live 0xffffffffc0366000 mbcache 16384 1 ext4, Live 0xffffffffc0349000 jbd2 135168 1 ext4, Live 0xffffffffc048c000 sr_mod 28672 0 - Live 0xffffffffc034f000 cdrom 77824 1 sr_mod, Live 0xffffffffc0478000 hid_generic 16384 0 - Live 0xffffffffc039a000 sd_mod 57344 5 - Live 0xffffffffc0370000 usbhid 65536 0 - Live 0xffffffffc03ed000 hid 143360 3 hid_mf,hid_generic,usbhid, Live 0xffffffffc040e000 ahci 40960 4 - Live 0xffffffffc0383000 libahci 40960 1 ahci, Live 0xffffffffc053d000 libata 278528 2 ahci,libahci, Live 0xffffffffc0433000 crc32c_intel 24576 4 - Live 0xffffffffc03a1000 xhci_pci 20480 0 - Live 0xffffffffc054e000 scsi_mod 249856 6 uas,usb_storage,sg,sr_mod,sd_mod,libata, Live 0xffffffffc03af000 xhci_hcd 282624 1 xhci_pci, Live 0xffffffffc0303000 ____________________________________________ *** /proc/version *** ls: -r--r--r-- 1 root root 0 2020-05-09 18:39:02.264879173 +0200 /proc/version Linux version 5.4.36-1-MANJARO (builder@f15c2453c63a) (gcc version 9.3.0 (Arch Linux 9.3.0-1)) #1 SMP PREEMPT Wed Apr 29 18:47:01 UTC 2020 ____________________________________________ *** /proc/pci does not exist ____________________________________________ *** /proc/iomem *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.160890780 +0200 /proc/iomem 00000000-00000fff : Reserved 00001000-0008ffff : System RAM 00090000-00090fff : Unknown E820 type 00091000-0009ffff : System RAM 000a0000-000fffff : Reserved 000a0000-000bffff : PCI Bus 0000:00 000c0000-000dffff : PCI Bus 0000:00 000f0000-000fffff : System ROM 00100000-09d01fff : System RAM 09d02000-09ffffff : Reserved 0a000000-0a1fffff : System RAM 0a200000-0a20bfff : ACPI Non-volatile Storage 0a20c000-d5cdafff : System RAM d5cdb000-d5cdbfff : Reserved d5cdc000-d9010fff : System RAM d9011000-d915afff : Reserved d915b000-d92e3fff : ACPI Tables d92e4000-d9794fff : ACPI Non-volatile Storage d9795000-da4b9fff : Reserved da4ba000-da554fff : Unknown E820 type da555000-dcffffff : System RAM dd000000-dfffffff : Reserved e0000000-ffffffff : Reserved e0000000-fec02fff : PCI Bus 0000:00 e0000000-f20fffff : PCI Bus 0000:07 e0000000-efffffff : 0000:07:00.0 f0000000-f1ffffff : 0000:07:00.0 f2000000-f203ffff : 0000:07:00.2 f2000000-f203ffff : xhci-hcd f2040000-f204ffff : 0000:07:00.2 f6000000-f70fffff : PCI Bus 0000:07 f6000000-f6ffffff : 0000:07:00.0 f6000000-f6ffffff : nvidia f7000000-f707ffff : 0000:07:00.0 f7080000-f7083fff : 0000:07:00.1 f7080000-f7083fff : ICH HD audio f7084000-f7084fff : 0000:07:00.3 f7200000-f76fffff : PCI Bus 0000:01 f7200000-f76fffff : PCI Bus 0000:02 f7200000-f73fffff : PCI Bus 0000:04 f7200000-f72fffff : 0000:04:00.3 f7200000-f72fffff : xhci-hcd f7300000-f73fffff : 0000:04:00.1 f7300000-f73fffff : xhci-hcd f7400000-f74fffff : PCI Bus 0000:06 f7400000-f74007ff : 0000:06:00.0 f7400000-f74007ff : ahci f7500000-f75fffff : PCI Bus 0000:05 f7500000-f75007ff : 0000:05:00.0 f7500000-f75007ff : ahci f7600000-f76fffff : PCI Bus 0000:03 f7600000-f7603fff : 0000:03:00.0 f7604000-f7604fff : 0000:03:00.0 f7604000-f7604fff : r8169 f7700000-f79fffff : PCI Bus 0000:09 f7700000-f77fffff : 0000:09:00.3 f7700000-f77fffff : xhci-hcd f7800000-f78fffff : 0000:09:00.1 f7800000-f78fffff : ccp f7900000-f7907fff : 0000:09:00.4 f7900000-f7907fff : ICH HD audio f7908000-f7909fff : 0000:09:00.1 f7908000-f7909fff : ccp f7a00000-f7afffff : PCI Bus 0000:0b f7a00000-f7a007ff : 0000:0b:00.0 f7a00000-f7a007ff : ahci f7b00000-f7bfffff : PCI Bus 0000:0a f7b00000-f7b007ff : 0000:0a:00.0 f7b00000-f7b007ff : ahci f8000000-fbffffff : PCI MMCONFIG 0000 [bus 00-3f] f8000000-fbffffff : Reserved f8000000-fbffffff : pnp 00:00 fd000000-fd0fffff : pnp 00:01 feb80000-febfffff : amd_iommu fec00000-fec003ff : IOAPIC 0 fec01000-fec013ff : IOAPIC 1 fec10000-fec10fff : pnp 00:05 fed00000-fed003ff : HPET 0 fed00000-fed003ff : PNP0103:00 fed81500-fed818ff : AMDI0030:00 fedc0000-fedc0fff : pnp 00:05 fee00000-ffffffff : PCI Bus 0000:00 fee00000-fee00fff : Local APIC fee00000-fee00fff : pnp 00:05 ff000000-ffffffff : pnp 00:05 100000000-41f37ffff : System RAM 417400000-418000e50 : Kernel code 418000e51-41894c5ff : Kernel data 418ea5000-4191fffff : Kernel bss 41f380000-41fffffff : RAM buffer ____________________________________________ *** /proc/mtrr *** ls: -rw-r--r-- 1 root root 0 2020-05-09 12:06:11.527578599 +0200 /proc/mtrr reg00: base=0x000000000 ( 0MB), size= 2048MB, count=1: write-back reg01: base=0x080000000 ( 2048MB), size= 1024MB, count=1: write-back reg02: base=0x0c0000000 ( 3072MB), size= 512MB, count=1: write-back reg03: base=0x0d9b60000 ( 3483MB), size= 64KB, count=1: uncachable ____________________________________________ *** /proc/driver/nvidia/./version *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:13.380932113 +0200 /proc/driver/nvidia/./version NVRM version: NVIDIA UNIX x86_64 Kernel Module 440.82 Wed Apr 1 20:04:33 UTC 2020 GCC version: gcc version 9.3.0 (Arch Linux 9.3.0-1) ____________________________________________ *** /proc/driver/nvidia/./gpus/0000:07:00.0/information *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.167557400 +0200 /proc/driver/nvidia/./gpus/0000:07:00.0/information Model: GeForce GTX 1660 SUPER IRQ: 106 GPU UUID: GPU-7e427271-1bb6-3ccd-9ba5-4c8ede833e69 Video BIOS: 90.16.48.00.2e Bus Type: PCIe DMA Size: 47 bits DMA Mask: 0x7fffffffffff Bus Location: 0000:07:00.0 Device Minor: 0 Blacklisted: No ____________________________________________ *** /proc/driver/nvidia/./gpus/0000:07:00.0/registry *** ls: -rw-r--r-- 1 root root 0 2020-05-09 22:07:19.407555686 +0200 /proc/driver/nvidia/./gpus/0000:07:00.0/registry Binary: "" ____________________________________________ *** /proc/driver/nvidia/./warnings/README *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.407555686 +0200 /proc/driver/nvidia/./warnings/README The NVIDIA graphics driver tries to detect potential problems with the host system and warns about them using the system's logging mechanisms. Important warning message are also logged to dedicated text files in this directory. ____________________________________________ *** /proc/driver/nvidia/./params *** ls: -r--r--r-- 1 root root 0 2020-05-09 18:36:04.465616060 +0200 /proc/driver/nvidia/./params Mobile: 4294967295 ResmanDebugLevel: 4294967295 RmLogonRC: 1 ModifyDeviceFiles: 1 DeviceFileUID: 0 DeviceFileGID: 0 DeviceFileMode: 438 InitializeSystemMemoryAllocations: 1 UsePageAttributeTable: 4294967295 EnableMSI: 1 MapRegistersEarly: 0 RegisterForACPIEvents: 1 EnablePCIeGen3: 0 MemoryPoolSize: 0 KMallocHeapMaxSize: 0 VMallocHeapMaxSize: 0 IgnoreMMIOCheck: 0 TCEBypassMode: 0 EnableStreamMemOPs: 0 EnableBacklightHandler: 1 EnableUserNUMAManagement: 1 NvLinkDisable: 0 RmProfilingAdminOnly: 1 PreserveVideoMemoryAllocations: 0 DynamicPowerManagement: 0 RegisterPCIDriver: 1 RegistryDwords: "" RegistryDwordsPerDevice: "" RmMsg: "" AssignGpus: "" GpuBlacklist: "" TemporaryFilePath: "" ____________________________________________ *** /proc/driver/nvidia/./registry *** ls: -rw-r--r-- 1 root root 0 2020-05-09 22:07:19.414222302 +0200 /proc/driver/nvidia/./registry Binary: "" ____________________________________________ *** /proc/asound/cards *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.417555614 +0200 /proc/asound/cards 0 [NVidia ]: HDA-Intel - HDA NVidia HDA NVidia at 0xf7080000 irq 102 1 [Generic ]: HDA-Intel - HD-Audio Generic HD-Audio Generic at 0xf7900000 irq 104 ____________________________________________ *** /proc/asound/pcm *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.420888923 +0200 /proc/asound/pcm 00-03: HDMI 0 : HDMI 0 : playback 1 00-07: HDMI 1 : HDMI 1 : playback 1 00-08: HDMI 2 : HDMI 2 : playback 1 00-09: HDMI 3 : HDMI 3 : playback 1 01-00: ALCS1200A Analog : ALCS1200A Analog : playback 1 : capture 1 01-01: ALCS1200A Digital : ALCS1200A Digital : playback 1 01-02: ALCS1200A Alt Analog : ALCS1200A Alt Analog : capture 1 ____________________________________________ *** /proc/asound/modules *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.420888923 +0200 /proc/asound/modules 0 snd_hda_intel 1 snd_hda_intel ____________________________________________ *** /proc/asound/devices *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.424222231 +0200 /proc/asound/devices 1: : sequencer 2: [ 1- 0]: digital audio playback 3: [ 1- 0]: digital audio capture 4: [ 1- 1]: digital audio playback 5: [ 1- 2]: digital audio capture 6: [ 1- 0]: hardware dependent 7: [ 1] : control 8: [ 0- 3]: digital audio playback 9: [ 0- 7]: digital audio playback 10: [ 0- 8]: digital audio playback 11: [ 0- 9]: digital audio playback 12: [ 0- 0]: hardware dependent 13: [ 0] : control 33: : timer ____________________________________________ *** /proc/asound/version *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.424222231 +0200 /proc/asound/version Advanced Linux Sound Architecture Driver Version k5.4.36-1-MANJARO. ____________________________________________ *** /proc/asound/timers *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.427555543 +0200 /proc/asound/timers G0: system timer : 3333.333us (10000000 ticks) G3: HR timer : 0.001us (1000000000 ticks) Client sequencer queue -1 : running Client sequencer queue 1 : stopped P0-3-0: PCM playback 0-3-0 : SLAVE P0-7-0: PCM playback 0-7-0 : SLAVE P0-8-0: PCM playback 0-8-0 : SLAVE P0-9-0: PCM playback 0-9-0 : SLAVE P1-0-0: PCM playback 1-0-0 : SLAVE P1-0-1: PCM capture 1-0-1 : SLAVE P1-1-0: PCM playback 1-1-0 : SLAVE Client application 1861 : running P1-2-1: PCM capture 1-2-1 : SLAVE ____________________________________________ *** /proc/asound/hwdep *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.427555543 +0200 /proc/asound/hwdep 01-00: HDA Codec 0 00-00: HDA Codec 0 ____________________________________________ *** /proc/asound/card0/codec#0 *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:19.430888850 +0200 /proc/asound/card0/codec#0 Codec: Nvidia GPU 99 HDMI/DP Address: 0 AFG Function Id: 0x1 (unsol 0) Vendor Id: 0x10de0099 Subsystem Id: 0x1462c75a Revision Id: 0x100100 No Modem Function Group found Default PCM: rates [0x0]: bits [0x0]: formats [0x0]: Default Amp-In caps: N/A Default Amp-Out caps: N/A State of AFG node 0x01: Power states: D0 D1 D2 D3 CLKSTOP EPSS Power: setting=D0, actual=D0 GPIO: io=0, o=0, i=0, unsolicited=0, wake=0 Node 0x04 [Pin Complex] wcaps 0x407381: 8-Channels Digital CP Control: name="IEC958 Playback Con Mask", index=0, device=0 Control: name="IEC958 Playback Pro Mask", index=0, device=0 Control: name="IEC958 Playback Default", index=0, device=0 Control: name="IEC958 Playback Switch", index=0, device=0 Pincap 0x09000094: OUT Detect HBR HDMI DP Pin Default 0x185600f0: [Jack] Digital Out at Int HDMI Conn = Digital, Color = Unknown DefAssociation = 0xf, Sequence = 0x0 Pin-ctls: 0x40: OUT Unsolicited: tag=01, enabled=1 Connection: 4 0x08* 0x09 0x0a 0x0b Node 0x05 [Pin Complex] wcaps 0x407381: 8-Channels Digital CP Control: name="IEC958 Playback Con Mask", index=1, device=0 Control: name="IEC958 Playback Pro Mask", index=1, device=0 Control: name="IEC958 Playback Default", index=1, device=0 Control: name="IEC958 Playback Switch", index=1, device=0 Pincap 0x09000094: OUT Detect HBR HDMI DP Pin Default 0x185600f0: [Jack] Digital Out at Int HDMI Conn = Digital, Color = Unknown DefAssociation = 0xf, Sequence = 0x0 Pin-ctls: 0x00: Unsolicited: tag=02, enabled=1 Connection: 4 0x08* 0x09 0x0a 0x0b Node 0x06 [Pin Complex] wcaps 0x407381: 8-Channels Digital CP Control: name="IEC958 Playback Con Mask", index=2, device=0 Control: name="IEC958 Playback Pro Mask", index=2, device=0 Control: name="IEC958 Playback Default", index=2, device=0 Control: name="IEC958 Playback Switch", index=2, device=0 Pincap 0x09000094: OUT Detect HBR HDMI DP Pin Default 0x185600f0: [Jack] Digital Out at Int HDMI Conn = Digital, Color = Unknown DefAssociation = 0xf, Sequence = 0x0 Pin-ctls: 0x00: Unsolicited: tag=03, enabled=1 Connection: 4 0x08* 0x09 0x0a 0x0b Node 0x07 [Pin Complex] wcaps 0x407381: 8-Channels Digital CP Control: name="IEC958 Playback Con Mask", index=3, device=0 Control: name="IEC958 Playback Pro Mask", index=3, device=0 Control: name="IEC958 Playback Default", index=3, device=0 Control: name="IEC958 Playback Switch", index=3, device=0 Pincap 0x09000094: OUT Detect HBR HDMI DP Pin Default 0x185600f0: [Jack] Digital Out at Int HDMI Conn = Digital, Color = Unknown DefAssociation = 0xf, Sequence = 0x0 Pin-ctls: 0x00: Unsolicited: tag=04, enabled=1 Connection: 4 0x08* 0x09 0x0a 0x0b Node 0x08 [Audio Output] wcaps 0x62b1: 8-Channels Digital Stripe Device: name="HDMI 0", type="HDMI", device=3 Converter: stream=5, channel=0 Digital: Enabled GenLevel Digital category: 0x2 IEC Coding Type: 0x0 PCM: rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000 bits [0xe]: 16 20 24 formats [0x5]: PCM AC3 Unsolicited: tag=00, enabled=0 Node 0x09 [Audio Output] wcaps 0x62b1: 8-Channels Digital Stripe Converter: stream=0, channel=0 Digital: Digital category: 0x0 IEC Coding Type: 0x0 PCM: rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000 bits [0xe]: 16 20 24 formats [0x5]: PCM AC3 Unsolicited: tag=00, enabled=0 Node 0x0a [Audio Output] wcaps 0x62b1: 8-Channels Digital Stripe Converter: stream=0, channel=0 Digital: Digital category: 0x0 IEC Coding Type: 0x0 PCM: rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000 bits [0xe]: 16 20 24 formats [0x5]: PCM AC3 Unsolicited: tag=00, enabled=0 Node 0x0b [Audio Output] wcaps 0x62b1: 8-Channels Digital Stripe Converter: stream=0, channel=0 Digital: Digital category: 0x0 IEC Coding Type: 0x0 PCM: rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000 bits [0xe]: 16 20 24 formats [0x5]: PCM AC3 Unsolicited: tag=00, enabled=0 ____________________________________________ *** /proc/asound/card0/eld#0.0 *** ls: -rw-r--r-- 1 root root 0 2020-05-09 22:07:20.070884276 +0200 /proc/asound/card0/eld#0.0 monitor_present 1 eld_valid 1 monitor_name DELL U2518D connection_type DisplayPort eld_version [0x2] CEA-861D or below edid_version [0x3] CEA-861-B, C or D manufacture_id 0xac10 product_id 0x413a port_id 0x2000 support_hdcp 0 support_ai 0 audio_sync_delay 0 speakers [0x1] FL/FR sad_count 1 sad0_coding_type [0x1] LPCM sad0_channels 2 sad0_rates [0x6e0] 32000 44100 48000 88200 96000 sad0_bits [0xe0000] 16 20 24 ____________________________________________ *** /proc/asound/card0/eld#0.1 *** ls: -rw-r--r-- 1 root root 0 2020-05-09 22:07:20.070884276 +0200 /proc/asound/card0/eld#0.1 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#0.2 *** ls: -rw-r--r-- 1 root root 0 2020-05-09 22:07:20.074217588 +0200 /proc/asound/card0/eld#0.2 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#0.3 *** ls: -rw-r--r-- 1 root root 0 2020-05-09 22:07:20.077550895 +0200 /proc/asound/card0/eld#0.3 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card1/codec#0 *** ls: -r--r--r-- 1 root root 0 2020-05-09 22:07:20.077550895 +0200 /proc/asound/card1/codec#0 Codec: Realtek ALCS1200A Address: 0 AFG Function Id: 0x1 (unsol 1) Vendor Id: 0x10ec0b00 Subsystem Id: 0x10438797 Revision Id: 0x100001 No Modem Function Group found Default PCM: rates [0x5f0]: 32000 44100 48000 88200 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Default Amp-In caps: N/A Default Amp-Out caps: N/A State of AFG node 0x01: Power states: D0 D1 D2 D3 CLKSTOP EPSS Power: setting=D0, actual=D0 GPIO: io=2, o=0, i=0, unsolicited=1, wake=0 IO[0]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0 IO[1]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0 Node 0x02 [Audio Output] wcaps 0x41d: Stereo Amp-Out Control: name="Front Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Device: name="ALCS1200A Analog", type="Audio", device=0 Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0 Amp-Out vals: [0x3c 0x3c] Converter: stream=0, channel=0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x03 [Audio Output] wcaps 0x41d: Stereo Amp-Out Control: name="Surround Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0 Amp-Out vals: [0x3c 0x3c] Converter: stream=0, channel=0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x04 [Audio Output] wcaps 0x41d: Stereo Amp-Out Control: name="Center Playback Volume", index=0, device=0 ControlAmp: chs=1, dir=Out, idx=0, ofs=0 Control: name="LFE Playback Volume", index=0, device=0 ControlAmp: chs=2, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0 Amp-Out vals: [0x3c 0x3c] Converter: stream=0, channel=0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x05 [Audio Output] wcaps 0x41d: Stereo Amp-Out Control: name="Headphone Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0 Amp-Out vals: [0x3c 0x3c] Converter: stream=0, channel=0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x06 [Audio Output] wcaps 0x611: Stereo Digital Control: name="IEC958 Playback Con Mask", index=0, device=0 Control: name="IEC958 Playback Pro Mask", index=0, device=0 Control: name="IEC958 Playback Default", index=0, device=0 Control: name="IEC958 Playback Switch", index=0, device=0 Control: name="IEC958 Default PCM Playback Switch", index=0, device=0 Device: name="ALCS1200A Digital", type="SPDIF", device=1 Converter: stream=5, channel=0 Digital: Enabled GenLevel Digital category: 0x2 IEC Coding Type: 0x0 PCM: rates [0x5f0]: 32000 44100 48000 88200 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x07 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x08 [Audio Input] wcaps 0x10051b: Stereo Amp-In Control: name="Capture Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Control: name="Capture Switch", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Device: name="ALCS1200A Analog", type="Audio", device=0 Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1 Amp-In vals: [0x27 0x27] Converter: stream=1, channel=0 SDI-Select: 0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x23 Node 0x09 [Audio Input] wcaps 0x10051b: Stereo Amp-In Control: name="Capture Volume", index=1, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Control: name="Capture Switch", index=1, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Device: name="ALCS1200A Alt Analog", type="Audio", device=2 Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1 Amp-In vals: [0x80 0x80] Converter: stream=0, channel=0 SDI-Select: 0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x22 Node 0x0a [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x0b [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Control: name="Front Mic Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=1, ofs=0 Control: name="Front Mic Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=In, idx=1, ofs=0 Control: name="Rear Mic Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Control: name="Rear Mic Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Control: name="Line Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=2, ofs=0 Control: name="Line Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=In, idx=2, ofs=0 Control: name="Beep Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=5, ofs=0 Control: name="Beep Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=In, idx=5, ofs=0 Amp-In caps: ofs=0x17, nsteps=0x1f, stepsize=0x05, mute=1 Amp-In vals: [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] Connection: 8 0x18 0x19 0x1a 0x1b 0x14 0x15 0x16 0x17 Node 0x0c [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x00 0x00] [0x80 0x80] Connection: 2 0x02 0x0b Node 0x0d [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x00 0x00] [0x80 0x80] Connection: 2 0x03 0x0b Node 0x0e [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x00 0x00] [0x80 0x80] Connection: 2 0x04 0x0b Node 0x0f [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x00 0x00] [0x80 0x80] Connection: 2 0x05 0x0b Node 0x10 [Audio Output] wcaps 0x611: Stereo Digital Converter: stream=0, channel=0 Digital: Digital category: 0x0 IEC Coding Type: 0x0 PCM: rates [0x5f0]: 32000 44100 48000 88200 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x11 [Pin Complex] wcaps 0x400781: Stereo Digital Pincap 0x00000014: OUT Detect Pin Default 0x411111f0: [N/A] Speaker at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0xf, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x40: OUT Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x10 Node 0x12 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x13 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x14 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Control: name="Front Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x00 0x00] Pincap 0x0001003e: IN OUT HP EAPD Detect Trigger EAPD 0x2: EAPD Pin Default 0x01014010: [Jack] Line Out at Ext Rear Conn = 1/8, Color = Green DefAssociation = 0x1, Sequence = 0x0 Pin-ctls: 0x40: OUT Unsolicited: tag=05, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x0c Node 0x15 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Control: name="Surround Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x00 0x00] Pincap 0x00000036: IN OUT Detect Trigger Pin Default 0x01011012: [Jack] Line Out at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0x1, Sequence = 0x2 Pin-ctls: 0x40: OUT Unsolicited: tag=06, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x0d Node 0x16 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Control: name="Center Playback Switch", index=0, device=0 ControlAmp: chs=1, dir=Out, idx=0, ofs=0 Control: name="LFE Playback Switch", index=0, device=0 ControlAmp: chs=2, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x00 0x00] Pincap 0x00000036: IN OUT Detect Trigger Pin Default 0x01016011: [Jack] Line Out at Ext Rear Conn = 1/8, Color = Orange DefAssociation = 0x1, Sequence = 0x1 Pin-ctls: 0x40: OUT Unsolicited: tag=07, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x0e Node 0x17 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x00000036: IN OUT Detect Trigger Pin Default 0x40170000: [N/A] Speaker at Ext N/A Conn = Analog, Color = Unknown DefAssociation = 0x0, Sequence = 0x0 Pin-ctls: 0x20: IN Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x0f Node 0x18 [Pin Complex] wcaps 0x40058f: Stereo Amp-In Amp-Out Control: name="Rear Mic Boost Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x00003736: IN OUT Detect Trigger Vref caps: HIZ 50 GRD 80 100 Pin Default 0x01a19050: [Jack] Mic at Ext Rear Conn = 1/8, Color = Pink DefAssociation = 0x5, Sequence = 0x0 Pin-ctls: 0x24: IN VREF_80 Unsolicited: tag=03, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 5 0x0c* 0x0d 0x0e 0x0f 0x26 Node 0x19 [Pin Complex] wcaps 0x40058f: Stereo Amp-In Amp-Out Control: name="Front Mic Boost Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x0000373e: IN OUT HP Detect Trigger Vref caps: HIZ 50 GRD 80 100 Pin Default 0x02a19060: [Jack] Mic at Ext Front Conn = 1/8, Color = Pink DefAssociation = 0x6, Sequence = 0x0 Pin-ctls: 0x24: IN VREF_80 Unsolicited: tag=02, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 5 0x0c* 0x0d 0x0e 0x0f 0x26 Node 0x1a [Pin Complex] wcaps 0x40058f: Stereo Amp-In Amp-Out Control: name="Line Boost Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x00003736: IN OUT Detect Trigger Vref caps: HIZ 50 GRD 80 100 Pin Default 0x0181305f: [Jack] Line In at Ext Rear Conn = 1/8, Color = Blue DefAssociation = 0x5, Sequence = 0xf Pin-ctls: 0x20: IN VREF_HIZ Unsolicited: tag=04, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 5 0x0c* 0x0d 0x0e 0x0f 0x26 Node 0x1b [Pin Complex] wcaps 0x40058f: Stereo Amp-In Amp-Out Control: name="Headphone Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x00 0x00] Pincap 0x0001373e: IN OUT HP EAPD Detect Trigger Vref caps: HIZ 50 GRD 80 100 EAPD 0x2: EAPD Pin Default 0x02214020: [Jack] HP Out at Ext Front Conn = 1/8, Color = Green DefAssociation = 0x2, Sequence = 0x0 Pin-ctls: 0xc0: OUT HP VREF_HIZ Unsolicited: tag=01, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 5 0x0c 0x0d 0x0e 0x0f* 0x26 Node 0x1c [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x1d [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x1e [Pin Complex] wcaps 0x400781: Stereo Digital Pincap 0x00000014: OUT Detect Pin Default 0x01456140: [Jack] SPDIF Out at Ext Rear Conn = Optical, Color = Orange DefAssociation = 0x4, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x40: OUT Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x06 Node 0x1f [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x20 [Vendor Defined Widget] wcaps 0xf00040: Mono Processing caps: benign=0, ncoeff=32 Node 0x21 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x22 [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x80 0x80] [0x00 0x00] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] Connection: 9 0x18 0x19 0x1a 0x1b 0x14 0x15 0x16 0x17 0x0b Node 0x23 [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x80 0x80] [0x00 0x00] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] Connection: 9 0x18 0x19 0x1a 0x1b 0x14 0x15 0x16 0x17 0x0b Node 0x24 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x25 [Audio Output] wcaps 0x41d: Stereo Amp-Out Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0 Amp-Out vals: [0x57 0x57] Converter: stream=0, channel=0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x26 [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x00 0x00] [0x80 0x80] Connection: 2 0x25 0x0b ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.0/power/control *** ls: -rw-r--r-- 1 root root 4096 2020-05-09 22:07:20.160883633 +0200 /sys/bus/pci/devices/0000:07:00.0/power/control on ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.0/power/runtime_status *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.160883633 +0200 /sys/bus/pci/devices/0000:07:00.0/power/runtime_status active ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.0/power/runtime_usage *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.164216945 +0200 /sys/bus/pci/devices/0000:07:00.0/power/runtime_usage 3 ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.1/power/control *** ls: -rw-r--r-- 1 root root 4096 2020-05-09 22:07:20.167550254 +0200 /sys/bus/pci/devices/0000:07:00.1/power/control auto ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.1/power/runtime_status *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.167550254 +0200 /sys/bus/pci/devices/0000:07:00.1/power/runtime_status active ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.1/power/runtime_usage *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.170883562 +0200 /sys/bus/pci/devices/0000:07:00.1/power/runtime_usage 0 ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.2/power/control *** ls: -rw-r--r-- 1 root root 4096 2020-05-09 22:07:20.174216874 +0200 /sys/bus/pci/devices/0000:07:00.2/power/control auto ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.2/power/runtime_status *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.174216874 +0200 /sys/bus/pci/devices/0000:07:00.2/power/runtime_status suspended ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.2/power/runtime_usage *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.177550181 +0200 /sys/bus/pci/devices/0000:07:00.2/power/runtime_usage 0 ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.3/power/control *** ls: -rw-r--r-- 1 root root 4096 2020-05-09 22:07:20.177550181 +0200 /sys/bus/pci/devices/0000:07:00.3/power/control auto ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.3/power/runtime_status *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.180883493 +0200 /sys/bus/pci/devices/0000:07:00.3/power/runtime_status suspended ____________________________________________ *** /sys/bus/pci/devices/0000:07:00.3/power/runtime_usage *** ls: -r--r--r-- 1 root root 4096 2020-05-09 22:07:20.180883493 +0200 /sys/bus/pci/devices/0000:07:00.3/power/runtime_usage 0 ____________________________________________ *** ls: lrwxrwxrwx 1 root root 0 2020-05-09 22:07:14.570923600 +0200 /sys/class/drm/card0/device/driver -> ../../../../bus/pci/drivers/nvidia *** ls: lrwxrwxrwx 1 root root 0 2020-05-09 22:07:14.570923600 +0200 /sys/class/drm/renderD128/device/driver -> ../../../../bus/pci/drivers/nvidia ____________________________________________ Skipping vulkaninfo output (vulkaninfo not found) ____________________________________________ /sbin/nvidia-smi --query ==============NVSMI LOG============== Timestamp : Sat May 9 22:07:20 2020 Driver Version : 440.82 CUDA Version : 10.2 Attached GPUs : 1 GPU 00000000:07:00.0 Product Name : GeForce GTX 1660 SUPER Product Brand : GeForce Display Mode : Enabled Display Active : Enabled Persistence Mode : Disabled Accounting Mode : Disabled Accounting Mode Buffer Size : 4000 Driver Model Current : N/A Pending : N/A Serial Number : N/A GPU UUID : GPU-7e427271-1bb6-3ccd-9ba5-4c8ede833e69 Minor Number : 0 VBIOS Version : 90.16.48.00.2E MultiGPU Board : No Board ID : 0x700 GPU Part Number : N/A Inforom Version Image Version : Unknown Error OEM Object : 1.1 ECC Object : N/A Power Management Object : N/A GPU Operation Mode Current : N/A Pending : N/A GPU Virtualization Mode Virtualization Mode : None Host VGPU Mode : N/A IBMNPU Relaxed Ordering Mode : N/A PCI Bus : 0x07 Device : 0x00 Domain : 0x0000 Device Id : 0x21C410DE Bus Id : 00000000:07:00.0 Sub System Id : 0xC75A1462 GPU Link Info PCIe Generation Max : 3 Current : 1 Link Width Max : 16x Current : 16x Bridge Chip Type : N/A Firmware : N/A Replays Since Reset : 0 Replay Number Rollovers : 0 Tx Throughput : 448000 KB/s Rx Throughput : 263000 KB/s Fan Speed : Unknown Error Performance State : P5 Clocks Throttle Reasons : Unknown Error FB Memory Usage Total : 5944 MiB Used : 491 MiB Free : 5453 MiB BAR1 Memory Usage Total : 256 MiB Used : 9 MiB Free : 247 MiB Compute Mode : Default Utilization Gpu : 0 % Memory : 0 % Encoder : 0 % Decoder : 0 % Encoder Stats Active Sessions : 0 Average FPS : 0 Average Latency : 0 FBC Stats Active Sessions : 0 Average FPS : 0 Average Latency : 0 Ecc Mode Current : N/A Pending : N/A ECC Errors Volatile SRAM Correctable : N/A SRAM Uncorrectable : N/A DRAM Correctable : N/A DRAM Uncorrectable : N/A Aggregate SRAM Correctable : N/A SRAM Uncorrectable : N/A DRAM Correctable : N/A DRAM Uncorrectable : N/A Retired Pages Single Bit ECC : N/A Double Bit ECC : N/A Pending Page Blacklist : N/A Temperature GPU Current Temp : 35 C GPU Shutdown Temp : 96 C GPU Slowdown Temp : 93 C GPU Max Operating Temp : 91 C Memory Current Temp : N/A Memory Max Operating Temp : N/A Power Readings Power Management : Supported Power Draw : Unknown Error Power Limit : 125.00 W Default Power Limit : 125.00 W Enforced Power Limit : 125.00 W Min Power Limit : 70.00 W Max Power Limit : 125.00 W Clocks Graphics : Unknown Error SM : Unknown Error Memory : Unknown Error Video : Unknown Error Applications Clocks Graphics : N/A Memory : N/A Default Applications Clocks Graphics : N/A Memory : N/A Max Clocks Graphics : 2130 MHz SM : 2130 MHz Memory : 7001 MHz Video : 1950 MHz Max Customer Boost Clocks Graphics : N/A Clock Policy Auto Boost : N/A Auto Boost Default : N/A Processes Process ID : 1538 Type : G Name : /usr/lib/Xorg Used GPU Memory : 330 MiB Process ID : 1653 Type : G Name : /usr/bin/kwin_x11 Used GPU Memory : 51 MiB Process ID : 1660 Type : G Name : /usr/bin/plasmashell Used GPU Memory : 91 MiB Process ID : 1695 Type : G Name : /usr/bin/latte-dock Used GPU Memory : 17 MiB Process ID : 49073 Type : G Name : /usr/bin/ksysguard Used GPU Memory : 3 MiB Process ID : 49074 Type : G Name : /usr/bin/ksysguard Used GPU Memory : 3 MiB Process ID : 49112 Type : G Name : /usr/bin/ksysguard Used GPU Memory : 3 MiB /sbin/nvidia-smi --query --unit ==============NVSMI LOG============== Timestamp : Sat May 9 22:08:19 2020 Driver Version : 440.82 CUDA Version : 10.2 HIC Info : N/A Attached Units : 0 ____________________________________________ base64 "nvidia-nvml-temp49122.log" 40LPiFei+Wa2K9yzWXYyZ7erQoCP442jUg3zsTjFj+BpMwExj0FBO+SFGAi0jyt9xgmNEWOuCjWU iRwwKwQZXBHqY+0/MOdI3+RzIB9GVcH2HlduPL7t9vxQER2UlI8co1XYJu0mn05Bo8raxRGuttTL DKcSD145HYuPb/7rWHlW5gICGid9pBPvY1jUPsGBACjtFFRhkXKntEN1ImHjttkfOJf6b0YRZFWu P3objPLzSmILcyh+ozuz9qWRr6SrZiytFJctQuJ0L0/8qjM/CkSAGo/SkbHANHAp1zhrpRoSsxKv 20zAJyuMbMU0Q9d2sPMgH24mZDPVLW244hWerTaRbB/wbddGfh8kU7qWjqovoBki4coxWbUOEZhI NoRAmOnM2WT5vCjuXz+uExoS6EBs1AmR1U9Rj/1rF/SGhMkuqY6DYKROqtgAc8kVWjUaJ9q9H0ZG I+GIpomx5kzwnctUR+N6jwvmkt+tvsTxIfnWi5SMGamyrv12LylN9sl2Bdd8o3NZTTJUMPO18eNO Ny8AQMw0eoofP5azg0DlSsCJ+d1xl1SteIb8cJRUOGSJuoDTC9ik6oBlsH9nGHLaFGyT2R0DHors pguewoxfXk/lPpuUeRMfCV/dNy4QFOI1B928yQht7DRCotdABanreCufZtX9+7UVTHmanE1kjIFU oIv5QN8h9YEyq0IT7kms1Au4JGPNBKDDb1c9LBiePnRB29jlgnBIHSSGMOovtYEDUDpfuOHlFOM2 xRtNAbJHj1Ly3/AIh1mIazfO9K9KZFgeBeXae7z0t3KdjbcQqWSW4q5IhxEHE5IeKefCsGMDoBpD lmLzhPqqkSlaE5ahdLd4n9NwlAAC2lMUnq4cuxtzkR2UUKTpPyB3oN9E4WEt1OIglUVjhSkWPR6D GdBpGu3WHu6Qh0SquZopKNwbFXlFkX/824WBormJ3ow/LB+QcAkHk6SdqD4PeOM331TmH+PAop6o 2Ov1sJr3fV+cFVl7cuavm41rDV26IPsUr+KVzz3Dt8nv76D5CvY0gOGYaupEDTVvMC8Pl9ghT3QW LxLTTHjUmqNsmW+I0FHhih0yYO3w4wXHqJFO+gXP8Hl2TGr5v02n9Ovrn8Wt1JskT/XzNohOSoN+ b6Pop4ZT+A8ilSgwpV6WeRwFC0SSErFIlqgFHmR6/By1+SKzKhDuRTMxfLuMkJr2WgCdbAIR5svr Zaz9JFXyo1o8oSSm6BZ6dhw09dgrLjdHOSMq8Xnza/NoU7tBfhwR6uw4b8WfYXS8xYDVZAs2/pK1 PzYad9/UMBrEtVzA3ErWZKkWM9fdawqVFDI1aNA1IIhxI28GYq2k2T/Hz+oxmvOtwRt36WdvC28Y pZ0Zqm1eiWsvzifbc6y7Kl9kDrJIZ0+9abubrPTuy6DSSlYVt8YAuPDr6srLvpWw5jna5jml3lRX kFxoeLFftW6kUzlbhSgLGkE7leoG2OOEd1iIA1SLeSoDSfbVQWF34cWyljBRYmHcq49FI/7YWj5r Z74s6t+lepdd5dneF8pnPLBnAHRhG5gO624iLsoblMkKmM1DR4gkSonUglYN//0IyV3136P6oxeT RTtdeqVniVtahT0dwxqUTfcKkHjh4t3VtEJnfJfuaEfLRk2RKdf1OkEbc3TjCloHa8zqUtnh3p/y PChvjy8lIdAREBrI8ELJeAMZMT9E5PgI+mI7IY6F41kLX7iPEa4Mi8I9ghRBvc0UDeVj7nQrP5rd M7cty7lV3pi5B4VC/Zp7JvG/F+Q1hC1wbdsUSjhTtkfuSjGpc5cWevlNapUbK+XGFkTdZX0co1vW GyPjdvGfnr1/U+xatg1AAP/CIz2unp18eJEYxYeL+r/C0/ITwOrzuIL9xVC4slibzCea5tXJTfKU vLEsFAeoyFeWM7r2+Dck1UFSzDz/8IyUL1LOrB6wp0cE/WPgAffsU0skqopJ95wVhjhkoiijYy+q Q512h177NrxLRWrQlct86P3UFkeEfGsFc06WrI/uIY+0v2+U3nQP7gGB2lykOd3tZCNr2aRpLU1d OFrGwbG3D8jQCD4OST/wAqJuC2hrFbSy0oFJM/+6JmqPhbPi9VmeLB8lC8TcA2/TPCW49PV8kOPV lAjWHzLuFDtsJ4e1i5wM8xOZ4W6lOXggZT28xK0bP6QYyI7osTtgShLJQzi/0pIIUZag4xcBcOEN DXGh1i6tKNqWpH6uqJZtvd2BGJCFJQVxgeVCSJH0R6wi41AHPNvTUk4GIY03GFvk1g+aEFlFw116 UXC6kIDWJRViiIaB6EL3lNFdZQpVY2Vimsc7OeBfQrVIAac0r4HB8+RFrZY0fbSvJlhF9nQY1X1U 8eGrExMhfiSEJIHQN37BMuMkZkD5dO5LbPV8UHVAFbkMKBkRavXwCm0YBk5VtX0BrEuDd9Iec4Lv Id+4zi8OhJ1g9QcHs6FrW9+6816uD79LCePPN0NY3ZukqjoMGAL3rZaNdJjl90beSVpaC/nUoVfz 1+JI+q3FYuynyOm9Mae0ScX42vKUX/7oFlOivl2ORTjOxLzhVaQDftc/Kp9rtG5vtUQSMwMR/pkl ddQfriOQgfnxYx5tUP++8plJ4zpNClezWnnhIotqxgk19xSrnBMpC4HP/8/TmOHwZ4dbG9k4KA1j XHrYbx2LOtjcBCSyFbNvUe7OfVjDvyRM3bI7YsYVwPrsqGqouN8ImExTExNtUcb0mSahXKCll05t 7cNXBRB6HgQUZlD0o8OadlFop7LshAQe9s00TP4mUaFIfLRqz3VuyfjQqn0lrkWlNXT/J+KY2EYk GY6gV+IJvbrUkNQr9dIeYsfP4jz9/qyzwaPRJs4r2Tv6b/YDQwEWT0/gGjMepyFmrr/09ApRGPwE iKG2eUbt7gmETiMT5D8dx53TpqJm3NuclsGn+eQj1iMCsP6w32P7kBGA0dGbNAwj1LgEjONL9HRq SqhLAItpEzGonfGN3LNnFIFAhS/+RA0FJBTOnA1y32pg5MctAa/Woqdd3B9/Cma4o11yYyZJTgAK Tl2yP6fCXLEHw51UdEtZbiWL+H0AcMH2C/hojyXrAap5SBWmZtN+z+4yMhGseZXM/q6YszfbDlZq /VubZvqi6lPvEQ/4eMchdsMGYgSSUxfa/dXF7kqR/HrACgN60DP6xcogKzgq4rFmicE8PzbuI3P9 +ccODgzw1KJvph0Cjdt5F8eBsOw9MzsI2qGFS1krypXkzbETmMLTKubwcTmvByz6KJcc8oebIG4o ZpX13G9wlcMYvLryWxmXv4dEYtRPQmjpkdCqzi4dMpid/tQurYCMoTzW0jiudsSi3xyG93wDxxNv OcEijhCkNU2n94jp89ElF/mgWq22bwk8aHXP0Qrpxf103WJjzPzskhpIaoj6tV8Xl6mFTJFNQslW QrYmABqLRGpUXbBl1Xj6WrZnvLa82pjPpcoFVUeDScUkZjZWyAmUIJpvt2ep+StYkQCaEayN2wRc tKtW/ruekmlFG6W/ooc3/kw+pbUJ0MrwOYejkVlWV16wwuPZTUjGfBkkGqS5gPP5H++bK0ZYO5Gv 1Z7XkLG3JN29tjzrQJVMVGOQB6jtxHOXTP/7mbB3WWuaIEaL3yX4jMa8E/OghFYTp3XCxur/qVTL Qfiq+n9f1xyjB6bhIjsngHi3GPpFwkrBaVuLv74GP1qq7kLuNXXrLA9uaTwZEBvwT4ND9deIhsKd J2r1qO5+5eHT41vTH8wKE/ihrwQ+0ofmB7dMFRRw78vDemlRfp9feGTAkGj5Azu+b5yPqw+Z/6/m z8ZVLfkOm14j2OhL5DPKpeo51egkJiAzKjMFrWhvdduPt1ZbvpWVMGxouSxycwuQ4EtbDywRyH4Z A3xvXYv1xeEsvzXAf9v47eWTVarXiqckS4G6iu4Yu7lzdMW7noVSs9XCyrjEnw0TGR754XCEdIaT /sZL7UesicujGL3/e4raSCI5vLkINBCBlEUZ1DExas5IQxE9Y4DcMTEVIPRA8pu15zRCWczVVxQx 5RIdiOu2dSW7YC4t462yD29fjasCV12qDj22cYn+9wG2OeWigBs1BOfJTFkAGocX05NU+C8ziyD3 23s1z+qMmDeu7PiEUpjj9i6zSSQVactLlPzixF/48kAj1LBQPPM7Q6WC3JD/jeiLfVXA1DSQ42Tr 4CMFC8txJo86EqAM9dzyXs2QUQwAqHEywMJCwr+XKSK6yzPOptuqEZodmuiWCY6YDRlNzuTF/A6D CjxvryJIl4IbfnqvMO2e/oqiUCr8ityHxWiMmT8Y13dd1qr6A3OwvsYQGu6RPbvsMBhqDbPEJX1L v/fp1Eo2sVGuJj66rCEJBqnuusEilnjbLJ0aGCzKsFyQALrUno5rUhQcUWMnF9dbVhVjzzuOmow5 y2TTnhIaTWNb/0TLj/1xf4sSbp6caLDlbf0+4OdfO8MCAtOxbg74Zdvbo+VXfy/swqx7edmX0B/P +7kPSsQZ8z9RemTD2vg+8VlzlxWWpPCikIBi1sdarHOKzdFsMn5YYBTbVgsewIxc8ait4l4+SrbH 5gomuc8PVnkp8rgiES5SLFPDBWeFxMq2PBFScWJDcabSs7TchaQwz/DUnq/agLd6KM/Q8D5WA5M9 iu3w0J9934gjzABKeR5G6JOCSTHmi4oE9//RviX5wtLj/jF/DK0G5Gw4vW+uLz9ih1+8VzjiPmsj MS/GzD+cQiSye4IuLM7dZTQ4XO8sUsQi/qH0tTndfVTkuKI7zzigpnWJLOJscaV36N+Uaec9CNSU D8vFo6AV/4JYnuZHRUJfMXbVJoWqb8iqz1xZY1clq2NHU7tUQ2JL6AT2tzyaLCsRHqFE05TS5A2v bo6MSJt/9V3X8Nez4dFq5Fr3CBO0FkL0PWBzG5i++5P33DOUOeCqLpVQoj3bP69jJwvqveK19E++ hgGLFQTyxau6iiWr7U5jDvTigbCDUuWdn0oyBjPdI9sUt1PCJYE8FuNeG2wjJS2/lIcs7E2ErdlY Pmw5a+LOuaV4yVXp76RV+HfJrkI28TYN1rkVFC0s84EMHbq7Dy82FNZh5km9kfm5tPLfADlbetya +59DRzKMKhWbg07cJ4Jtaim9/Xr6Zi5jDjOB+3vTaOe2V/keGpS0UFPL1xMCHklpIXiliTD1axl1 sKy7qZ6A303wMaZ+GdZPLHJHI5qfGjlYcG2QpHH0lLw/uRua9KcBofQN91gVtB3ow8cgtjmPLjIi 6+lTfv0DHIZifEkN255jPp4vF8Dl3QvflXSSMikSnYX3QTax6B+EYfVJFTplrO4sgWYQqcyoIiO4 xYzDSnkh8txf241BM8mrkNFkWq1CJ37RqdbNnHD0nfm2Cw3AcFnQRq9K8EffQmoDZSGRW/RFEqsf JqajWrlG6NsqHVAlKThcso+5brxVK/iXDCTjB2hb2FUZppLOwBH0HZ2fhBFaE5EPX41kMr650KLV wTAjCFmPehfIc/HyGAaljaQlYlRcjTuO25a8l1ir7QfQQrggGOkko5U92qOsmZQ+VRTbjYQXo2ba QIdzc4HkYEzejzAkFyvKV9x2NDZbeSkX84LzkWq6caWQ5LFncXs/PLez+7UtGkL/7wRkcqsiSIfk 6WJg2/MxFWlTr8cLNMJRwfMpue0vi0Fif7CWbsJ78ZfRLa5UQsjTtl/mB2wej+PZn/J7QeLNOfC6 SjVxlABRLzyea2M1YFLVmnmMF6CWieeGKvYZ2RRRYypazwhnECk4W1PXXbV+EtPm2AVufGKoMDEF dFef4Xcq1TLiBnvZpT1s8HWbQbN+/UUGba5zuqa/tpXnCT7h8GMXj/ghgot4+s36ONK6i2xRnKCm nFzsNRlN2FtkDcTgEFXBOdgX4jjjQ+l5XAPHazjV2JNdBALI8TcPR19uwMLA85gs+2S6DEoR3eQS HYl7Mgb10elJDKFvyHp2SAJfCQdneS/oFMsyYc7Ajshjz8nOTF85dc0IUsgjybWiG0cwhAk/rWu0 qHBA4pban/9KjxGLl1c1NCouAI+DDzOowa9Vdhg99HC9alab6LpEADh3W7t4Mp2vayNKZFEHYCBy wkZiN9plBnNxZcP093dqRBtNx2RrHIqKIwiVfYu/G2LrmZcNbYxWousTLV8qeS+pOPBL4/8vfSCd yHQRbyC3X/gg8IQNCJBJ89pKgF2666xNMdKtDeGEPRgzbbyBk9npTMFM+8VMiyEwheqlnxxxUb8v owvPBLii5Cfa+HZazj/dscW20n+jciqv4jeheFUSNhbEqd2EdiYEjQV6Xd6XhkEWKs2urIhjQL3N IGRdXnlt8DgoWpWWnpKOz4ZOjAA0olIYarloyHiO939fHjNeeBWeS5Unq8muvv1lvVgLrtKjq8jT fhRuFLa/Cy84jpmPC+FHyohNFKjLnGyVru8Yjkg8hdhOsTFmREaabxiQP7EcpRHEaVzj4MkxJD1q BxTs6Dmo8fT7S7My2EGqdEFrdfu8Hdr8RJFMwRMyJ87KF6YMHFsl9mZCLpc3Cr2KzRlBZC/eB9rR 5Wewk3K74LmXnwZRRGQlhtr9V4aGTQ0h/uy4vcjUE/mNvxAJ+usx85cn4hwyS+mJBytm1SUOAdWK dbSJq0bTZn8RVN8sJVRCMv2qWVmSCPckm3rC0GMpFDdMSYkV19MIjDBOTveHgj90ss3qx/Y393nw xJgyejqre1rx8LyJyQK0ub4GnFZmOYnGdJnzbTfVcDPeVLGrIE7Ju/fK6OSOmL2YMkABUnxwBhgB 7kuFa5N+zr57GFG3XZSdsrYbYbSfF9RFeT5TsGjC31XHbZ7vrj+9f0Ua5PwPZChsS+Oug2yAvDpd Zvk0lCpnYwLpPQt8pCeO/BwJMaZ01I6AaPtLgMNQOpTvAr5B7UtEHiblmdGke+/4b6O/Xk8Fs+5j 4U/oGmoQ512FrjbcbrVWaxzWk8BO1ZEELn/fHsh2liGwP9QrfPMDXNMtXYRjpwIkb5OZEitsmehk QU6fDDL6gwofXUg17jqfmkZoazXNwZAIEPWBKsXYsLebrUtt9P6Z7fv6asED6u+CfLCwslI3/fH4 ELqzGltvHZxgnDuJ34kxw2AzGX3YZe/8tUo688xETJGtJl9iQtivYBIa70PRhESv4lgPu5OeMQ+Y B542unvh2+xcDM5F/BJIjfb612VVf9KZSpV3oKuYZjCHdhnkHdBXF9n4l+j/bZWYtjtMR79b5425 YKZJDDw6qE2/tt3aNSsryHxR2IxghG0UjjtcHaRzFPggOTMh8HKJqmmug9lZUEfm965R76xPk2Pq Y3GmedOv7QCjMdiPGiGFe6i7v1vmPNMAYEFZrt2kgRCnstFiSpzhYfY2V9M4XqhL50/6i+mgTQjL esuNi2dDIzz8mQw8I7Qf7qWmdvI/ZSSkiDrnyQIGn9mdRc7mbOkIUb1q+wPOpXo8goiECHTXsqIy f5jI0abVEVCHBTwj9lr/q54fPCcoatBMwdmy+zk11/L5m2CwIyHdQA2xLXXR/1fR6rszp4Ugu0jj fjZtzstJuCCdnrv8PjO3gdIjtaFZ1qgacUba/yXCcuJ6zBoCPPJKzs1HNutnrRXPfKLQWb6XS/o4 Z7+1iXIdN5xpd2RT0ufCK3wQisNP5LBke8PhoM8sulZmrwOgGe36kn/oLelotRer5zXB0bX3eEk/ PgxaSAGFFlodNr+jmg3LUX3gd/miiTwENpRIjtR3tyWNzOGk6L9JhDUS19u3iy2uqXYnaVXe80Qg QCb6oRoFK2D1sNeBU9dDLFtbsP2nksUakY3RtnYWgB1eKJiEFfeLQZtPfhBqkUoYGiRRL4TCF4/y mAGpvdHP85MGpYz1js5D5IPkP3QQ0+A89zH7XZuPE7utZtiPSfArkc+YqJxcFKwJg0O0XT9IoycB +zUOPhAJEDiRXEsEnYtqLuYhnaKC+1G3ZjWxSV9XhBm+O5dQACv/5vuhFBHcVxtTw07tdY1ePful QQqRRNSoBiC3wvazNjIG72T84GlPgqEjiJDTw7n3MCsG+5KSr3JOj8IpGgHpVK3RgRpJ6pEz5LKk 7e0Ti9Wcd6mRbh82Ujl4N8XXxJgpuYJVQHazywl2XMxcJVC8E1tDxgvGvc/Nxr0v+Q6PbgZl8iNB r5/Dg7Bk38ssp6Xjd1Y/P/dEzXqP5enSteO+3TABXUl0eAARgt2Bdc2s7IpzAPseqQ6H9DOhWIbm 4b5/TwbuAw+bv597M4Y9yACFthIfpmv7KslfElTKa22uX3+Tp1fEWyn3FZuvMjlazuG7sjwmVcPL 03ZMn04U1Jyn4v5WzVKLyuvimIvn4pT9rvVkbG5Lh/fqmsKAiECnEgvKLkKIdAPvxJQgE6XP/1Ff rI8E3qB2v6tfSgoOopnbMW7PBjYL4FmxLMvCrv+s2Ji2o914CbmIve6eZtQUi+5rUm9ftcI2qTAR VlvPvHUj1dxT6EmxK0jAeSOhX/7RZFG9Fwk2NuJVSxs1p/qBTpimUci51sck1KYjJ1FFOYZ1VeCu VdKYhcBbcaG9ey3iI8o4bvluM++p2CyV1FQD/PpRnuhshvpTQv3M6sDQkjNgmDIfCTZOZhniS+qA 19X+8eqMUmNdDoMLErreZLoNwIbpSOvoZZgti0pxdGir17USPxxeKSgCp7FcklT9LlRZIpbSGqAf BcVTtY1Dxvg4YWzN4uqD0SyHKtII2IGqZRKw1G83+2BvCp+SKwPspeGrYfPRTyFPZvAZg8L8v+VE fNdOA90LZkZnoevGIwH4n75FR91i4SsoGBR7oocsafcU1Pt1RW2bUUsM83cXmJXFTCU1Dpvp8X3W DO1EUQrMT8cQLA/ce1ONxfUNdWDNmpaq//ssE1kz9MZJheNQgdp87bTCmDzF2flFCHvi4TmYi38r 5qIO2bWSf09MAdossL7n/56PPZUyncUHVW/ose+ywh7bO1iFYrZj7ICY6FODUb9W6nJK5H2VN/eQ OzDJkwz4t7w1Xwoq2m8pXxriEcMcEtY1BODFC7TdVECtjDLy1kDsEGAsFMsAeU2QWUL8jvMNlZZG 8PKKsgI5ajJISo4/aQIAk1dSg+DhYjZHrCST0y+N/3SzeR1SFeV538jc+qkw537pR0b/3iFTwbLX a0iKREaxtk9mqXN5Fb0kDDyXaiO2nhKhh5HXwXBNC3teHjcr0Tibe/+v9IFmDm/sL4zLzCZ0AOnt HtkbSxuhztwoj/uBiPyBtw7mWYCqQCxsgMi4jhq5g63mGR0Yn5uYq+Il7F1ZYP50h/9tYsk7gA0c 4Jr7hyaGb8YOBebl2j1Pf23+R6DMFPqYEfIzCxjkob9QFpQlFHfUp8IIHY/NXu4s0aRMbnTWsi73 /NROwUseh76pLpxKJS/QZFmYVdoz+f1UMj/PS3dWNl1bNT0Tv1r39C5qCk7ABHWiqnTFlHZIpBnf qC0oVpQAv5xIMIjV3MhIOaEO5fRmxvDPkxloHuoYs8mNwHkZzf71G3KWwXhKDSSPquzkIs/asr7C eOYb37ZpMHxMf044UJj8TxgwqDbS1/xj750BTi8s6dVmzrRWG4lb/Q7zxBFGVvgJF0Teit3Ug0j4 rFqj2JqBIVq8ZCdwLjVaqG6TcO8wJI2UqiUfN0bMgOhgcY+SkBtJI7J4axN263TGpNWXkyCzASBz KkJEoOMLsiCPQKqAGDylQEm+3OrEXTNhroFLFU1+vT8ybyrM9UzaMlW8Om3A0TcUnrl5EGO+rYHJ PvWkgANQIPg+qTvvGv8+vToziiyQYFgKoVDTUwagAoe765qA7PAMk3/ZNGfTg+6jyi0ldxSX5Ob5 lhOBgIPfTeDTRjG8BeDerB9g1NO7mTQzZUUdpAm9ue8xalHaK84zxsZY4mornBOii4A1aKPorC3q kyJ0R3w4Xz7b6FT4VHEb4hUiISaPT4Wn9O89iC6FFDCZEqIAacCxNqMuOX0gGzW6CJ32qppdVHhk DxavqkiI2uHIldpdAPkTUJ/c+euZVqG2nbxaIcGcwyfZzzO6wW3wOIEBX/9+c28sav2ybuLEt9zD 8+j1f4kDJurgnSBEf079pQEDsWyRpWyLWkSFR/ILGVpeSM3lqJc6sp9t+OfZPf4ANm2Bq+tnD03k Bk7xqivE1GQ1Uyz954UBvtTYGVEF5BIbCMzYOf0/JrbVGVcVjojZPnUSxvxQIUUmeqI64EY2kLPR XI5s+AcmAJUTuqaM8QQqhRenQwrn5h1NxwxW1fYI/FnMaY7q4uv0OdzFFfXtekp3vJGKVKzDw/ps imEruKpAbTYvLsCvTmKpXyoD/cOjtzL1gEpAgmDn7uTlwdHSR49J/KY0AvVJb+7P4MaPcmONE4gr IvzEPg4s9HJz+aoF/hBHcoh5AzB5E02DRPky9YqlXtmgCc+Cjo7oOecUUwdzlATAJqo11cQZX2Zj sKYZ9/lAkbwKaR1MRIPY3SZFUzHP+db8ujdpXrqJgQQlsdhYyYZRN4kGiHBuqVpAHOJlodK4ZLJl 0nLJSxG9dqy8UddeikuXZ/aGXIb67RPT+bjVpzsBZ3eVqdFETz1OvcuRvC1QTW4Yqzqz7V6g/tqE seAtVLbQ4MgfbBmOOcafJmDCGJhSsZMiqSe+H+REWpcqsVr82I3PjViF0dG0nGB/7is1B1Gd1sen Z1DQYnudV0Y0qk7WOdVLo/R94iFl5hFzuM3z1Bi/YZ03Zg9QeJp/TtGghEdcfOJnO1NFDE+7/HFC jcye+9kiMuYVcVEKlhJvyLESioTq7vqDjfKtjTR/d2PUXc+o8Sl8C10PNQZhR14g/Xw1H4Y2rLr9 QwTJZJT71bR9FUJo6yHCItREg7prSVyRQ2LraKPwB1uPQG/FXb1r3/gBgLN2zLifsvPvFPEfgdw2 QWAOOsXebL5NAaN3eZ8fO9wtYUKV/+c2vnebGBmrrmQC1BtCT6VsP5Lbrjklp6scPK+OZ2C9HU6g CC8lRQaHz2ma6AvVDieROLLCf9mwC2C6hNxl2SboW1IUa27o6qjkFLtVKOXKRUO4MgOKXy3pz0ly UacsX1dCGzgRdhWFRrCY/1Z3LuoMq3e1PUik1eLQu8ybZfOfDNnPcc01jypH8VXdaqQwtIqDue6+ eXd+bfX1aBy148cEFgtuP0ymcQssoLUmpDiHSXSSR7XxBEVQvJbebCXMiIVUH5bvKZcpAGXvsbRA wvqdZHIWPbv1UcPiIt3qgrC6Juzl/Ony149S0SaRBu+xr9ijtqltcsEATVnOcN25jtDAETLhiBx+ YUonxdgZRphF/rqAL5kUqbiodTcwV/ZZEdFD/MbFWno8eBrALMu8Hpy+t4v/ZjgK/5cUwXrJt9v0 E2d6XNcq3aQB8/uPUrAinakYKdZ+tp8mGxtia3aAPHA54NiSyapLhFK+kwjbEBGvt3gjbyTt14kS HhvWGeYr41EUCPokgnrZ4LmkGldEP3m2JFJkbSZfUPzs0n5LTBiD0O/pcivR2oUTniJhAbNJtz65 refQbgAnJH0ASmnwyClEr8mdx3t1K3pD27Ch2S1NqIDdE5cEYrBtLRFKFnqGi2k5Wogk5QisjrPZ dE+HiEXT61NfMaVbMGlVhgfXoL28zgKdvDCdY+4a0jyImxULdRuTWkgraIflahx6otPWh5NVTtTX eqNPTHogWAM5/zkyefHICbKUNsFIOQG+Vhf7wrPWOUnUhXJCNpdWNpPjVzPF/BGxP53CJ75yeXx4 Bqs2iAJqz9AjaBswo4VY5olbOVuMU+xjHnimH437tUgLBpFsfOE7z6hS2o/UM+UmLYQIljpAl1qg u8ErTXqN6OuZmC+/gtaLUNB1IlOau6rfInoXOUy8xapvP6uwXgGNWFA492YTwaILPWkD3knCmQib 8iZSKuQnWYJ4NpJ01vjkLPH637kD7Kfj1meUArHL0YkR18ZXBziEwq6t+kI2/COYJBs2L+y8IkB4 GfUF5w92q5JqW60lOVXnHr5OxcLh9wS0uJo/P5p584KR4TMy7SaDS+ekRF2606AeE+Ot729XZFMg tyYHbFm5eeKYgj1PXkgH6FKDoKrU8Lf3fruclVtaKDSQ7lPW73q4UatSDYGoY2n6AJd8UmTevVVr ibdKkqs8gO+e4Wmdww6u7mZm52BxdpYK+jjcSnyxRQ/CI1vhwvnjdlfEdeI985p9M4gc+D+d3EgO DcSnQP6Hh5QomoVVFayAZACmXVUCIyqKQAZUxQpMt8eOv7r3+QQAnOoaheQ2TtG6cYdgY8vdAGlx xFL79gzG5mWPwYGaNFwa8+PxM2JRn5as3zkErttzgUqynm7Crn+jeWEoATXePTWXteVy3ZPKgEJp fkImqzFuaCEJ/OgSddvns8zGNDnRQCEH7g/ITGDsiYt23LgseVVytVwK86RLyPh9/PDtfIWR6YT7 ExYRm4bUcD9zo6JBEokDiYC+lFAlv53ZMxphiN2/zw7nIAxAVyWeNZcFh2Q716kInptq3AWEJMqg f/rdRzd4LCXlFqn6oIUKI6pGaJl1WMGgJXGqhcv4yM3WklY1btyW1bw3i0wrbYQAOkzgy6wrUpbR LDV6S012TpMH8X0inQ9UW+CzHcClbG4N21hdF0olxUDQXSb8qCVCYCvpbVhC8tJbYnvqSGuSbC7S qYaQyNyvZ60GPP8VnR4fBToc6dWOX7FKBu5UYq4r/XbvXKNBWkDa3GtLyYiwIOSPUgMMBNpcYxD5 q7HhsOm2Qw2iWijAHILRkYgNXzbonkp7haXrDpJGONtReFd48mZGgBSQD4Sqx3pDi8HiJSjUevr4 5eBri5fafNZdNuGaBDtSCESe12hRjza+WbZ5PpHd3ivrbAjy+RHMYjze2PD5hGQv9E/3NKISH2eY YewQDuMGpZ6yGlxqadj7cvHifL9zKOFyICgEhV/h9m/lCPmuqXtnEKu5Guto7SS78FG/DLQDzovS iNVQ1DCbLX0omz/dINoT5DzM8Pyt80JUL7usQs4fH443C2QvL9kNm3IX3maduWLC6YSn71ro6KVe Xdn38x8nbfmQg1xzftm/4q/oulPypgB/WtZfaVBtukqL9eyYRYGKHVEGBN3oTDIDPQTuxbouEkcb 2dj5Jezcs8bgtgPG/osLDBahDNi4ImK9cOjk3ACxBMxUZpGkw1F7rE2f9UY3sbeOtTLcChfiUS0F maE6SgttFbqmgSSo3T5m+8IVY4L/KCz2yABBVz9f+8Qfh2Z4lnMM+IzwrWY5L5oSfDtQnvMBHEOt nI2tTDd65rMdhSmNugOf2f3lbowkUpJ9jXNAgnIVbKPupfz2us6r+HK6p/fl6WBVyuy1tPBDwWSA McdR222Oa1+gUgITWezpVo4mq16LahvkzADlA0YoizOVEJkMUaryyOq6q209Hs32o+r07tXFh4NR fBnUfWVCtoilgjEz6N53sGQmkjLBVx84bmZZgIYQcgB4Z6b2lvInWuslZkIrkSS2/PfjgUMn/y3a zNI8HRgkmlrXDGklL8khZcpeZB/RY5gkw9ixO1qKzm/swe5nI8s4f5oh0uDTCDmPugpMXpQuMVkk rdI7klvCxOe/SE+VAGkw9ZS6lDmRSuo3ktvtoDSYWNaYXhgvCamidc1I/k5AglbNLgSZDBEyUBr5 F0cKvdrOD1tzHoGvSlExC713LgcniOadzDE02b37dN1dkjoPfCY3i1+8dNfUYI90t1QoF5tZ2h+M LmGnzE7qpf10r5Ui1IifzTZlz89r+O/5JyWfSlgykhf2tu7np11NVyNESSpbIlp8pLQDp/rwkNAI uyUH79z2ZfFRFpmgLDVTvF/Nw1FzCvaf6PNYfuDFeTxktEtjR2WT75hLcciPTLi5MUO/IoevGEm7 IkERoQDX8m3Gk73dhUC8cfsI+Ze4fVfBjXhlj99Hdm6OtNYGRt6Q4uEAmlapQok3P4ujEVIlEeNs dr/WCGu7AjhAYynIyqhwzVbhN43vsqRyhhnoRIIZ8iHnoX/5PYzaLX8F89KVE+VBYSw8Kvube7pj CkWr+rNXU2toLiKqFOv7ZT1dKtROBeTrsuoez9K8/HJIvCs+HgjV+ObEXx3eG5TAhtXSVkPoZz0I tT8jsJgAgqODWB6NUnTBMGQhFplZDyki1yDuLxdz8MzOmEIluREzuQHjg0gUUwGH1wEIWrsziu+W fnQwmS1lPXFp3nKkQvmCvVBwSHxMxvJXGEn+dE5zE3P77mrzt7F6qdfdI2pRDUvL68P5Z+I8Pqqz jqHoysKcQr+klDRMbv1fEpFSYvvypWhq+hfanEvWj8k26ZCDKHbrbSOqD5j4rsxA6dO2LD1meXHe smGU8ivOlpmQvMOrJ5N3WvHi31uaDT8+6Xi3ELU7hI6qLDQ3+Q+gAIsBqsqC1hqUOb8kXEpz/hNL 10rHiA62F5qiy5Y3RVDHG3Yzsz+lyN0wtjIMec6UGvck0gruEFo24Yz1a12MSdlrDR4iO50ztQgO 74CEwuYRCKaLGkTd72GO1Eglwj1IDV5d3SfBwKj0vDiccZ+ixcevPLuO4rTFauHJw3AVICUyEcSz fkucAZrXNVlRGM7iabFW2SoGGnYWlC/jW3kixeXB7zIG5Hs1LtRoxuGxYU1UpiIUN3apbc+dDrlC Zfxf46i6z0BNRbs8IYtM3WCjcG/ANFJb3hbH7+nCWl4cRXqrHhkt84VnFFcnAwjDUHCGmB3uyz4b xDRSlEux9yUtWTjbOUQ/jk88aJpxYMSMFfMcEH4P8ndtOHdSpcblqUyCcpaNh4cKB/PnH/Hugzdi LgzcXBAmhFc5XuBmAKTpI6McGeQLWihmaJaqBUVgL2zkITRBEoUVQNi5apOX9nJ60EN6olLfGtXH 34BgXk1cA5iDSTnp8yF8SGrjQ/LcTLhN/zXiL13fpczLScsNkhMSM+QCcJCeU5b3luDXPJJ8F22J h6ahEvw8daZY/8tkjRTX6K1w8iMHSUghv2sA0PT1W5veMd1zROVvMhARK3Lp/eynBVdonBWp3rR6 i7MpiHPHyciOpXwQpIlhFpOqPoxqdiLsqrdVyVeMTE/QWU9gnQkGiWhB0mhv4mH2TTazHFUhB8er cOIdka0wWWsX1SWMWA7uv5Fev/q76513vWOstGluiBXH/kHd2wMlJ+uaWeFJ27e8jsQ6Bm6wKHd2 siTGPmK2T8cEzRzCUNjUhmTy6+2gCMufQHqWCFk3zRuVgjunRfMWz+JyA+5DjRZivsFSjhCFdd+X udOJNBQHFbJFZt7E6nuU6vOytli50mhXyje0an6+9lBjw2QwnTaPyO87bRp8aUT6rKsXpLY4bol5 FWR1b7E+3eErx8I0grRavhqFGGhpfJemFGB1ta+EnJAKp3V8Qf+fGo1PeWcdBem7+tQ7K6yPNXri p74sQdiQux6+BGMw+N6IOAUFht3O8nhXnrsbWm3CJ4YdlYt8Ak3iRqIoFZ9pY2fYLzwMXcdCw5Gv UKQ9OjT6ckpwSkyCAJqonutFJ5Mylo9/hpHqKPi+Gk3vI+G4I3tmWQ6Hnj13yCFotqdYTg3IanUs pmxu311Zch3ESv1CHpgWwKlN51GUNsWHQNRDYOtfk/TrY4vqsoSUvLJXkeLzJLQKpXiHJ+WNmRe4 U2Cxx8E0M0lbDp+xfcDFP9fd8uknEQQ86WKeLcZM18lq7NG2CGw2ovd72v0FPIfKxXIlpIoifNKK ZxppDFrM8g6aqXqdWthoUPx3Ed+/RUna9bWGoO2HuWBt0g3HgAJiCcF/pXOVjwOYmXuHoRG5VtH9 xnn4DAZAy+BiClDD22DIro65J4O/2WLnuJHEyFmfKuaB8BaOWiF9xd0uXReUYfhiQkvaLc08JcDd abN0qSwLoj5MJoyqwEoC4onB7PwXKZqCUvr7nC6FqGRke4i7O/rTsaqQQeosWyWt2ULHB781N4Uq iUlAMmWLKlcUfn8G80u470NQWsOSRSr2ZSiWwXDr1mzHcQMZ1Ag80QJaDpPsMVvQ+gLDRSVaVnc3 sTduyqxDNAs4GcJwzgJyop7f7gwI7bJmBNbqTLc0rWT1b+dm6FI9f4uFILpwp+AouLwrU1wiRuUE BpCyQbxPsM5VLFtaTxw5nQl1PxTwjiiU9aRD2VoVfKFYA838NQUP6knnyVjmT0/BcTFd7U0FjSLX Fkn5AkiMthbWX4o+zjb1nrd02MzLRxiT9T7PPlpi/7rueA74+9l0D03883lKOy6iplmvlroN/x/7 yuAuKAENftkNqGId/jbfnEJEPX1CtjGyMAIYo0WTPGSUOJ9rtPveKFINujQ/erBuz5HczDKL9jIO jqiFvP7cb8x0IkfGxTn94souiVM2VobVnIq2iFbGBydv2bSkv+KFJmvpVnq77v/2YaCNdMAWgwuB plOubAtXq5fM7myzQMTG3aHc/vLlLawIeN+LYDJOZOkixd84uQdKFtsV7vZDgHVDg7nH7MaY3ug5 aN7k7J1TSRBCqGQGNn05rP29oh41lhfTO8gIchgsKZ3T5HiDi3NbIVHk/e2eOQxtZMB1qGSdI8w8 r+gf6IW2f972a/iNMpKVbT9bp7DzFP1bkqv15cY5mChKvNkJEJXHpvZbtRvLkZboUZp/QAgqudG5 XWnCo0wyMTFgFXel02vK+F9MQZPkGnIrBzOOjtTZ/uEWeUEt+AKN+h+Xx9NgeEj3zFSQFFnTEeO0 RlN01W6eo2eghQruJ5EYYrb9C+DNYCkIXXr6iz8Wqh9jrsYjyAXsikg6vJhfaP3a8Odts0r+dO3h 6l4XKvZYXCKOl9urPQvAIGnJaDNYM7/fwgJwAQ3gCNVTT5mfFuAL1R0Ik2rRMu9CeUzcRU0ntThW S222Va9pefWa4sjgLeRQUxODWKTPD4L2u38AU5O8XpImjRmA2w+J7u4FHTzRXLmXSM+ggQ+i9HBH l5WsToUUzBd6OctxV7AoMBzYNRHmoGClUWD2T6cWJlud5fl/c6jYdxGP5u1b+WmIxRrN0r0Vfxvy IkvxiFlY/zT3Jhe0gYM8pTE1KfNQl+FOg6YfVv04wz9x9yPAPJuURIMrG8EB3yQ1rN/UrSpzJkfu XKmZx7UItXUDA9Zel4E1NYjXFDRMsU3JyAGqapU85I1CLucyZLL+VI7cJjeGYO/B5/dFkRYYoK/R 129JSXT9IP5uxRmp5GyupFOJE20V26FgLY+/8gMDB1GzO0sD5sj9KTdDrIKk/qKBd8PTwOZC2lKW 3mwHpscXEWrm6VNA2AKHqXDTZG1CCCqkEI2BgRMLEmYBqBxI9kq0PHBOpFDirwR3DwlJrCZkAWzA px2XmhP6dA9SsHjxHvgqnECPTwbnycsnnZMb+fH72qG0mXbwMOcAQREGKszFuNV+2WNRDWEcQFJD 1CS187NuolQNSu+72QF5BB7iNF63jBlf3AnrAHglS0MI116pPwSN2Q43FlS7II6ZDUtFsnrgd/6/ +xP05sbi84mw1K3Nz0BdAnH5A6yZx/N4dqlO0PiyotH+BxutS13cjyRsDGGLFqW8AvX8F/oopQgc uvKbEt7bSb1w5tssGltEwfFP2jSglzkVZ/xx3ma+xVFILgg5iiI+iC0gJQFaPdMZ3v0YI5JXj/0Q LHOi8+Rthq52uX/XTTVPZBZCKiFLxnETj5oGx+Tlqu4tcdT7wMcY+O0UBzZuygbKZoBJ3Fo8fwmK taM4L27qo5FXkFpzxPdzMyI1s1L/g+9nCngx/0221YU3RaecDSl+mWzmF0QRd6maLbKvgihN4Q3S KfBoXIrhAn2fP5mQZeCodBYwooNIkciIhmr+EcJSRLfPyZfuKesDvqRJ1qMUb/XvElWhk1qR9eh2 ScIl92oIys9CZXowOdJ1/7y4qEUIbRa7fKfHZ3VOUzz5zdU8fPbQV/jG4uZM0w+f2IE7IgGtp6Wu KckVEXgITYBeoLkzdQSfTuEqva1bizJSjj8Npjm7G6rmxfM9tbViUveDFjfLgB3iXMMYdLB65rDz baNz7+E0Taa9i3jiud/enGOxD5+hYq1FOHIJfPnC52qwudp0+IV3QTeEySomXOYB7k15T3pIDaTZ 7oJ+r7vd/jheIvL3sIdLdE0rIr4sFsge+55mkBrOjXzInlPMF6IYDjYmdCzx9RX5NaZc828QgZK6 zjlgG/KKRrP56AWTYEpgq8/BaICFWu80sIjMajrK1sj23n60PPd5cgDih/8wtMD8SF18ENTc6ib3 l6ILGUDyHV9y+yUvEuXSltAVpqZU5ZusnU4WcooMz5xO2gZytFl5V7c/a5P6OBsmqJ0wpqTH5Bj3 A5+cfBBOY89iwEjS8j4sy2oIJnEsvsCYdt1ABshHLna54RnVQRZ9xU52L/kJy+L0XLFWIJbuabUI EMq6xmPnXsnto/bjTbyn7rFTmao68EHheed3vbni1lEYx9zRnW8MA5sBm2kEtfoPV3Rsf4Y03jei cgd89KjcVHT2X2jMzEyP8nj6DihsRKSGqDMeUKdkQKZlbNXs/ExFTx40GL8/9lCbUmSul7X0Z+ZC uyR92jB1A696toNKiQklXU715uBZP3VS5hPtlKGaIFBETojfcFzPiAhcS6ROUI5FnQFS3zlltEUD jTyvCtBFWkwpwAs5I07VpIUKKJvmuND6T4Br9MtSvrplqx3dJFbSKwanwFIdHxSQwqn5X2rjmhMc jduJchzsQkPSyd0WfXNBoznKdONnEVJ3Fzv/jd23sxnX7qEys/Ddrn7WTmms6N+s/GhDVfnlPTKs MHrokxahLpqSH6wn5sq5mwdNW4WxySIwpipmFnExm73r3Fj5cZdOI4JY62naQzOEEUgxJ7OFNTVR D78fUenIBQG1/6iSOkVM7ohSCVSaPNknwP0VBDXZYzcfAjogdJCWOC8aki6dLwJjpn7niAv11qjY NLlZKXMfDFX7wMhWD0xQhxZGY6wlosk3sNcIVGqXL90lYMHibOXhcdo3xoIzb+obK/Zhxvp5ztx+ MtvhnjlvNCr0B247HFtv5iuehfmcjZypO5pzmXL6sp3DzRBD8eFX+37FFBt7v+7D0jxGK0Xr8wQo Gtqbl5ocWESbW1Q0+8ZdjeaS0y3X/REwcIGvcSA2/ETeTLIKb/hU/dAra6KubgBgwx6aBZKjt7BT WfBShgsHpWkvB+M1Zrz1nGWY/eDuQLJp1VYVzDe5c/m/8StHn70y2vLnVmuxPkKio2JLvTN9ZLD2 TUYaADxmowwjeruW7oBatv84/994t6mv6vKwIdIIikn/cZ9celbNtm8HFhhdkfbf2qt14d6aw9Nf pv0PtiPxgCht/JRl+/3irsfxX1mKP3Y2FxHMQ005JDRjLwqm97d5SVrUJNqz7z7u7p2cXh1zlfsR 2B8bAXG92ZaG/a8BbkxJCXV1RdWQ1hT571jZj0jPem/T7BmWHfL8zCH9WHVkhqNPHvACnl6aJgd9 3nRE4+adUSbdljuG5u3mCEBBj78B8SkV4QQyR2NfMx9XtzzmKJoGmUJhYnszUpeAcHb0VFDIEGb0 PVW8sAhIk30TNghHJutrMjlNZceHpQFDoVwsvdbRhz+G1gjWjNEyx4E2XSTpbtcSy2D8ylV/KhT+ XqsoKodLjnqXs6LKVD0BLpIben1o/sWWqdE1UskIUQRHT7e+6LYElAAEDj5/6jYD/D4Mix1nqix+ vxyAUAyAM1AcYptqVbjaprn7nYe0D2w1L3qGgkJEsV0KoKw25gDPWBcVuALeWqVxMjXTZna4DsCe wG960l8jVPpbm6zMQrgVCOdMRLAJab+YpKZBQx5e7Pg1FkMK4R4kc0KoAb/djAqCE5tw4YVICnfr b3y3rZhVTm6jAP0Tr6tlns1kLUf+e5pqDrdy553lBssxLO5k3DnpXfogAg+1wHi2p6qQpuoShInR 3AN38JvdLTXTHuikrSuX98z6QgtVsrcXxATG4azNzAEGbItqPb3d3ByGE2yugOOTVqJEUnHFwNhN buB2AYsHbm5Cnk/o4SaA9NkB0xHkE7wrfec3Xg5wRtzm/H5ouaYnnIf1PX5GF3SJvmyuo515qgsQ hadA5sjAgm+MrGxqeY13/6iObD0dIa++nSEMsrDuzwtApKdgS/cAXEkj10rJklAQNc8WPzoyUXjp ThBNHTnA3Ii5cgkVaSKOsPb7eUrOL9IjOSsDSjkTGN8nD5X9tHdjCow2yZiQjJstoDqJKbNDDvm4 Mn7gayWoUb0Gl8UNfs2s9DLN0Oe6ffs0zx9Oqz745xTprHpikrwwtJazfG1sewU+zCLLG6nSJrbb GKFDiY92uFkfUvP9hYastAqgpk+V0iz6/jdxImL4i0u+jdgauiq/zAg2ma8v6Fkd6UtEpF0O6wYl 4TG97sjY5xy/GnBoaYaR95xozFgQIdalF+X6skKPE9NQ+1fogHnepqtxl79LnGoEvvbl5Lw7xQFp 2Z7IQZ+U+zaCMOPJqBCIzsMJKW5E30hpcE5ZeFOi39rJKiLxc8/mSqEZ4GHoUEDmZyWMs19zGFdM zPP/ChrSnR2YqcFbDe3Jr3ny4FdvhRMXWWFtt263rmSLBInqvMpdGgh/d9LtkwMFH5RFyq6jtzyK Pwo+PkkxBAwKPBoKDuUfELLe0sAr8hsTryugfKnBRsLBvVofL7nRTesyyqt5VhTwUdXDDs4Snun9 KKvuUvZuxGOFMbeP6jmxuhJQLwz15NZXI10p9gF62Yha1kc5Y74fxiQcz7o0DAGjjTUq80vDbqF+ BwnOD5kPOby6pzi8mse4FQSY4DXDZcZGyL7F+9ABqAN/QRTQ0Sj+PuNoT6SlJG4PndyKpVQ3co6I KGVpEHgk3K/TcRDEqqDqfdT/iVkKvNU46inf2P+PCd0tbCasfuKfbqoFOXUUbzi9obbLIhpyRtoI klVlOqpBUxO4VMBKRSWbxiDVRBFhE2ryZa2Kp9jMhNx8tOC/SgwPXR1vw8DvhfoaTwo37khfJHEs iRUhaNt5EF6CIXV7azpH+DrA1PW2w9+nCNDhdDSALf4HKLvTmInxWEJP9kX9gcMqE5ODRQN05/m8 93nTEHjcixFeorzh69e9HvfcosofQI2JQjZAIsYLpZLtpRtemOtbjddwybGQu/4iF4EDgxc6lq5k tzELgiEahKAORG9bi98t6HkX/iOA1uJZnlLH+GKf+y64AE1Afkw4LKZvWRFTs8fyIV949Fp5PZIB n42WuQrRsKvsbpIrSELgH4gbXt386iNRQtCYQ5jJLVKnHtJkBE/P26WAxoduDqKo+MfQfnn35s4a l98vP7EufHZA+2s7mo+AliKHptXXr/mxHSM1OYSm9L0Fsc9PgyPbI9wI0tp5ar/hD7nqRZA2yjai jftF42//zOor9ANBQKYWH6pOsIq0OLLh8pIrbmtzqri+X8R7lXnDbyPRZ+UioipFsBnZro7uWo8K cHBeCCktqtcx19xIrWEtNxJv2nC90GX07boAutZWuSh7kSibV2YLd4WRbewd0sXfAcDYHir4QVIw U3s90yysIZstXMZfLM0WXyHdrLBdJs8uEl0LQinNgVrtSHA7h238C2QNJIy0kih6qqQU/AFSi3Q3 d0MyPG98vvLvtpCF52iGmUTBhsZ2YRIrQGVjujwwidyTKgpKua+FGCPpCiuB+L65qoXhH2p/OErl nzDDKt/5OshiVvkSTY5fmHR6KqXrPq5vrHqMrDMHVK0+VXz/aESqPuM/G/FiZl02vVIB/GVFghqR yu4HoT+1SVPzdbtbLwFfFae2Hhrp584Uj2YirbASYEieX5pyuxlMu3NWIJTtRRdkVAhtNn9mffWS 8EyoYlQugm18G0iQhG+G5QSp1dIaLb5xS8QJmCoNEuIWht2DeXMy7W0VFkLZSnFRwk5yrRFb0f7o JAGYv5aLeZWA6ROr1x7GiLfewaKU6EMv79aiy7EpUSIywYb+jhWQj+giMvVxQHbs0Kp+wXTgXmuJ fCQo6TkoWMv089ryddFX/TE8mMZzuYcTy665HmQGF3wyeQUII4YMqqZLZ6iFDsMn9dXeKtEdsC4I Eplp8MOstOPEP/o1jesn6QsVtqFycCRgQih5wWJ65SomSfUuNcwXb6NL6Xgpy/HK5IYZtNyj0d3S DgLyMkVtXtX2oLpdEMSbdJWt2bOnCJ/76BwyYYwKwXSmk3Lzr7uDSCsQuqQCyj/zOmg0PUIgVpcJ Lo/UzuKbBAPTbS4mrdo1fFdBi7nQ39wkO3ch1OxX79ox7ET4oVUwP1MJW7VGN+67YLYXI5ADy1i9 /2nGiaUZPtZTTTfMsOiio74GQ87ZGf02eFQqz1fnmxVsWW2A0az/ItiNh58vFOF7xmAexu3Fgtpw MTYyEzDB5sJWOJdzRCRMVJqs0ku7iLf58iMiZvHnPAg9Ed2W8I9VKW4iF+RT61EQoYuWEFBq16Jy kk5bEMfMaYZ80Jbis+Qc52j72cb/vdQ6dYT335mYS+MlcSHaOkpHpnoBibVg8R5stvWtHl8XEHdS Mi2kTSNL0DOK+xDP8YocxEOxYo7o+RRitzVUGHE+rqA1+a+ENrc1bawzEAjkQwp9Sf3sjvZj3F3j cxbLlw9mtiLsev/FLjylaiGkqASMDNgiD2OVSRJBSknMU0ZPSC1OR15PkxiREIbULREfc+qV1sld 5v9CJ2eOfre+jeZ3k4TADfdFyVhbYbol49eei3hjh6xqaUMxtSCIxRAXgX/yqKcPV/LTWGqtddpf 7jTMJryk4C8CzITZtURvNhwh2Djz8yP5RuGYxdz3kumA3B9MIQ6mnfSsq3WeqvZCi7UvOG+F1UvW xZu04HqrKIbL4G8w5Z4zFJCCf3gqmd61IEs25xH1tBkZWmDvLE30Gc9AYuZfOHgRogCHb4ZAhWqg epbkwxHcKjjmFB4+d6vnzWK8Ik6VnO6xCCY53sFjI6rXP1W9sWrjGiYV6gxe63TIiwAN2/BYIFJ0 Xvi2TImskbBvTLYY3zoctzmmZ+Xv8iEdS/dVg5F/Mqvvw+G+q7OuEwT9o2ca6FmzkYYPQROnw2ON lsWz8dU42mRfvGMgb0loidgBN8K5hBW7lB0b45jzQeFEsr5idOfEDzp8+dVqNzDkBr7b7NlI0d2y eU72z7mBfP0BQ6jJNIMI+WQ/i6ri9JfYBH//LIPgSPlxeBZpduNfzFLx066XFAOBRkgt3jDddceT xP1Umk9AdCKKdL4bBrgwlUQF07FyEZD9AyRbvNbCEVicj4dCkXXLRAIeqWEIDJEnIwnDMaH5KnLH vpkg57VQgzkfVyuDXQGH/atv5TNxUAMarwTPzBZgKUkmIuyziTtNVcCksX8DOlRwliYCvGtnONyZ d63/a6IQOeZ2djHXPkMs4iNcjtk/lCasF1iUEORI89Bx6OmMXvHi9PBCFdPO5tEYTDNfGQOHOI0w Nvknh3JOelXlweVsxXcniQ97fkolsMel1fYzR4EebmhvhMHqSWhf0xDY5JBIsCXfi4r3umQgLLsk +Yyn/G8tl2bZtVPMenq0G0OBN0WDruyXlVqBgflva/jfj/uAS7mUkVH+pjyS/gEbiDcp/OZuB2K1 k3y9AIGcmeMNgwda2w3fBsnYvgECEfFWMuWeeNrXTcJqOC49zrrQueYb7WsyuZy8UZa6kLebOoB6 HhRgmrxB0kKN6lr0+JONuI3w5pjEPVr5t4MCcfoB4rA4Pmai7tjCdh3h7ERhthHNC8HdF5AozVpw MVN32vVUd8r4KeoD/rRzMh++J+qmB4lL7s6wf1r21VxNnbnDhZNuZfrLaU3JCv4ZswHSphvdtgvv IViInHvbIHOAqVT20o6M75kisyG4p71T9BxXvPY0pMZ4BpplxAWMhGBIQ/Vr3Psh5tpuNoTUhaAp BlAr9FlFNKyCyNt8pZ6EBtto94UV0DP17xcHK/dFTvcIz/Xww8DnGOzwit5zpEAGjpJVY8r9uBVt dudgiNgQGG5pxz9eP8OLNbCjLaiaOZfoTcbX/99fKTGHQanae8Qv7M3hPrT4FEoweNAuFNkUJUjx KBl38uFJ0Jn6ERBSqDYJcmTez24mWnbvIGdJhHJMMmRY+3ctDa0lNQHoAdIY27fTnM2Se+pO0/x/ qWr6PcqvoNCEOxBpPcc1sm6DnLHHZDKDlFWHtKdQyChFluiyvVHc7Ox5xNg0fomDyGZlt4FNoNjj Vt1w4dgX4Sg/kUwW9M58GulryoIfJj0bEUuvv3XL9naJBEfZBdOBzPIw6gdCVsFGMca4aR5DtGfT 9LpjsaCVJ80NgMgkjrZkw9FfQ2hCJf8MMaZBoHtC4AtfJGljvpqcAkuJYWYJ2QQ0c5lPVFKEAD18 73Y+Ujr4Be4cQqERvs34qqZNCWK1SGIFix0GEtWq0ptoa0ltO4qg3P+pvah7ts9WSYkg02/aTieS c7CQzat6GIDFCltujZg/XG1kQsTJ+RRzIO0ZVrpkweZ6bJwXKblvl1dlkn0/I7nlNx01IbdrsmZv h5amvRNr/bWSwYbE6p+LlVSej47al4okJPweUaFdfCjawKu5N8sqGHyH6/9RSiTgSrUPn/p2seym wFP2Nuqq1h24vsVcG5tIVLwiSUSGHcKbkoZdSeTiLeytlJ6NvxFplhOazubvsWF5F5BReg/oyYXh fMCu8+6CjQt1KTaFrVlF7NFhdrCYRMVaopAhNvvPjwHW0ucpxUxnIL2NrHNNFhaojtmGWbL1Nazw xupU+A+bMTAPRs/C1+jSGkyVjPzlSZgbkVMJyrZKqtVZ+RKWaJeMg0pKmsfDnNpligMXTpuWYjs2 Ik5DI+HwKyftE3f/T68Ang9n4t6kxdRYWUCNi3XZrkaA6GWUIAHTu8KCT8DEgnATeL1sz/Am2I3Z RmDfxHHRA6k60BylnFY0VqmcfjLGxVxtYjja+nJPr8yK0mONiKWm9iB2xuU0WqNRnsTC6RcO3+Nl Ao9kPLQ5FlNWXtVSQKG11zTFyEXzEURItaEWwGU8W2rnFDCv+hTte0pUTd1RU3ja0gXPhH8cB6L+ 3yuvFK16brigleumI6eVeG8sd3mJ1LKh2ZoyPa+XvcIv5g+13vh8OtL+ss6sduYbnXQqr5i38XLr MVTLpXyyLKwcZ0waEyGWCuZhRoAHuqK6t0jqGcsfaiA+q/ID8uS97F699kHQNNJekVAE7VikCsM4 riW79+OAOwauigRT2hK3134nBJS0Lo235al8hvmHz4+tWq6uUxQfoWYw7fx8pQQOWZ1I89j3rT3Z Mwrcm1XS5cpSUKYtUym+cK596mVit24uNPj8gs06e8RQctM7pZ8MhhGTPbmqw7JX756FTK5JWIZX 57sPraA9+GhrlAlPdOF5nWYECnmgd26riZHIj9E4memiA3jXJ4rMhM6BPTLR6E7C7lxKxIQzSoJY 4oAJYuGHTaa8Q0sMiINSLR4maiadKPp2paXHChM09ywIQYwZZ56hOiJG5xMMLPlnPoO3RtAsyvtQ aFBPbKXKH1m4jMQDoTW2bCHlLKxEMB1sQ/4WXZAS9P2j7WI6ynwdke37UlOA59JN2BmXcQuoa/dz qptcm4/rtqn+3vo1e12H2rrCaSN4sTaGLSvzeYBoVBfBgvIHUm1TzsgKXOstmuen4eWXhBN5wqun sHRQsG2mjP5Pe8mCAl9fQmYv0rmge6UudyN7NMgmMdwaJBA9zdEAm0mACmkndpqTHKl7pdCB3Vpw QPap/+Q0K4dGktpKS8tjkILFLDaL9bNa8H9vZhyGmV3BvqIFVuUfGAiIBe/7bRVddMXtFLZZPm3Z mofDmmKhmqZSb1xSu1X/vzlRokg+tj1WGqr1KDy2FtdMd9MmXguTWgG9ueRca0nSM7LGt5E2rP/o DNPJ+emJ/PZ7WbsVe/8KZM3aoPbMHRcA3FAx5UKv1KWE6eub9oQr3hl+2TYqMOs01qwjOoskKfEX v/GogRapu3vefYqLWdBtBflD47kbVcQCMLB39I5WvcV358GJ5ECFXJNFc6/xMoGpZaKH5U3ZGIKQ P8kFWSRcPrHf1Lh7di9sN1nlwRYN1m9FEBagJzf8CEIAcytsmxdgbbRG6Q7daOe1BEzNfy3Lj5UF /rsdvwGX0qs7Ql1U1jhpU7s8AAH1h5xncvAPe7f0ntqTBA27mayN1yrTQfcogKYK3MclNvCOMSP7 ze9PbLCWnG6kv0BC/6qPkZCoeyC3+VDxgKSAN9d9b9GMMJECz1lkJua54dOoFq/zgNpIPU7UFAh0 uNkGfEGvJjVKjvSmU8DlqTc0s8CCdaEOwKFEPGk3wxAEx8TLTeBI+qo/T7+jKV+smj3eZjgYIE1f uaN+/Z34F1VOSQ2raMHWqWhPhZfsIkLvzDd4FgiW8bzZm52C2IiNwnEx87naY2AyU4+JI9H217ZN nTb/z4SwUyC5w2jHW4jVBcyE3C8oLjbJfda5qaFiYcsngaEZ3s5FV1aNxbjGhv9wgB8HHRwL2R+n iT0JrmMEaMa9KGSh9oGk9AcrkNhyp2LsGV0HPnYJVvFQJoTtcqGBKR6Ta8n8PXq4c797mOyocrPq vfRMr3fP93dmnuiOp1VvO9ygjLZ8517CO0/FxjDAZE3ZaGSSXV1pcJl26/JFoYzlpyKRTidq4St8 iXLqkgMsiQFayds1mc8ip2AfwIS8pZsF8vavjepUXOU9KvxgHEVhHp5aA6OZ1PRMthxodk35j/0v 8GD275obkFuLAflWMl0YmPHyXFQc98C8qsT33oWjCRIDx5zd7etTMNDIjBWquBLBkaqocYCBRWUA PgZHTytUgYSoT4U7xHJ1/rqw2IpZ6vW3mxNYxTNyWpz8twiiQT0qKPsD8Ij6KuP62lt9lCfNGwiP DK418JVUyFDpGSiurDdSaw0VC6kG5RFwRb4i9nsHtDhv3MFjKZ6Krf2Rrb/tednl2vu01B1PjEuP ls24DRdeu7MF5i4BZiA0pNsXG4f8umzTxH7a5LhAXM+GgqHQ3KnNMXbZgXqnW8NPrWjfuBsOu1D+ Ihm2Uv3Zxm6Q0+ADTxYGG6N4XJbXQ1QHZctC00Ht/dPi8jcpyW6y+fWi2YGIzGdVGs7QqRSPe0u0 7AuAwqn14b7MZ4sCUf9R0KgRdU70xnPt9SPASCCULPDS3L3z5QoezFjf8zgIFJBFffpYt1WYFcl+ hB4hiMZ6w5POkMt8EBnjiQcbwnIKu0QgSYjc/D+sF7DZ+ZpNO94CYP8DSU2kul73fewOIK+lFdgW 1iacD8d1JljI0OiRD0oRZwX39wT2YTQ95813Y/zl9h3UhpAf+dqG88AwZdb0eUqcEh+c7CUZEinC p+HVjHe648M1xqDaet52vIf6Q4BfTdxZLIPz8YNRTf4Vcvolq50nFBABR1nIP4UjF+7A8dZ5LTTp D2fJ4wmpqWpE/fYmwmN8bxY08NW3y7i5xDWT7Tv6T6jxocYds01b5KX9e5kL2U4ACdNbxiID/7Sr p+88RuC7uel+8tsBO7JEOSt6e5vH7tqEUYKKOfowvpHRtDkRKW0SnliBdz/PBgGuioCpLh6ddq/Q FooJTrM9hLwSQjK/0adipoiZKGu3tg8246g/tSqxKEyOEbiTuyRS4M7mAK/EZexteH5muHWBnp85 5A6Ghq1N8W9AsoV3ism7HhfsdbYHsrwFkuRadmfsuvSCc++9xRnUJ1OQ1wImlPo+SFGWDKnKvXHN lWEOg9jhGQnCG/W+43+9mLARZZN+qA1KFrSHecsuj3bq6nIWhGVUMJ/Hqx8viGgjaXrD+yTUcHBE /mmRxQnq8U+zzGodxryUNZK7SKp5Z3gdZTSXMhe0SxULe+XOc8SKorjQRve6jcxQk2Aimf2ZbXMn stUifQ1FJPkQ6nJ3UI5YpCLV8aEMOniZzx8sTqGYYQvKaCu7MSR6SngFpbY/6Lzv6CFjiSdRk3pE 7GSms/EF+z99AKonMOKVJ71uEaOSlNENWqdC1xG3VZ9j2y+Jrg8L+clqfoa25JnLFj/6szH5zrc/ mehPqzayDHy7zjrmJYj9oq9JV2gP3EbOD7LqOYgwnBlPQPpca2yYcjrpUtSSNR6Dsfm2J8KTkvNh /jjaIceazz0koMBQN3oS95hT6siA6QGXUhzOzybJwdzt2TZxG6rQP8DT29ktPrFm1RO/muW81d4N XFutMxDAhtb3zbOEGt85HBxGriOjKp7qxFsGZwn15gAcsUJmRZ/H17Ej9H6Fdy3tK7VGdYEyGomi mzK0bwpR6jXwv+4l2zT+wz8QgwgG/99AOwbrYT0N2YJBlD7+bNKb/CE69TOZPeH6c7ZJUZ8ThiQs kbDr1Lh+vijhavdK2XxQNdkyvTx5Q0qpgGlVMNcozZE+X4Prdh21ijO9A6XQ9+5/vOyWLDr6UJ30 C2bmQ1Ui+KcrLImEJmvjkBG6liQ7vDCtt2beNJJRaVnvnd7eqFAH9niyk+Fm0P523ZbE9f545kx2 3KYTN27yjwHYrsH95HQy4DFNg7t4h+m4wboERi4utD69ssmq8BHmpI9R3okq89IjXQyAbOGtbgKc lFYFd1A9LRC1DB7DGWG8yRaE66yeR5Y7KRadUWXuvxYryTTbOwOn/wBzo0NI/Ca6VpdFwtrMQzxe v17Ko+2Zd27CitiuBz7WZx+7QF8iQpEEe4DP+iyK0Q0REFZFWvJy3/gGX6cMJ0Y87hy84/TIg5tR GD695gVnvgiM5U/MBq9GRKUi0wEA9hUXaAxsYxn/Gt2nwyFZ1XtmqmjgbkNeAvxWW7OHcjeaathm kIbp2v8yd4Eg896OXO9YOwBvXKCAJ0wPxpyoWfYaazM0llqLGH8hb57QE7gxCMo2+LusW1KRI4sB hAkt0QQq1C45qjhSG3xf+z368cUiEgi2lknyiZ3PSsI+rXcEW7VwEwg1Ah4pr6JgatcMgSjkvgCn gYpWzL8EVOnGwGDk6LQc6wO3pr47A+tuu0+18GhJ2W2xAZldeMzCHWW3oj8KFLan9LRl1nThojI6 JNBv6l05/AcoDrzp+/6NdGSXtkVpRCcT4rHGpEAopXfsHhO2q1i4rxeBNfaYNhAoPoyswEykQRdq 0UCXwppMIG9z5iC5AdGTUz4s5pB6KPoexTiySbX892hmno01Y3jQot4J05LPI+lyoI/aycvuNB2h QRXrmVEyX1qajfvHQVOV0+cQptLkKVb5/q6yFyytJA75IS7eqoOko5tscOBATcuHvTvbSY9TxFWG Yru1KyGotafWinbkEtHRcNzNYORvikn0taX/KNlco4tQbPmfXA8Z4IqvpNgh3lD38U/t3Xj5O186 kEl9GqUwMztnHyccG45sYNXmswKunJyjk698dJuG2EjCcDFmNcnV64wX9FhU+PebNT76sBd4qALP 5wh7mmQg11eJFfQm8tt1iU0qzOByfPHSWlvOSmDGk9KmUmkrX2Pj0/OP0tcvc+4rrxWCkM+9zkWS 5bD8v09J6MNBwnezcD1UjWYN2Fq4LxCnZBNvJax+efd/ILVwLmi7Uk8OMjbfmububLkMBhFq2v+c DcPEoDHojoNq2RErWaUpvWCgYEQDXwsjUDkXrjLYoRioSkIYJoPrZe0zt2STSnUS04NZ1H1ivUpv P+njgJOt+uWcuKVTI4SKRajAhtE7aGMClyCVerAqKf4AvX9zjBL+PdwDPJKhYLma63JneaYL7rlg Fh8Xh7s0gVyWuAHSGYT0NuDpPiZ8N4z49uOGyevB16NVVzLrfiZW0jClYPeCY7VhCtNI3tSQwmuq 2xX4HaMoR0hICOWpsgj/KL5Ny7GXRiCaCxIcLcGOfXJpoGh3mVsntT82xOVHwq0mkzoQnYg2IKGU 7d5ggN31e9p8qLJ8QNMRJAWF5O/jmefy+uyyRZeJtFPKPU9VVhYSSmJykVoOHqL1XmIHS0YWCuTh r0P7mpRK6bt3Int1L5smbTwkuOf263BDS7nhk4BvGPlAsyj9vYwKc5B0FILSKGRAVsc9oPLLpglE 3aLlFx0A6H2PlKNs5+WG0jqrfxI7P20x9rkDqtX6VFI0uWono517McudriG1Qu3fgZZrZA/WttWQ jeqxB/9h1W6lZY44g40w8JPSuAO/qlAGSu1gL8fHK/n0o53/tojQOUkCR9IqZ6sQEZz0oytgU4oQ iogpkk+czcOy2+ZHr7RWneSY1tTFoYTdMC7nKvBD3OVonTjk8HHmAaXJV2TTRxnyZp5egsQ9Ops0 1l7250HDFAhOXt95Wi1oOZKpdJQq9tfmK4gsuUmPKvosiIHqyKoyaGrbUZAsv3+rGrJou1nn+Sz7 LgEkc0Rvbg/eCJICYXAcxLWf3QQR0P54Y8/Q8U5T+ewrORgCjkA7hqa4A5dlFQ4v9moqsAjI+D0M KAKt74bkk146y3zSDcBGyfVpSSaMsi3xT+l16owIfByKsJN0jfpdhnDQ8hXgf0XGaZZS0AjxRERX KOizfLBk/g6mm7XSC/NDHqspJFec+JBtE0KJkApH6J3ZLG5T5O5bEySZ2FzTKB6xPMGwshqeFDZl su5yeIkK00HaPf7UC25sk5IUIP58GNojDd5lw1jnsFZqzKXhscLHbOqCGtT97SCjhKVrGLsl/tRt HQwyClRB55hVbRecVWtgTzBKFVtpo6mYfBDuYmDSGaBmIiyQELklZFe+P/XxDVcJUgglnj/H1Wde 13asyCQm+sbxrHxbRVwjst5ABoUn+yj8mQSNZR0XiVzzbWiwEIqRVlmsOVUQhVwonBWtLCy7cyUV KzWGBuzGmrY9bXhoA19bUnBcNMcH0VvajqpavL3LNVFd35dqwzWCRc6pkX8om4GPG/0s7Xpa/YcQ oy/YnXEWnvsIaykDcoei4W5bSTRRLANRi523DQ6FYoEc0vptf8D2jpfW/wQcWpXrmS4HhNrPBa3i rGPSzLOGEzWWAE3LTL825fPNoxVhSrT8XHs4i3B6RH29lXJ1AbC4y4pvz8d/9sphJ9v2C2yZQP2y 48R+WElHLwOra4kWsBVKqd96AUc0ySaynNlxW/eARzRW47U4HYiuRsRddJtPa/bDLmGkX5401vbz NQ0NKfH7jdXOYm1hBvOd2zNT4HYjriIcMihKnT+JWTv9FcZ5nk6pjYm8caTycqqD7H+OC7R128P5 As2FWykqYKf0xJfDxCwG/fEcWSI6FrL4+Cpu//vdH8plSEevr/K2lkSCxLx4ajOXjNjbPLe/i6/n Hj+ebiBKYRXNv0Xq6yb/qBGfdnZhr4pWZgrlU3pfMPXF5gdtuW4p2f941Yn0E/fLrd1W8BeIzXQH wweMOz1GY74SRLe4SufOt2etmwaqoYDc+9mymaNjEjVcwXu80pwu+zUeMJWn7AZyTW4HWdRuYzJ7 FI1+7vSXL0DgSE1JGE4OuJ26O6vcLRyWx5cGdJrZ0leYnpb3LK70tfNecKZ1hOjht3ihdXEkBoTz B8isya1YSPtIAfNVXHJGtAPpyQfIUcvQ1hP3jyEPEYuDZSWTHQ9L5Sr9F8+LYfonEFtEscgxNYhL YVhaOrPnYERUqLitqzgh7pMCR1eV3izDYrD2pJrHsjX54JV2+DOhQRodVRPSoFZqNVBLuYgmZvMH Al5Sz2VF/QHoLPMxbgHeM0A7JOIny/FJein2YEjlL/Nrmq9Q+lyuOf40zDXTW+A6sk4Irlzlfc6M MKex9GG26prgnow+l+YJmZGv/L8nQN2cPg7pSO/fttoPfW6Ng5i867UfKGznMWssP9n7qAVNnJfL nJ5nE+16BVhGW2wg0nBPSnd1qXs/HAhFGplxqDb+HCwYineykiobnPT4i3pt5p3GOpJqybFm+K+Q MWUwf6xlBW7XXbHpKso44UCrQMUjlrYUTL6PG75x50qboLhSE5dXxIdVKJm6p+fVimCY/fygWI5B B88CwT3wn+LY7awqQXMbcr5zoOD2rX5owFwLcweq7KcKk57NOfxOqgqC618rYo4VQESmv8AEmpoY l9gZ3LMuc+9yJeF7KDN4WYpCHB0hVyXxFEpmFCSu/SvotjrePH02asvsixzwrr8H1at1Qv35CKBv osNsO+jSrOu4IC4g63trgzSR9g8cc8icJZB8DlWqzuEKfrfoLCmTRVUEfh3F/GhidCWKJvUPiIXb 5QaiU5oMdbpQcpnyf+R32FZg/mlSBS8Ur+kDEgABLYwvcEUIZ4qZiztxfbq+mMhgeN4JLLA/YpY7 UhFgdM6XlAgaUzAk7crmcSmDC5b2ZlF7P6LNUw0AmDa39oFH5UjQwc2LDWs8qaPEf+85Zhnv6j/F 65akuz7RsHndWCgWHexsEvmmpvAu/dfYEWH+ZVsxL7dji88KTTGg5DDLNoAdBytZRKFxTDPwAFgC dMQg341Am8CCk095oEAh/Ab8YVTaRTMy4PbEF4ZyQa8OWMEyoX4Re15uBqpWAD5jtyH987Uh3THD nwDhvNoko//9WcTLI3nnt+vHy4kqtAFkLjjFNcJX13TLvhsjKhuB/ECCVZbzE93cpDBRlqH5hFA5 fACeu5MlK9KHz+hHh5wQ0ju6r3DMLGcmeRj2Mn087i8ot9dMmqyHVG7iNz5kkVmeNPIJ1iBgnzeW X+e+A5pTKyzNLFBXFWIP7Cbr3WP1e4A/v3lTRLTZUzPoJxBNaDiTwZwOsXDXz5ydw06bxtnaxQBQ y6lhvnNSGf5muhKNOPL3Ev3xsi0ZpbNPYD3N2iSu2FF/6p1o1PMDb7EP+JdGFT0WxXkQPi3gmTNN nwrB3QnOQxibNf7jCNVynG4HYnZt/S1Xved8wstB03k+izLvhxghOtP9qYXKr8Y7HjZM1jt52Opt xkJJvf27h/b8x+A7i8TFBekqwb/RtI+GS4Rfxwy1p/M9DdJBvck1Qw8lxUq6vNdp2EEkl6hcK8cH TJ2JcuhMtoU2o6eaB3IqB6/GwT53WcxQOg0Dy756Uo2Np55anHM7LN1UsdExPMhqOouYJaZq6gWT 8fih0JPR0HuhoNtZnzWjxVMMaGF11aS4QgaPf4GYRYwzSFpDEeqrr9f0nJK/hEaOQB52I2Wygsbl 1o95Q8PODBo+xNYJcYFA3/Ho6DHeuYwikwu6HLKVETHNyjI+BbZb3ZAC9ok1eIoa07dIquAU8T1M iTT8CxnD44i150QPXVcKFc2raqfoEYb4gT+KqaCY5NlUpPqD/yV3qFujouVtC62XHkG/FP6jb7d5 hqUOUgvnloEL3sy9O1xrjv1DQA/LxG0cE4gaMsOqILUIZ93hytyX1Cwjs8OfMA5h0kYk7tIgHxu4 OduV/KPW7keuXdf0RZD/8eE5UDjNRQeby6ROa0S1vAXZW8uwBEvEU6f4vuot/IUBE7l6u+virQtJ WidwL9/Zy8F8t5mtIHqms/JGwinr+ozcY/SfJ6zMYmGYgfiuWuXK6HHbI+2nEoOFeMRtyhHRSgbC zsxUvNTXyueuK/xzalwZdwfZdIfuhabFkecq7erxO9kS0DLjc57DIC4M0oKbncYM390i5kwxL9uv j4BWXk4YViz3YQZmWwuWEh5mgTWRdvWyOu1+u5CN8A6Jq/nHsUkiITfBM019M5e+d0UhFoClVGqG HprlxBZN7SCrWf2Wdt0fKnAok+Vzlt1LbbJYn3DMhR4p9BRUocrEgp3x+raQzKG1zdFwYYZ7y5ZL vhAzrepS5c35b2o6yGeNlkEKdi71GmDeY/i2oDFiAxXpsj7r7mKnqy4xbYZJdPADg5kMqfvPyRp/ YOcFqhuvNgr41zF0C4QZXoVGzi/9N8sowEbicjvUQT5AAXO5q+ggXF+HMYA9B1KU6G7/MHn7zBC6 E5wROfnw+Uv//ud/CYp6zRMgW6elvhCCsGSDyctrarULEBYdDVng8M5W99JJuyWYsqdZ32VI1ZJZ J/xDM2kDoCUBmQmWapu3uUx+6/sMSadavxRzW9IwZrH/Bt6QROXcmwf+xPNgnyhwN1ikXEWJBLT6 uSQTScSadb0lRoVtmYL8NSB+ZiI/qZ5lgr6wDrqBg1SxUu0wUdJkB/aMKvW0SGsdOlGLCBVQd8GT 8oRyNWZ+evm5+ZRuszYvQt8wXJnPkr5QRKsQp/UW583xkVvzP31fpx7k016L4SdY2vmvzfVQPyyj ZBS25htBipwr54/Ov5NYYEpJKDMR+ILgxhccBdbMdsMPkorJsiMKInEkYwm4qyTLAw84I8qh9vxY KXMb126Y/+kWClIGvTE+0pMXj5N5IMWXQWzLFfDwkPzvCBaX4P+2H553wfO2JXijXdrlblthlkti AZIaP/xtgMEfaNwGsamwKbnY4kKeTm0ZdYxDh1rGdHA7+MQ2SFSZk4mbjPLg+7zi2M/0uRIr7VP1 bjSd2+hTbk/f9cN2pNDDqeg3E1uPkkiLwPwCRcq7bKSzvGcyb+nLVdFyBm6vDkTAxPKalZAHiQkU I2GseS24pbp20MIC6ZddeHWlDVqDxpivDZ1S63BrF/HMBlBbH+Fd5FIymA8H3bNfAEWwH8sZejXp SiiCtZyTP6Qh/luLACT/KDF+cxN2fn8kfkN6vEkPMSXfGEWpStVjdJZBDDww7+tOk0cHHfjsm08j I4q8OPU401FadWILFbOMofyh7EmEF2Vz9eScbxXi2ELlKlgMuhtvpsfQGV/X8kz5BY/ezU3/zLTF wGNe4idzxQ+pevezlTCq4Y1vN5uBoIKapzmVUN7caTBvT2VobQnNcFD5mV09SQJqP1CUmUM3VqD3 PitM1Hz6YtGznz+geV+c9EMlSjPA+OAXQyTrYGdo6D872+prq40GxfxAJFUGrvybMJUDRU07QcVV ns39+1+9ttLyCGRJpwx+IoUb5kgSC+PZCVme4W+wrdyD3juBpEeFkmWoUBrBqszOwtklgIGhc7zq nJbIqm+M4Wlu5sS7CC58NrSGhLLNvmPrxn5IAVCtyX1FJKyS4tmGja/tETTKxb/aZY2c2QFUCWvX 2rQeywv1CZ4/+xACqJO4di2vVa0uoUPT1SbTI88mzRz7dMtDTQgKnwNmizFRyi4jFb6GTFuvXe0D JIBvhRhPe5YTI1TDZePCnNjVBHihjrEkCqOq3QvC0N+aFbHPsU2Sr+TVzaVzGEe04ljIdBBSOuSe SnQVBatLyx/QiTpjsW5uELziN2nC8mFFp6K4OSlkI5CdHuUdRoSqkIEUlQ5rowIYAE/4vTbTbz8P rLYwm1wZiGrsFn4w4eXjICTBtGZYhKbndODbCBy6XImvgk5uLVUDCQWNZO+j83HQ2DOfaaQTzuOk x7QG5xMCuaWtV+taBR4wvuybEz09mCdf7Oy/0PDSIh1LmLnFbIxMeaK0DpcP3oIR50/MTHhKcGFq sejlHY30UAs4C7fEdySW8BE//r8NZzBRrzqw9NjrQSET84MgCBNp5NnIAj3vpWYtNT3vXhsdF8X5 TRxrmm26rhp113B1SydrGuzV/5gaV4ZyzdSvMNCCUN9bZtGc9hiJoE4N4t5YmWmwIg2cGQuimfHo dtSe0TsEuRGVZoKXP1CxonwHaQq4cNSA/evzrdTFWXtH4pjAS6MP7j+YIMTRWlxsfevuE451JOmF Rk7QqOf96b8vDcvqiEYdDmqVLfAs9C4qLgd1hJnfCiBXzVIe5D1yxr7rGUvAe7JPG0JXEHSwGA77 Gxv6wpYSmkoqDIEXRj2yYZSsiWMAMykE1et7TsgrUISJ04R77S8GUrkZULxiLUbi+nnJozKGHfZu LPZJpQHhEUAceR3yaWscHBOsH1ScAY41T1ZU1q/j0rPnjJqSLAXeKCEHFAJMV/Ap9iiPw/s/zhO4 aFUqHx4M18r2p7m2k898D7R1XYo9bBM10QZ/VykA9XZe/JRMz1k6gaBEQL7v4wVt7Qet3fj/EGv9 /GDzd5O6PU89kuGvycbIkU5r/1+xdXPtFYOJ010gnfU8UpnBHBW4JKCa89Mhn+l5QnhSJwQAgF5p Ndj7xHijNHOijbgbzXaZvSJlLVdN4cDGK6aUwwgXCxRhxw1NhZWKEFNA9YJlmomFfAUqWjV8p0HO c3Bf5ztWOvAU02sBb6GgMCeNCT1rIHu2a6Pt1BaBrfEtHKCYLFqgw8zSobvJAc84f2NIgqrNfVOT nBnFC8PWMCvPEq5xEvOs9Igzhi8jXtZzhXAHn1k7xl6Y/FJCcCQwpDmwlp16l6Lw9KtFn1uY/gh4 LyssRFzWVawmP23sAannih8ip4NucyVbWMmRC79mThdjpgBo4VMMsNELti3zCRXKc+7Fo2rEhasI g4PS/4cGL9pWiMGU7Lklsxk4EZ8qkLAUYmA/1xVl58zpopxCxuDKBFphGQRmDEZnquLohXZopwr3 5pwJdiGHDilLNiz4WYOVvb0QBVKK4u12NUuLehQx2CIS/WrC11r2NUktRYmqFEh876c+3I+X+Mpb pBrVgeYDefV929ytO26gSqZq3S5A0D+25eIcYakpubbz39kxS4UYYWnq+40DE2quKWf4G1A/Dinj DLhRSzWOAyXGwDn0xAQ0ZEULu3plqHOvACKeBzWJJJXlfOlbZ0YJl6MZ3zp3+TK3MbVsfF+DJST6 Q9DOhbbgsIl5xm/4/fiD81mhpBFS1WUUStcCkG/W+CeCFJCjn5r3CVq4TTO2ybbYIg2231YWK6WO rlOMZlhlbIcT/qryMdJP0DZAXu3frzIZbe0rb+PjKqXF4g0ea6vbVbdDjIoMprnKENW90UVY+fsC 6Wz3tbwSBNvlfAC+dYQdVFNkSDRsWDz0uWAULDbmcehZDlPkk1FZH02ZcE0laBgd1LOXIZEHFgT5 Otw4ET4AaXQBZKExo7lq4bQ369ZTH8P551u6YNw/AYpAc+nRtKtZaJmSvYen01wQAm3auotbpwqj ly9DNK2Uix1AiupFeW4Gh+bJUOzcBsQaeIoQRXbagZqU1m2Hm7FbkeGfmSVlJS8YZ5TdwwnzBvyB QfNUaVijee0uhOZocbpwhtBe+97/i1ESc2+kf52PUl7sEgU5m2zD75LHacVL/FvEt6uYuriq9a09 auHr6E1LUOjxDyHYAMkZwXTmpG8sprcmlMHNGO9Lzfng2l9olVIqfl1N4SwR7sK4vrhJ+YBIcPcY xdC+dXV6hpCp2UvqNZFnHo6Kn9koGrx/KhOJQxiWA5gl77TAX3kLn7sswusMQRGV+FR0c92fZUgV 1Q5j3IdalFAsmGaqauF1Vgkd+L530lRgcJw756++16Qg6gpA0fIyDiwEzWCHfJLYa1b4f/J9e1Gw nGQyJ1bM739Vj7CaJ2bT9HwJc1LKyJ54Ga4AJj5ZpYAZNFTgEJX1R77e4OzlGrJ9eVbSsShft8dH RLBic8XL6dQVgX4QI49hBLEBcNhJQwTOE1l2yG0ARa+cbfd3XZ8M5doicf0OYmazcASDo+3jgu0G zl0hvCHwRP8x+WBKtox+VSiBMjrZ2eUiIm51xC4vY/mf0DjVN7UBi22pmfsC+eGRrEXZQ8KZqPTg DWrBnAeKo432kNbrobA/2A5LrID5udJOvFgBpjw7ByBU78LuFTGgO1fMBKcS7Mkld5WM9NS3jH3n t4x3xyQ5DjXqG/DvGDSEIJ2dceIiVXJ7qG//AZwslI2o5Y0FvmFa6OE9keHLylChDkXnw/jaTNFl 0FwYhiqvtLxETvf/dLAgKHH98UBmEEdeyEAIwxXZwpsBSgvZRv3yecupzi8EGrxxdRkMAfNZixsf GdgyAFj+Q3B5TYua5x/JRMgiy93Y2vw2zIinU6272U+ApCVvVJwXoiB89JUU2gKW90d44vBdAi9d Eh56r/ae+KXj6rr9wcSgy3UfT1M7A6Z1BSPz/WyoUYSGfAh8q+pChpy+63SClgGdbcTwzmgK+Mwm Exsn+EDj2EWsVAsJFziSUSPZKtr2B0tkJCL1y2/Pedrf/CYH2r6+fhWkVj2uDMnEkm5oUsk9nk16 nTRLEwn9O9s5KcbKhHKKy5GZUBAm9ZsRWDG8RStkKzNa7l3ZcHJXMbFth8T+ytJtOddUQycxFh0X 1tU0HgPTzNSjI2HC4djZOhGrLiA9/rnOp9MlGcMCWISHp4YnmN/Xk35Uxs2nVQLoe7XyOF9f2jlF u8nTcZxYC+kG4T0ZB8JjCo1IRgUy94Gqdnf+ShEiVOkuUJcPsAkM+W3AkiQP+73b4zkjYapBVQ1i /uqRLktFyEnXL4a74EB+n6p3TxY6VgS5oHBrnAkXt3MJlYw8zrbPk/9i+VDYCGgKqGaBx/OvuQQK +26bye6uklttV35JGL1Blo2kljlYj7yI1IrAUxxrH3aB8ciJlccZ6A8OAReRI9C8tuUh/8MWcKbH ow+TssOroxW4o4PEAvN8z6Swevp962o+I1ubTxqnuGeymLkusXqn/xvebrrPJbukcdHE/A37aJrm SHtIqwjeAVqBfHt7nGtdYcmSoKQrpTrx5yIukryTtfitlUhzsiz4J4PFe1EMxWIo2Nn0oqEOFb0O zIt5onxIA0qW9Gb2P02fyaEnDyijmm7+tEX1lN8uIq65R+fWnrooBi48MVjFIdUWi8sxJr9GvLAW f1+sB7p9emBWh/b5BM4wVCExusX1GUWWEmPLGQjCyg4/OvgTaGYMqZm0uZsZysL7t0MWyVmS07Jp hViIf/yhSK/OzcrLfTct8jiB/YR980zlj4WxNA2Qu6yz6Fk+KKK6WXTvSntt3vaNYaWONK4BbzsD NA/lkvXzLKmFm0Bz7lRasyPtbH/QDdYwmdN48MHjgspKh9ysQ0l8ROUQV5J75R0LZDuEswNtEHbI JXYgok9M/HINMP+qnYNP6CERcsofYxS5rYF8JFxaU9orMqipsUaE4h5FqxB9GYBNW7PdbtqdLVpC liqke53Lc9A95w8zOLmkDaRXoK/WgVRLzPyMoTijJB+hi+rwIvPH6J4sr+19dlvHqofkx3M/oM12 c5nWCNyu8lDCIZPdUuYqD5YLmPTjdZduobvjxOrfviXbLTrqOj2ufoaojguOjhGYUUCtkQ9fxBcR JZeuA0+vLhmmHr5FEyGPXBoswTcFLP5cVyGnywb9OdLqtUZmBAAj9szZ2phOTlcEuw1aGJW3TSAS v6VjE5nwP0+vzN08Xs+DYsKtG17SrvcQ6in1lj3dT44is47wDYGEN3ZOH33vMPIPUtoqF5QAUJR3 Pnfw24cWXvAEukeaLWtXs5u5J19qTx/Cu6uhVWmXlh7zwQvrcqHc5Zfmflm2PjRg/wMTH0GcOF38 6YqA8zPhaJwlHEUvOXMhATQGh4Z9UbNUwySUuXJD/aPCtmYbq6ct87sIVAUasWh49HcgaQAAm/5w 2yYPig6jRQQXDFHlJWhToQT5JQHjGOmXKpOPHTRYoceLBqQm2n1BUmFYrDCc1pWj5hwNemPV0T9h 1y/OhCD3Ws28Cu+eHqTNR0jSoEQxKvmRNvvQXaEfd0GZL2ir4hmhfpnmLqwYPzk5kU9U9k7S06Zq hYtdmKHIb2SlhXPHcjXjth+DjzZKbSORJSGHDXW3HhgAzV+HAyCLtG/+V1VximwnxYGhWp8/R8LF yg/m+uqqHMZVVFdAhsWX3YMLVY6ck3XFTpYtd7rHY3tn4pHBXmddPxXzewphrshaw7yniOlHEsA5 breELJSgGfRTtsniTkBOLRbuMtxlXmpOGVvN7UxqvgtPj45UXsDHUKtIAZXQnHNIhcRCluj8tmeD QKFzN0qZorpiRafG31dgIqlegEj/9nVbb0nwzCclr+a7jKZEcDwqsyNhEieBsDJXrW4qLGx/4ZIN mGVCgAFyISEDqZg+V9f51BDdQmAOyytZuG4u6PcKBw31CrVY2XnyVjMsO5+VUNdk6J9C2tbm44+E ETpIJVVKF0OzV6UizqyhBoaIQJw7S+TOk/Yxw5pJFC8deX6jPBeP+Q/V+9Rx2jcSqjcluCm0o6Xi rJn4mx0z5yeYpIY0QAdqJGmYp2sUMUZCnSxcwUASMM0Vhsd1F6XrSq3NvIdoVx7AnlIphyDiVj9i 5aHTEiY0eufa6JTFfXynkKc0d1RrhGikX+SOtRlQU+xGHH7x6MchmlWyIznRmOxTFwXvWgVKY45a GcsvkWSWlJjHcU+s1AuT9NYwuSHMG2+henqqdaxMwfxyzXurNWs4pCr36ujOnIYxgIwz4h0q/ndS b5BcSXSkGxH7v2vpoDcBm2ZAQt31HdPUN4zJYKBddjuwHzRUWoKBvoVFIHWEEHCRpklHwnUxBdPx Y+MyFC/weBNDMfbEN2KM7sKjamYg4AnJkxF2i0QRcQ99fq7EqF4IeDfjf6xSLv8VZfJCFy1vZRno RGvRjQbBS0B5WEwnCceWyuRxCPjIMnqMzR7xv8HWEK9W8bfaC6ObqQAQJnfaRxyG137wJqDXCEU/ sf6aNXpJO6xh81ma59CVye79VW/4TznTWq4e1Gl5SnkGvAtoKio+7QnoT9Z2nMESl1/S0mualURe jNRpC7H9UVuFDBIvMELOjg089ZHXhqkRVW0nvE3J+IPtT+LpiFKFF7Rd+HXTezq5i/Oq7E0rohSf dBBcCKlJH0sXpDX2+lUo/FIMnyyfbWGSQUVgX/hzen974fQDSEPCDRcW8MB8N2t6EyTqj0ETVEPb 3JW4Ub/ZJYRw6NMHfRVNVXPgiiWT8G6ghKCvxttSZ8Q3Fz7cCnhNntlS0DcR7Z/AwEXRbi5y7ZEd KNYqUGpTUJCZL0w2xWq70mBNZcP4rj0zyiYm6HvjWk9OTlD4AzddViwyNF8B9rDK43G1igkccIW2 RZyiWjJqZBzYl7qLHn4NARd/xi2HhMw0BztUaeEJw5k0LNrd2JXwDs+Sob4bCJQRhJCUMrYMCdRw nnnuOKnWTWixK/DQlk8B0Nayuv23q+snH1LCFvhIVKuDqSvoXUBb83pdEMG26vAwlY/As6nRKF/j D6FiVr54I9gdTiua3t18UJegxlERN0VgyFLOVlCigEhsZk5dT4GcJeyHwqH4+gYX65cZOkSL8I/7 ejPznbba3L1gzPBm6IiY3wkqAHsuqicVZhgaITgH5C7GIKntBNokoFiD7kmm9r+FXZzWuE9reUgd GVJ5KOr+6MPbiJL2Ox2vCNHfa+Dp1iTbiVBs4pFEFWrRPfhJTW1mfFjHXKyoS4pcI1QrSxM1qrPi zz/aPy3l1LXX4SmC8ov1FwfShehwCcvdRgBBHnwQIHrPJobOow3kH9iMi3kLk3vOxoPWiefG4CTM ksUpyxz5DCoBnoLy56XdFYiwt3ksHEFfU3CeoeijfRP7fB+2+ouTENffpI/OL35FFcSheEXivroZ puZ2wlzcYMVJzHyobPQPF43b38zwPQPeKo9pnBsbE5MECLKYc+qPMlXkxAugvdpGZunXfSrtI4cT t0R5kgx+4CYfrXUDAFDcGWUmvWebsPhRV3k/KWzmYkluHQwICXo8pB8rjrfiPPBFflQZIfrMDHyY zE0yN+NTnuV48kzvT56WKxcuN2FM0ZWBWKZc8MBLIqPHxtY1I89060xAXVXn7xearv1tBDKuDfj9 cfzhkTa/o2h0nQc8jup4eHiDZ1qXbpDBk4oQkZh41QyN6xly+jiA/aCNb1dZYFA/OmBRdV1QOkrP cwT/rAfZAuQBbH3Z1ZxsTYiJYMdYGrW7JRXp7gBlHI2x0f1d07vTo/tY47FUVK6bYiYIgl8/gCX5 xkYlgVHvEkySzB+lUQu7v3H97gzrCGm3fUv6zzMkVDvAnTQeRxRfphm2jvOPPNFWOhdfpGUs/lFs YIztK1EqO4fG/97NU1y7bgJieA6cb9I8oKWn72/IaHsKFH6Kwjcvtkx9tj+x7TF9nHeg6XiwVvcK h3/1LJuqMTpr9fsz3EP8Fpu/+mvr0I1evbO5YlFJuN0Y+JXWB3qO2CpWuZ88DWDmCm/S4+iFKTM0 eUCqa+Z6jsJkYq7oHSC34sFxtZqXkwqdIynYsdWTe7lq7aAWGMF2YTwjaOCDkvWfA3EIHBYqGHXZ K6IKxQccSag5ZUeyQsQ0xqFYKbQaNjrdaT75Z6xYS1JvQ2UGbRVdyW6OPKoTI71UcgvuT0rVjleJ QaqHI577+2eFh9xI2ojahiAFbGlI+LJkJsaTgUqahdNEI1JDcKz0dlDVJowfql5z7X/+hYv3+aQQ Qxk2qUmncwWZyn1RNzLCW4WiGiqehAuWNMOvfofiEjASO5wgHaO6NyFQ2ByQhA7WJmkQXmiqi4AS eFTuVTF6YKAMjEvbE93rcHweivKOi8KJmpXODPvu/DVTaE9CtVA3QUyUcl05Mw0DljseGA27EUf0 tpbZ0/cV+qvR+CbOpxmKT9U1fNhYriyJkY92B8/Mjy6lWzwpAaCuysa8HVYVZCxND+HrAouiSjXI tz1xniYpEKjEP6pdPcCMiNeWU4x/uEyvEusxOGzObQYqb76AU0/Fvj6Tpc5J4geQG0K8jtEcUrE4 Gx7Ec8W/Sw1vcf/MIR7ONCioRUh9n/KM/KGG7N75uw8KtYI4ojrgJ0fVu2MzQwaaVR/7cx6Pcu7g ynVwWl5IvLZA8yZHJdLLdiYe+bPs1YumJSdhHvKpbZLYu2ZUS601F4Oi9Bv8ph9sBLSx5iOqrmFj EkxL3wxTq8JVhMDEiK7uxuKJPxgVQxnom85ZtxNW+Bp+1cxJEGvJ188ZHjzEcJ2bq8oOLSsNLhJ0 SN09aln76ziyU2lu9m8nELenq1mB8hWY2f5ytXBHWF0I1YL0jC8os+lkAcOEQYExV5rXCBPvxhGl xvAnn/mfrnnWSJg/VnXAXA1vewtWgrql2yvr+/3XsBL29f1dW6KhC9UA9CTUNaAx0cwEXtJcC/oY OZ4VhOE9Fea+arkSA/v2OgwswxSIbTzNL8Ieg9Qckrj2W0uYfU+wuqJaGk3llGLO6NlSZ1fzZFRZ PZ0IXc2e/aoJuzkTr+1DBE30TkwmaOua3Srgn/2aJCS56G/Zz9agnKmjmR/5JnZ5DdxsUSw3gF3j BLTVqjV/OW5WnPcK5GdEFblYWuaQAE418h9uxecxVhb21kb58C792Q7bZGB+hVzFa3kOQK7gnsTt 3W3bQfbW7jWNcBuxFdk6Mu+rpzQ6FZflwZ9uWSPgDimkcH4l72FeDfsYsAYlIP/eq5NTaga1xV+/ Djcfqm5fpMvV8KJRUX9CvodfaFgMUQJTSq+os8fhu3yW7pHuQyhSjVCM+xS4Kj/aTLOKuxqKslMv eliYuZLTG+6A6BYU13kTN9+l/w7yECbgnoHNGZ/T+OnePy+5FO3cjXltUG/YPE5tIHadays+8ucs NdnCExAo4FHx/NpSTnDGNZp0Wzn+/1ooX4on0x8Es+TcgQGSX9LjF3mLaCGn+ozUxA2V970jbQ1/ m62fFc3SsZJ3y+EigIrSX7l8F0ymfu30JG424/yMR9WNasg1JkMdNjKzUt4iSg3ukPnTR6Z2msRx OMHC2158LppEqaBa+8XZ7mKEFYD/gPq+DHN4Z7ib5lRFKQrzl6J05EyO7XgNcz1T02e4WD5iau4r l+VqOMjoB9kBkps8ZhOl2DBufx6oh8yBTHTHJKcmD5lN/4tky803ZtZtTGOOYv95uCthF3NkASIC LtFaQwCPfREAzhMh5KBMVhfu0Fyz+SPmVvgdzTYUYal0QPsdVE1rnJyYPLoquedfW86qX32qJR6k /lBiprnZdijs6YD5nr1Zs3xN0QgIMfr6l9iTEZAh0Va7BmcvaDdyv7p/x4ikZiFM6TO3zSelRup0 2fnMJg+wzF25dEfdk2W6acl+0WOOn56JH9uS+0O7eJ85Rd3tftytEOsKQ/iNDQGYKf1sSZDN8JDa 4nNEIuNURo5weoCpRdHXePFZdJK5qgJcoimxhLtLf0Lep80uTzUHZhVw6ahulSbYTGGV6Gs9TZxV rxgZmbf0hjozHzZ0gD5R6BSgpVq+oUcSPO+/RjoOFL4kWGaPM9LLynGHAsCZqwcR8ptJZ/T+7Y90 YavgZmkQb+QVJOhYIPyuv3SGr0efIW1NpX8eoOJnPDvjCsWwk+YTWerA8AbqCeYlZF8yDGio0OIH XYlBwhHySRspYqlsjhnAwPJgwkedB/y44qgbN/zA2yjDAljwaZoFHgnN/5qT87DazY+uF4mS/VqY sDyP12xFRSq8PG00n91gI0RJxdilz+OJFYbhw4MpLBlUI+a8l+M3TPtz1ApvM834QAAc9NkQV9XO rFt2LZkDulueR6hIzyl9J1tDT0aJw8f8OvoOz/QkuTOB3GS7XFr/y07kgHb9pE3A4IFH10t37OUn 6jXKJwxuFrAOUjBVQIgrczAZReXdLidc0N969+bZ376qP+3Cm0xEMY+GvDu4GyZZP+bxUA4PeTQv ZzHws6kOf7OMs0pdCZvewP8LGf0Vd8/3ydITtThwKJEEcMXEga1tLJJXNRsFAWdzvkxdFlf356Rf 1PqXJg9eT8NZVBG8nMVPHKlqG2+jLyybw9PnMg96ENnzLDDm607lYaDBr8kwQ9xjw0HuhkMRyaip hnl4YKjuIwQxtOSYlaLgliPEInD8btm67lVaPWuKuRl3n5BIYTyTlJf8GvncLUaxln/cHNaQPCEs oarFVKFqUhYF81l0yS9qECEBwP4FyEUfQezhUOyG1WqeEyD08oDLNOCJCPqur5Oym6b/S5wEtGlZ xybGaWQ44cljOTJ/6oDBfVO7+mX34X4kA0hRcO1uzmwG0JSwzuChfuxVLT7EetRBYO95rwYHqmpY gSld74kX0ZK5JAincGD4pTkwqjbIlG2uMtKoG43VF1wc7ljmKoLI5q/ezZ6WJlFlVLNlDA/xFNXs QLcU/nYtf1xdjxqepkGefOcd48bX8pEfMnzkMqeN3BUX27R+f5PSs012vZp6DZC7iWvnBsz+gLNM 71qmzHDK3v+aVUDxRN9WoBCKsNGDdg1FVrh063TKZupriBMR73tY/g7cJ8UvYS5GBArqZJB0vyL0 Zv2cK8bl3Jfzn4octMYDIaLeQEOE9NVlP+x07SictnXiQu5kPZjscrzsjsP81FM8AnFZUOc70Tn4 BSukfAgIIrSs5IeZLdArMYhL6V4LJy3ZroVN5C/OQsuv9o+6oYu7bnUnB9xnxPRDz4fw7/enzT9c 7+K4w4m6dVf+ShU0cf3L4+687sigK1yKmV66GFNYx9nxP2vwxxdI5F1rfwtHLJFRZpnZfeaks+k1 XHcTO4m6ymew/J33RtUVD/mP13sfA9HiMw8x/8gryjS/qwEbaP7hVv6+Inmsoa784W7Oxmx6SRp9 xqAIdUDQ6eRzjRFcmM0FYRTampEwQB8nRsIERmRLOVqePqOibJx3AYHr0DG49BhbbLRoi37+p8JT p8Lm9iz+0dljEFls/lbmeswB2vdX0m/ynEmL5ZXvlcCqd7Azew1iorVFkbc8VJINeXdBIlaovTHu vEJd3RCnNRSQc+LO3Jd3cWNzO5YCHvyQPwrrETL9xp19xOW/MTf1f7bXpQJAfjnbpsro4nM6pjAc ElohqnBxwFhuGA+wMzPVwN/LVEsBpV4yeTXqJDpB7fz3OLNxLvwalhjy8hojBcH4G9GCnvHnho0m FAjvOo2wWt0Ofy7LbmYkNBgddjPVduaGrmuvDIQw0BYzltiKybXYaMujTYRgBSIdERPIrXVlv7OB Dld39RDXeVLw7sr28OXCXGI3HC8Vr1tSCMfSvciOq//z+x5zpyVQAxLp29AcJfnSomAp+3V0Gg1D haNbr7gKq4zZqcJiaekpRF2k1MslDyLDmne44ayyDdxTwaA8xhqQIzi/oJssrpXys16Y8gebWJO/ oFBM8t1PMz05v58dAkbyWIc3qZhlGWoCVJ43W9abAqopVO52ck5kRDxVDo7hzwvYYTvEBg0Nhey/ huCP5C92zvWXpBRa19MyJFvmKDtewMuHCxK6qHVB8e4L0dM/c6qaJMMmziKL/7aom0VGtSkb7pzF rO54k9b83CyGlxeaBTSguh6j+Hv9nPZ/XgmF3Fg/VSR4xbLTQAruhli7EKyiUpONgIJuQll+gIUu Bl6cLxTsWGllOlWz/+ISz2eO/kSPOa2yY0SnPxV4AN6sPqm+2uKuxq3+MlQ5t+6GBmo5MOSHThdm ihBOWma7DNs4gnkDByoQo46hbqt8M8AouQDA/npZYk+19h+uxkksT+40YqRELbIUVRNjCgtV7BAC rG1Z38k4Bij+H0d6a2zOgzoX7yJ6589d+ZLMqbY92FypxdPh3OvDU65BSeFpz2gQ4goOxhjNx0Wf XyQJP6LcV05ISCYXjUEa/T07k/syWzkV+jqGc6sMkOBy5OjhPwyDEnbZbtWddrfMUkTiBAJMIzxG leT67JY+fYgIFcsQSRNDgZJbzHsdfzc2V6ixrjFPw+0zjTXHX957T8kl2+ZJ+8qWZ4E6VDrZJ1G1 VPhER3osRTONMCCEo3lR8AnxYNEO47JJWxGZqnGHQ54EHAouHCaeP1HUdjS1P9ewTZhVuuDIY1vM zopgftsZVEsplyj0XvY5qqxP1xjJzA/GtlOVauBDEMNwxWD5d9Kln+v51S8PyryZ/9BOhBYKQ8kK 5BF22exzGRtDxJIzG3qtB5qnNBYdkY4G61EeNdG7HFP2tIYf8Qiuxq3K2ei6gY5DhSXM6Vq9AM0c Sjw2/bLgRwt4WqIosvTziAIqMeGWk/6srSSbzWhiOOUsg//qy05xyMqgRTuOhboI7SSZOhVsCVK8 2PzMZeosiU6UEmoQPYozEM67BetVHCJ89rbDSRdSqqfdzUhMeq8i/VzhbeC7Wvu7PbSyrZ9rikzY nltUU5kOdW1WGhznXcZmdMThGwQwVkCgPZ0EiRft61wfoZTVLzqhvDdo2dG7MxjcSjb8mvTZL04M nYpQoxlyJ/kTKvfDKPUDbLRL+CfpVJw2UKJYk7zkgfeERNnJGBMNPRTB5eEIEIr3XUXpe7QbKozE YFEeLR1sJKCG6LjiKT1WYxOoYQLQ3XpOBFmeuHImSUDn0WTL18kHmmB050zmBPFiWPi7LBeJWXu4 WuIOkPc1l5i5bf9cgY3+ZeMB9xv0u+QhMk4F4Cu1WMygKw0Y+UIDda3NeRFPOQRBFJxhBgB2lpZ3 peHst7i/jr8nDM5F+caG37RRPpMKzdJMtnerh2rWm/8TSOzn5VyfB8W+UlKsDemHdfwLV1M3nyVo /3q73RUAaLEFWDTowP3kKDfJFeF1LZyM/87n4mbMg8BwiuJPvDPVdsPZqWMI5TuCZ0xogMmA907n XpLPJ4OKX5Q2MA5JrHA8HhsEBmVEzAArwwn6pJ/3wXcJDj+XTXrWxxzKxjz4ZrAgoMJ3W/qw0/hH 2TnoKwopvUdlN4h4unLt4ly5fLiGx50SPzKbuQdYD/rY7bXrmkBNpnqcuV+Xbsrq4mThmpWKJ9jE 8jgyzJFwfrhb4VpTJ2XqP7OFwV9s2GEaysVbjqzmuAD/SrbOFYMHqc9AM8j33CDw4hJ0+22SFv2t Zd0Sm52aVvrqfHn1Lpyo6QrMubaUzY9dzkDXsGwPYhz9sqx6beZ5JYFLhXvJFo4VW2uGwAEDUH+p h0v5HMRymRRIKP/GMU36T2s2vdyGYffr0xc/mJCswXLRXG1ToWSTNkw+231IaQrTyH6ut11HilRa UpfdTaIgkmJnCpMjbZDxiUkpr8viP20GOIyGoZlJZ6VwEHrHW6DdozDTbONJmzzfimGKj4ptujs9 /pziOgMbr21OCE8x6XCVt1k370z2FGnxONDUwUSK0bOE2zw0/+eAgOjTiQ5F2QH+060OEI+O6MuJ XHILt1e0jF9X3kEaeEgymyjZCVAnkN/Pe5Zm2lAfa91t57yltjGZfAE5WUFndYVLtcsLAqyR25s5 8edf6FfxbAjDNVuzl6/w3f5TQYewApdTGsP5A7++3qk+Docfy2XjivdbM7u3S7+MW8bsP+6882UD gAQFzO900EvuZ3RB3r5VdgWGaJuBMf6Qxc8B53NtgjcpIAASZdmQUhg5IavQCYXIbBBTwDXZo1Dv xE+q+nvTVTHhzNzQa/pqqNXAGSVr9YW2+Sx/rhZh5qBbbxm5YVdVBHZBoQmgXjSFzSbdj9qZbWjL 1l2h5ehbZk9Tf9te+ofFhCbPnOUUnCN9igdqjLLQ0hSw0Ufgn2WyptdksVjbzR+9zMV69r73PsT7 IdlES3FLDtiPd0VwMAr0YZAVoI3CrNwbE2tCLrmTJTw3wbPQCvhEvpA4RU6KFq1eSeyPS4U8mtAq YTNlh1ID5pINNrMljfUFMzedQN6SMoeSn8Yt9/xeoTyVyk7kkcBnmBhkaPSPHzofzSrFz94K3iDX ahd2s4ClNDPGgZIEvd55EQ9YnRvcMDZNCNL33mDJEhGzbEbrZd4mq4HvgOxqTd5XHtcyyiKQxW0t 0qbC0uXgo0wavuaUEp3tH4z56EEpYerT86MVC8LlM6r2XlKufcTZzMYRbOfKeXIYeU2HtA3/J5v5 mEUHkGHBwVJKe0hKD+PDToy1qWTOopcmv1H9IeG7nbhDW+VMkb0jdwIgsa0nPWNLuiLwf11EnEaE xz4GEXf1g4ltCHalyBhko3DofOxbva2omIe7CmIpkVmm5ggY9DQgMUE1D5ZHvf2qthQD98Y6bRu+ xVwHBMY3khUcqNVcvV9Y4rhkmZnkw3U1oNynkOK8q8MT5jh7szQgp112sM+c6vfA2pzfqHRWaojA PPda9fZ+V1IOXdl0mtbP9j8ER7lz4/fYQY+PlT61tw4CKthy28nlNvfL/XEmPqsOQmR8lB/DP+qH yTkWeFta6qZzLp3SqCRHQtSIEb8rh6dU2Vl13Qsr/miGtRnebNiYc+r5C5bVx1bGawTJZ/yZRihN 2DjOyH4F66v6UhshChP69DLupqK3hnrWcgsKmXh8LdEZ0AoH41r/zUxde9nFwf+Vx9nySMQh3slI f2dFKoxWmgm37KrY9SWg+0xjTMa6NTd+i8J5VPhwiHy0YMiFZk0SbTg2QOEwxit3dRkwq9AsgMDP cGhmlxZ3fDDWEwjvwoAVqhH1T24O6LDQgDlTyjZ2LHciZt33L72Xv3clP1fV73NJZYpceJZAHpDa RiZJUryMJIVKycqkPS0z7AdgMipBvpfjkKfkIVcAFPdOQAZjayKv3jnq71s0W8BagG2BzPuWF21/ OMEGfMfMiluvClahibvU1BPFWvwCjiTZmg3TK09Hsa+Bh72ex3MT+P0Q5WSlRyMI44FprtdejSkC umywECtvd4zcLg00jqlTtWRItA7fQNtMEhqLsLExhg0wTOfAocmXwNEELIKsNhayfdZR28LLrqN/ ZhG8oX81hLWVWi39aAqG/qRt8DtS3/pMVQ9jhAk49OMXapMDjePcKeAlzDW70DSSIvRWks+RBg3i ei0a5DnLhHsBYwtGW7i0zz5RtFc08uIJds513lY3zp5w2MtL9dXKnGGib9SHqH5pd9OaR0yLf+Kg ahBjHfzeoZ9jP/7PSIhyjej5O58kpx4h1QVKBfxDi/fa1q0dOLtFucqLGtP2vC1UxA6b00VCec4c hAl4RSuWkYIWLHihjpKCseq0bWuEW9y5d5hVJ9exUfVKlOyL+jfoXZwDtHzVH4pfZ/C0kfj//npI BtOGTFyDGmuOO9yME7Dxkh11nNarv0yAV6xD7eJ5wjjwGmfA0nYzqxZWTh63E2zddXQNWJg/o1CB ymdbyej58Dtf6yoQppVC4E/Ea6dTRUncmP1wp5If0MLrG7c9aET+pYLWrlqUgW+Cyv25cHIphHLA 594SXtFwgD59YvtP8gjKgsTD26cPXmCt+1nuHSKB8l/XHxba3zXB1t49nUFH8WF0EG+BV0fZQFfg fT24+OJgeGx28vsp6dVaF/D9l4TaQ9Jzr0mAcVALBGqiD/wsvgggYjg9owmLWIefVZ/x/6/WVYyc mOJQtNsUh6cUNbqCF1YrNWdJCEIi71THxDomBusVCnn0MAOzHoBweGJZVfyRDx6SeqClQJ1+S9Lm ZY/PRzAnjAPF/SKR93vT1xG8q1XsO0vj+4gC/d92BamIuCEsHjMFhYGRcQSubTYITAhjdZF1Dp9m yHhsJDUgSvaA8ggdNcoGi57nFUalmh0poGCvsYtkNGXmu1J2Nxa3K7oJWLX2J7Jt2VgxmOEJtX0e Dw/7dW4uUyoeMTIWNC2x3LzBJ+FbWJP6ZmGnZ6lw9XTu9DZuLAZQMLsxHYb1sjn37ors45kZZHwZ +ceN8Q7OHAd4rqzcDjIVfGlO5EBN5nOO/+KsVwoss5bPp9fenz1TDDHy/o8Yk1eo5hzOg2OLeRS2 gjaQtGhpWfkXNOKYxiU7pZK6wW7dKlzeUFgs1tJR+S89DRo3429OGjRpWKCwHmSrW5Rxc/dzi+Vv OxrT9O5KGf/FHXw7VND8gaN1meUXvUdwquZfUpwRD/ovX2SLXecIXoWFqVqFnU3r15Pd1p9Hvsja ZQNhIHsqCuiRztCq+eQKuvhOTK34Lsy7jTq0HCL9nIz6O64JdBnQ0TC1C1jABm6nvGM09sgcL+7/ qsOyEQXaNYnEbl1nyUm9ZLCH03KbUfW2ogpYMLSfl1CB8dJlfXsQDbauUnXqgXMsZ4fNpU4cltYA Ph1GaUuaphCoaLVn6GJPcU6mO0dDgCVyxsYfPYt4W6u2ymLilon7QpCjwqnNiKJZ5jTI14GycJhf oULMLzjOID4lFQ/iBNjecOknAS55CHMs2OQBz9LKKTtAC3VOL+sAU62QTGZObhOm60ifv611+Bby SgGVutbVSVTTKU+MbNzg7kw4beZfI/Rx0ptF8bEC80jWMFKdWVMcBoZfZAYwdEx/Qa46U63mBSy4 4xaeLnqBxVsaOfrrRFvTbZ+8+TTOC1dPpEYwwrx/fSGY+2Hl6+/pV8YwGHZgL5IwlSksKUgXRRWx UZP8zsDUB0z9Kmsrf3YzeZH54VUipRJSr8xUZpAZgHb9Vu4+Aaq2mx+SKUhbDmrV/Xln0P+6DL3z IHJU3C4cZyTbRPDnxFMybIy0xW1OUEQ+3ZijmVdEVSqhBIHDuPpGaeQSPSG982DMveIHfp/4SaXp ZcTQQgchMHSijjml3sCpStDjUCC3/16G5nABOYlxzgR+DGHlgr61qz0PkaQ5MyYZZmk63HY/UNpZ /gB+pJIxqhU7pq0eTx07HB6vURmjVkz+S/CI6pxo3Ib+9YR0QhYx84x1OjroPyXzvi8cnhDBB2hI 4YSnR/+ks3iWy1XcV26zPyI8p/7HAmQsIAPt9xNhNqK7b5x9bXJ4To3457GaMEkB8Zgki+T9mszY oQ73ZhOSj2EvN/R7zvB0sxV+lPbYBNir6F7hoeaRDIJgpkAP3JUFJZP0riz+oWfNTJAHO1+h9Kmt UNd0m3pIgJ/6Nw/4ZqgRfXO/1/TvaD/sy7Lm8Qs3yQs+nuGXdY8UsVjbBzHIW1HiB/NSujjS/esC TlES7KSNDdEZ/28v1UoJMOHiK+wifTQ2p/wQIAYXIy+qocfflW0hun/+SIvlwYG9tarWFzO7iK9K FtidFTTFZt2p3eUSVfvx0lnhcb+FY9gjC447pdN/oSURu3yMTYZturGOwnCZ5nN+lH7bWTAp/CVd CdepjcfThHw4utylKbkCoYhIAaJJk669DrYjZtd+9eJ10vnTt2GyWeGvmpBt7mXhVCpJy5D5BAwe egAiPkel/naJwqa0cND4j1TJQOIieqF0c5Heddfjm8eUkvhFb5jVsSpe5YSECnJr+qtnyQCSxMVp tQN9clqL6B2pczxIvMgx4I//Wt5srXs/fR+KVm876jCKaCnHjAKsLmgTjkp+frgveCqt0D9OocWN dnDHx6sINmqsxFMmN5ee9c008O++K2LHXwulHI2FfGE2dRuq/rWPFL/jZI3GIAZluBIcOq4FeSF9 OS8hUSvFxzbtw7BlOnps3ce+948IodaMUhA0lNtfPo9G8WsfczjDIMk+mFcC4da/fFpM77k864SZ fl0hGIz4DnMJKwl2YQN5ZbNf9TAN35ZRpFmHsv+tuI48BFHGWobC2NhXbkayZWo/y9IP1vOhWO3t 3yv7/Wvjx0uIyRCupyCJpgFw7AjOAfflub9oVc8rZxKOBxmxS5vKKvTgwY1OpVXF8MR+tQQvgD1l SXzDLqu7ceNxDJtwIpyvTfKlcOaq4MJWx18Jv06HYhaw3u7Kx1IOroQemvu2jWrKegMOY2PaZ22d p/Zd1urYUbqRpCsO0Mz0LvrpueoTaA7LNPkH1CJKWB+YfLKHkqxPwRVHtB8fE5nwKUdCfVTdCLeC ODO5hTtO+QEmk4mxOMhe1NSWl+w/y3vrmf1X9YNMyhwvKPjTbH9fim3noguwI0boiGxpzDo1uwiq 2kA2jGcDFC2e6GCsYghW2Kpqei56U29VRb7YUtY1hh2UTZXjUhvUBOGNNwDp/nwg5EdZ0RQHq+rY qZh5qpdRaQn0BLOY/yMcln+IWwqgIFMIGNeOtce3ZsakBV+BgQ3cHjqarfrdPsDuuenRqiM+pn+V UZw9cGKClXDVVtlJAK+kZAY56fbWcDzT4lO8F4p7R/FMlZDPC4JX2jaKJuwFh7kjP7ACr8Js4kfz Wv5YfcosymSUh1nIb7e0/E+c6CZmXIOTbLpXIOTukc4Hxqcv2WMK9nH8QIG7R3aK1h+SKbEMICW7 yrsqGCNYidEoyHG5ZrDAdlh5tY//7DPrAndXLLraXhk96ueHBeN8AIJgYgHP65nieukboeLoAxBF 7jjjrJC2aF4zz6EX9dQpo5W4CoOWLerhUnFr3Y271b8pFIxpTnKfeQEGZRkXNyi5b0f6YxtE8BGr /s8TRGGo58fF/K7a2+4ZC+mq9xxWXClO1h1hd1ED8oBZ/yIRnZYCJHaIdYl7VHrHxzRhGyCdgc/D 5eHWMySz2/w/awSBuYHEbA2Ljg6sWcHCmdcbKaA4pKIC5n+csQ6a7VHccikhziWMPPe4D9KAAvYE Zs0XW3gxOz1iu/LV5sNDgGIqbkQI6RoNwC1TTBoGb3Qh11R89vnL7wisymhBy0Je8F7W7atlG4GM A7ea8NifqgK8J9gifnlKO/Pcz5APQGimK9pfLah/be1FF0AJ26deyCaY3DFmtFh9BmJZ/Jo7PEhp pe+cB5fs0jZDUz1qfrNifDS+hE8s/k812CXJNgMBjGPWL+kMjTU8+aG0tzzKH32O2+dJ+oBjpwcP xxvKjmRiexkM3imSj5nufJkG1cDmGKQ+7gGQrfVNXfT/5JOpTbm8mLOJ5frYtPjQVmoTPNPZ6Sc0 9+jbD4Q6+A2yuydYvdpk4d2j6eP1rOKDkV1HdYM9DiMWaCxJjK28NMFST+kzx50r8XSqzPANy8EA QV/Ns2q4MAv1mI75KQORkhYcpuaCo0Av29cUj+lWVHbAV/HaWB0TZSalApfTMcWqXgXBRAEi46Dn RPxe1lekdoqgZxuOUA4zYH67bvwATz9ZgAVT2kvmwqnHZQn7JbphjC4OYh6XwYBWjuWeWie1rynR GbqM4vBBbqdGPT6Hh2vno7OIYN/HYAiXb/3V6gpzizkkKBDy2mOdSItlIkgQd7OrdkX3RO3vfDx6 09Sv+ws/ZwhU3NipVgLRvpK+WeWx/nlOCJ4YABtdUR9apZIZQYUMuFEABGM6Dfze42czX6TNbs8E l2vv9PyV/Q1BdtqgX+wOaYuBu3y80tW8ExI5rImcHWFTNjybnpA1GgznaRAQ8WXMTdQNloPnPXGy 9EwkGBEm+IqT5NJNNwzlYLtFDE8VXCuQfOR/pUhQQcDEdYoebulZgYg8ZV0LvQpvhKOY3rMSx5Tr h/2V8jg1vUHu217MRqbW00Lwh6P6TppJ4D/exDL8mpUbAvI79rJHxoqMG6BZZVAJY2XQwth8qknb YA1gWA1aGEBmoiPFqr+L2Xg/q6g7RputdA7Arq0TRhViYaRsIHXnE7/zXbKpezD6x4evc6+1T6nw OYC21v/9eKrjjezUQ07DLLkMvfYWCge8Cmp/PGimigGdOuymMito1uF49knW1lL2srdwqvJLi6Tj ExkAapg7SQ5cd8wNxqqPQFiTQujfk0+RvCmQRx1PiKyAYLBpUo/dIcoGOMYl/8C65lT0xmm7smH8 hssjxGntjdooFtvybfNwEumXujfIVjdO46wOzft3NooPesRaa0x9Ramjxedc92E/lxye7ZOoWdPl ZEsBIaeMu5dX3ycoqEQsIA5ANRdAj/wkR/tuzVlzdjco0GX+lRfNOLauE9VZZV765bqFocqe6I7h ZFTEgdSddd0wh4qb4d+VSXL7CQX3iNWrcDqfKvMYGSZ7Oy0aJqsztQnL6A6pmq9Q0Ggs+KrBHQOo Q5jYOwqpkEkh/knlnxoZeX4heD47zeLgqC44IfE3PQs04bra24G4PrJl22sKHtWi6LGkehw98+Wm gZ0+pqWFbuQUzODfiw3QRdGZIT/9hD8yosGzIw/UfVSFlaUuPPOChWpk/gevA82S1jhq3KMzmrs8 esa7OKLX52V77TFHAELFkj4x1rzWNbt85MWJv80UaVgEdSEHDa5JPMSxFbtXLM2p+P6yDRFovd0O rZX5YqJ1u5j0n0OppdJ1933xGIs3AMYuYbbROwSZwt824prS7LPIxgDvhDp7Gw+zI1Gr03ayAG87 9F2/7UyaHmEKJJPVdjE5+fSofcxs6oQ1ieYkuRdEEIncAMgbGny65LlLBVbaywJK0DIwKbQFzM50 lHdtEhbqQ72r4rll2iLzqkfOqK7vj1Av7HO/KPf6PwI9oNeg0SmlQqGOQbcrvBeozcqiNoFdG3q3 l2gX0I7nSD5zoAHiGaHoj5Q8LS289ESKljgiScQIwDi/aOoYvcB1+I3HQhX0cqwsqslraiAUoTJA rVc2kefrO9qXLKfGnjbT2ZWMwwc3A1zSJUlYJcb4yJcNojC7GCRfH7fZgN2gJV64e8rOVO9LB0yJ M5x0zijR+g+F9DL+hSo/oT3GcFvclqVkYRzD6RbzlJkKjd+NfPoTqn03cMPGEUm50fmlnjsJxDjI g1fBaprIsLJnXNIwA34q5sggoR1IH7x+VNoCF1y1CWaVULtcJ24iVv7j4869Ko3nEyYQhknuyWwU a+Z40wtA6WVfoi++PFCwHzIpgPPBOBdJySDi7nzMN4cxAPUWlvRNl3YFNtovaigTLjBMhSjyk0NF yZLJWhpGhdePLa19+mAl4czrRYHFwGXum4LKLu/SwYlnQ09UwqYyGu8DW3CdeALpdP94TZIbKaWt onHpGWI5TLqx9qsGbyvYGfsskW3GNmzoZpY/UH649/8sPD3LlQ5PVSiZtqf/gpeotzcpjaBWdei8 a3USoRQQyz9opQ2Sn3HEKd8iWQLtH22btpRbo0xxVCG05geS5kQUgqtfxz9D786ZqhjSj8E0/KR4 +mSRWEJUjgI7QsdYna3TjV9lKOxPaZTlxYKd6x5U7ZKtcSwg3wCciSlfFoxlyQk0TO8lC/5Pl3yJ S/R7Vs/WnAlReL4PHx8B/Gb+qDIrZtdPbuZafGcnYSLFBBd6fk6RcM7j9hZi3KjOQpJeSnQ4GbwB bZGA/WrpvxA3ivVPFJI1R12BZDRdmSj/WZ86wct92uTlm6KSn0obR5OC8o9CJ61E/qgttC5b5mVH C9epeR/cGCzpuVfUuCce9RSnJDHNV3LjnLdDCD1oFwIF/VHko63ohmXERy0JrbhvcEVSu9O2bOJD EhDEYp4IHNDqnNbTM6bDT4UGoj5cTstXDCFIhBb2zP0bobEVt4dCb9P+bz1Rp32A53BE6k4W6idz U9FeZSkbFb60kPxdwjypfKhQOlW3t4bpGbHhCyFouF5VVpTq2LZmYnq/MY7D/0pfFFvoGnbV3Y3k o0p/qXuU5gj/vRtUqNuxW7rvxwxlEyFkblD7XFnedi62hQB3FNw4PHpWUOeFi306dqZ8Zg1tCgB3 VPByhI54BMFq6En6uoooBXr7ZOrbCHM7nVvz+G9ppriGsXb2mYJoAyAFlCZLK197rCvrk4GcgKpc i5Mj3+x5WLTCmI8hnRBDF9d93rfeo0jwXbmV2votEPoRL3F4ALTNgN3N0icIGx3QtaIZDMrsGIVR EN6wpq9FxHdmVX0GL5/QklShQmiBirQVZ/5el3omqdD1iRWAmDW6mF/yuqr/RMuDDMAQbrAeUeYL wUu4yspdmk1++9ussFgOwG+NJfddF5Xy8OUU+4kbR99wTIjXK+LqPX+Ju50iAwHlFkjCZ95heivx qcISk4HTbF6HtODZF2aEQdgx8kty5WX793eDs7lA6gQUmf1axoCYpuy3JxopEGjhqiiKlPK/KGNN zmxLdyZ6L831U29Lq+zc6EiDKWNYx79xVGHD8JP9a10Hmbqsrx5YTtwnSri/X1cOkNzHAtysUYJR xH0sGfnSgXw1ndWyIa694iLTP1feZ3xWn+8KH8Mh5cEvqiYLPaBweNzH1WpUeszbuVzQk1B2kmY9 SLUT1WPh60t12fjMfNySNnkcedGw298EYfgtiVIFiaYGoe5UrQ5oIZxIo5p4m3f6YffDjXzjvvSX H82yLZXeZYixQegHzkI9/z4TF3zeaRw+fhGpjLcjLVHmLsovw/OvdA6bO6umZJ6rvhVbj2NnCPkO BNfeALyqfyyLIPjNj7Y2pvOLbI6qofHPo1f3JQEcGuZkSmLNkyBVdsqMxdaWzJMUTQahuyEDViXt f8AuE9fBv0l2WpzHyJYApNOgoouJjiFFwklQfhs7iMjVEN6P5H6KneC2gWRfRbbv2AcIvKsbafVH prKXMxaO2ghn68MLv+GyKs8BvLUZT8tpp0dqunoRWuz6i7xrYSyj6i4fjSXmw3CAeSvfgt2uolG7 0XOS7rUe2MbXLyeFdP9/S2FHnoa9lt9xyF3boueNpW0m1EbV8BydFDyC7M+1kUxXc1FZZ16a4C39 FFWduPdtepRghuB+kOtFN9oSqz60OyORr7DW8zHZLgi0qNUfSwiUAk1ENH3CJ82g+JoKnRYeFEdA mB7idwhnyJZWDX8yCMLgZe+kcDdqKSCiOAJZdIQykKHpjeGjxBxNSStmxhS6SAAYGdaIj+PHlxcF iytISxB1blzrPSLmz8SAIV0RZOGrBJ+Brd8LLOdwgIneln4YY4aOUSgdDuf7eEiTveMeoC7c4mJx dM6Mlqp/pPLxMgBohYdbRnDBRAKsUwkaHW2uzo0/QBHVZJw6K3GFsNu3hYlh3rtlOpVDlf1MB/Vg KZ2soWdC2DCbC7gdsBbs/ADehTDIZYwLwBrKsuPcbVp6zLOWQapH656D8EhGQAjbTv2TDjRcFsOz JZB6Ynw64YNuSVRtRE1Dytc+bEizx5/ONKqji8RiW7iL1/WmBK+OGhl5LJgUBdRxsG9or48A8Un3 WrXYcBRJRlCVs28sGd7fZoe6pA+1I3oYjawItJmRTP+rT21yhy0iOgjqZwFpLiBQdKAMnLlBuLCz aJMgr8D7dQoCj7FscKrPg8LNe+kNkcKepfe8yyakcYt9yEDsLaXCslPIdqv+pk7GkTmPaCVv/DnH g4OdMtoYj6+gn+T6HCYLoOj+B4kMuVUip25q9Vz+W7wagy1kBjpuwAWhJEhC5269UZu6JBAWBj68 1abdbqBn8a8cjyS9BZcjmdhf1jsYEkGn2yHLSbgdG5aYWR+3xMFFEGt7GB3OzIUGk9NFRpKmFCrB tJKUPTG77SCLuY7Q0PZHMzGoV+3QT1MHv8tzLnCGkCIFxVf7O54MO0IwxjvlRz/RHxGlZ8ejS5MY CzaDiBJ20sk0Wwzc3RU+LrIXUmkzMkhQ4oa6NqtqRdIJUqFBnBZbXD5ZE2LIXjNzfYUejjGtlGRf V5rgh+Cv6bRYRysukA0fHMuEO+mpWIC466i+9QZhd+pv18CJcrHgVV0MYzPPjPG1auvaUlLIIGiL htaU8iO9cY8/JhAn56g0gmSmp0iWqaIahcImXIMc6zo2iKSFzu9MwKVuhee8yGslMO5Mn9kJphDp YQZtBSdasQfHAktWRh0idMzAECAi0y9f9yHtr4kbcfdR69bn4lyxK13O8dqgZz1bmRT0r1A61FaN bkZL3ou4i6CQGg+ePFKXup8vWyi+84W40BNqK4zKoFAp3LbD8H/wX/V9FUvEdmztPy1s+gqanVZX xg6NI1JWGHb7t3XyliOC2nmlOSx4lg/z9jrYUiUMPRBiUknEAt/mrJnHSlGZ6U+eIcF39QowVITu OeAqI4lMxhP91pNCMEt+6K0VES0VwXHn0yiiHXXWfwBU0qEC1NGC7mZvmphNj2a1u7FN9s5ojkAB EhOlNxVac5GEmD8zlYe50Ka1wzjhVyO3GWaRebgmcjn7uYD5cjSyqZ2xmXL9rYP9WA9nk0wRoW35 G2iM3lxRIZrHTflhLBFrol1wvR+PQ9OJYbk5c1p72LTNLfxmDrU5AnoTo5lYOm/0MwNnJNzCCu2Z vhM3+f+ENEkNqo7BNnovYo5bMG+c4/TyMDMrzoT1z9Ica7eXfxssYw4gcwEGRNidLsHKI2X0e6Mc r5R6wEj3UMmTkn/WClCXpkuYhddwd1QCrnux+eKNrdhAk20wLb/hEEqUcQw+OHhHeGv8z5TPUBGS ZdlqrSTbDg+qNDx10oRWEpm0/n7EVLiQ72xTwgk9eeiy+b/DupNhMHhEu0xW+J4hmUeUP5q3H85Q zlMsULAkSzJe515i4dc70eHuQBNZVoIDPCynxNT9SyzGy/UNnKPEF0FB7xqtHwJ2J9BLOci5EI2/ 0TVWGNSIWnS1DxWG1m1Es/8MqYHwsJrBdDmUrMupF6ONMJ2vpS4BWckXrUo1P0g+lGez5p0smf6r Qx9UZPTw1mPfRI/WPqaMBwxrpkvTXiF+M/t1Va0YSTm6F23xZLIGgFFQ+/h6s7Rdxb7aQ4UL3l/K lbeMR1aT4eCfG0eQeAvWT1nuePB4vYY3SYaQpAmWmM1MkgkyS9ipbOGW+bcmCW3MxhjkVdvnfWcz nRSvFFxXUVQG6uDgMVF7QpnAXV/jENM1TSnRBmcfyhKeB/yOcBsurzb7va6yTGLjxa5j8R86Y9HO p986Dcp1NBuoQ/5jo2cfCtPXnWSqxcTwJ+XdeEiVOIv1WAaDvv8g6WoN5D1HG4sh6TFrUpErj1qX W94N0EUD8tTmGxKvSifkXFuh09GAAhjbeOOmCPEBFggj6CSe9pMQf1vrz9AXxvBVBQ4aAbkr/HGp wY2N6iWaybExfbqs8zGLQxLl4uSCRCPXQ8hYxT3sLHj3CrmYYEAO5J72BZer8QFE13XhmYP6+21u CQG4Ugr8WWzVIFzGXyLRwRPFQ1sbH9XWBfCwRE3VesJRaIgOKts+ACyUKEq5v/9X/LFwqzVrgA7a /EigCMJRNw3N9MkbnbwSM/HAyAz2fCGa4fCCcOl0ORAbLxa6SJrsKVHs6m0iI1scUgNyC13J45Av nhesgza78IPN50dHG4gwT3z66tWabGMLteorQ4zOLoDIwcgdvgoirTWb17oE+ufS8mLD5pPDDLyH VUDXSi9tulhZ6M/L2MHF9jREQ6yj0wbyYU99dNTK1SjeiksKL/vsIoF5oMMqG4RBUy7IXdyxmpog 4N7leHzr0cxjaDqHxk1CCIKltN/EmnH1eIZDBUOj7JuTzpkcYdkmeFJ/GFfDpvE5/6YoSFOImK3R qzXyd5G7UIlgxIW6vG4IgLdEuF52aBVAjRSUeZtX/2fH/NarfKZ0LZF/QlMR/TGx1yBQpDEHivRY 4L4O5M8bY8gntAz9XOIwHMQU5THtNXLIIet1Iu6PTNHUYduEKOnoQy7H3R9A3rwcP7DbRq/WW5uX KzhMwx3/gpoNQpO6BRAC3H4zjQVQMIQd3zm7/Wd+1gYPuQrIl1vq88Ozw+Akclmp3n9OrQqsdJ2i CZ11bP7hySOQeCQelkLG2SZ3xrVxlPcKeoLnJPpgoW83aQBXjYaRUpbKVgye+23W/cJSbYzyc/nJ 3WNTfTQzGjBsLt8vGvoFDvsLwnH2tPYPkG0Ih0aGcLpCTfJbjt9TsQBpysLTbFSXCiPQUCg5dP/2 6xmTaQ+OlVXc8K1wc+IsbUopObRtkp/Bj7H7kIkcA8i6iNQpg0XgXKt+EkLFBccEEBYW1ETJiW96 ykIxTzWV81AYKKj0XKXDkw22fLr3mK8yD9dKV00AkE1/O9UvZ/iMsk/k4mY26fhSbKOLGz2Y0Wi+ 7a9trupRe3O8/Oz0EojZwnfxUAiLnDflG2/MSWv5IiaMu2YrWEKSNBfJzZYmvYzCLhGba35x6xri Ox/JtjOdgLi2wpWbMj8jcfzr6xMK5f+XF3G1NtO4q7apIjYNnZ5fArG/U/fbrQ1TXl9UMe1Cr79n 1QpQ6Q+UqsliSEOZKvXFl1dxTpylbOw2V8GI4ytH0eo5SJ9FFoFenqh8mZ6iILFSlPxGjYurcUvE lixIcB+Mh2Wm+5Qnjv6FQQ1PZFdCfNv+pWB5enn58iZz2HsDx+QalHuc744L7Im4gIr8VKFnRFM3 mzIRjSzuEmnk8KEbIgScXkHj39BtkDV+VIsnmKHdjuiWDP9IqjLGUzsZjc8HD6pS3emFBZwHpfTm agjAnKHIYtYefG4NjPt3A8eH4rUTexys16+bzdHgFkc8NJg731Dka8py5hRlcQS1hmI5l+SEypAz CdEmj8SMFoCFjDoJ4GaWAyZCUIJXerEHv2kfMwrYCOzjG76iYKS9fIULWH6EtSGERFHgNj6wTkOs Xxq8lBVWFfmP0hkHte/po0egRvdozeSMGTxQh0IGbGUSDqPgbXkla/HTJmgZqFX00+Cs4SFJetNR 6ZwhPI++bk06yHZtfc2WE6CmI90tEwD0gNORvSrXHaiN6HunfaP5daSsJ2fiG4IHZWSy5NogqHxu tJ/nqDi78yBXcMdTliG68bL+8ITEYZpmkz69YxNbLawtGmMMEoaaFINf2q8RBDdjQ5rU8zG+Qjbt xGWTaCRlDz5wILu/O9aJexYpWpnHj5Hx1nhRJB5R4UZTZiZCVS9ewRpQJ5kv9MBqIv5H4l0wBfTt xgl2v5PGg64ZtRqe66CZitufnYy7qdZsYSdMPxXtU+FYDKg2kCkIdMQ3E7eKzAxcyMN15+FDqW4V ExvH3Ry29BIGCosQlDc2V5WS3sglvIS6OCLf5VNDpeZAMuPuIa4I2JX0LZ64xqwdLTmQY/IpQEn+ e54Ptr6HlREm8kXfkM7uaxSzC9llwo/VM0Ac9FsAs3EHw92YAfj4ekDCiMpn3dPZnW1dVhorlGQx Yal5JIYPTtHFiFAZ9dVyNtijQ4akJOaeZy3OjuPKTv7psm6XSz1U4A0E1ZiLZltoqk2jlwn8IVe8 bQ0/UIrkE/0iFSU/5pSQ6epZjhFxdnKxncTNRMpwpFd3J7VV2sfEOXjmlQQPMMtmRNlmAn3sziKQ XeC44g5w16m8qW+Lr5bAWFbXqFzU7h8VMwUV0byc55NuHr1DZQK7MQ6cXJ1C17Yzv5aLavWICjEq c+7lm3yqTkcaJhSGhQ8ZV0H0HlpmHg6FTk6HABz0gTeh7xDxLPZvUzD2zdbJURjxc8To/W/qtnkj 0PvDz4BzM3X/f5wpupT0bL2tTA7tPoGWKIaYiJ3b8GAmEVo0SdMC4EcoieV5zQ+0QQLQkl2D8Ein jrBFCEClGJsqMAV239sTksTNYaxzjcZvAnDvSsUW4Sw2gm2Qz7nF7kVZ7joYwVn1M6QPMyiNmWcF F93dIxoLElpQYSGvsWkhv38T1yi9Dq6ZieTkFl6jyELi4t0kmrAcOF2QMTLT1eB6P1WJysKY1KEh /+R9bCb9A/K3hcioZXbljNYvK6DK/kaYs+JbFRWZ9XeM+lRsbAiWNdJkUH+aCzuTY8ym3wO/6dl9 Sm/p8Gm8t3xKsUiebmRRqSKUQUX+j6ifdcxsptKuLFsRcJXACRn+l4myFntCSOWi0bDmqGg0O+Ov jb3Pr08ZRmdCoY3nYQjD7yrTWRidtZMyZxHr3qVFMtxOjSLg8QyAcuP7oFvXafOri5/pqzPimwLP b0L3hIy2fRDVRNJW9wEZ/kJBaqvzKET+5TX82IaTjO3Wfa1Q6iFYDdu4nsNjeePc1RrSwkc7Mx7C Z2e8k/ekzISl97nl7hFn8tEkd2IsMYf0kwkdFH1qJfeP3SFkLqXMmmw6tr5lx6Ov+brliUbYiqlo Zzbiefu+UoM1hAeLG8JpSUILKJeTu0ZPdz77f/9NUBGZq3DlVF8oiyMW+4ThJoVfggmC7o2JR5lS ga5zVA6VVVCbO5i1q41UV1MYZfNigch1JDacNflOLLpMrA06t6+RewlIhu+V2jUvLmDB5Bmre3Rm bpi75dU+kc7pKHEx6MHZ670l4WOMddhefG/uI4Jj4yp6Md7YIiikIfQsbOgzqVHAWUY8kTFLs0Yt TfQbxBQRjiBd5+5KblUVN1psMI6MFGwPzlfk1fe0a8L0aCKNSBqmuIc43ajqpwweDmb6j/+CibGe 80jY97ZiaUd24FRKPr3KAWZ6XvXAQv5Yp/Lba4Wg1MXTEtz2on6/Fa3BaMn0ceuI1ERTnOMw/lS2 BQgsKeWkLHFdLSEiCB9V9aE5/5pZy5KO0ImOm1zMozLD9Hzj6wPKJ92RRKqcZABPTxc24y0Gp0vU jYU90GBAbK15wTJlYSXLxn07I4NTuzXFqhus+Wdtw6DO/byapnwVCVqRrvH8kZ2sZVA2P80x4yew 0WoVThvfjYglfK/SFUz+D3Yj3ndCj6o+r3uLlabN9teBZPM7nI47fN0gTogW2gNqPw8SuUxOV+7I qKaS875KFI8x6tAL6AiEFs2Lf5EV7q09zBq9vr+iNLRbaXrxIa7vaklJ13DuTSoGbV+AFapfHxQV YnI7yf7znrSPpMwpzLIdAaRb3/DbdksaGf8e9YzjApkbdjAAwRwybnnk5Ktg/c8ZanGc9r7bx2Vo OZ+/q00u7uANiTWHrlXZazVbyoyWteV8mXoE5uySNpIbNrdnkmBUfEGO3t+H3raZmbsgq6nHwVk3 p8W2MnEl1W6GpEuzxAU9i5M4NpNOX36jqqvLurEd7B1/ci6WfnsIDdtyH0YvNWE/R44cXGQgVpGK awVAIjCaNNHZaxr8ED2aI29NoGrQLj5FoU41248iXjQABKl6NUNWU5bvRVxcxbdUHtWeI/ebAgJL dRPVid6hkflvsoUF4cXtkHEyyVob99T23pYBJcLC0ulNObVtfkWKJ7Wn87XIl2fIzB7GT9mosoEx NDzZHLNIFOtn9cAWiijN2Ll+SJ+kL7D8aQbXqQK11XbUJfvt8HAzb47m9HVcSdKEZoSPJaXAR++o upwBpbtyMf5FSkzUYJ6DIuWJ0TPwb60uJ+OgWm8+Z/uu4THGDIkm1ps7M1QKiBFQxl+BGR3MHCo+ DN4WVAclk1kosyqrzR1g8pUo06W7xWPuLsVJFvl8pIGlD0Dt5cyj8Ih4TWcxjKdsYme9lLIDxk/g RaaFwppeOylQcZm/9bC2zRqb8Uf3uSbjHIt0bopEGlvtbOtqX8A1e6ZdkFMUb/12QxeAPMpSXiDU b6RaWUMJydAY0LoRVRw1009Kq1QgG6EmEMb0jofndhHLzO2ONO1wpclLLaAiLgKnJCkie29dF4wr jW5L/ZZ7zeKUclbZYe1aIA2d8vLewkKyz/kp76/RN+cRX3wzrOsE6NjBeA3iExtw780Lwpk9xPn/ iz/py2U5eZgLOH7CuzmJspuIt+LfhzBLZ1B2inUkKtpkxk96HdlE8ntP7v7LZPJBBqip6Z92aA8k 5bZHdTeMT5BNV90MZsWf+FIyAcxGwzdASs/uBIujALDc2xF1fM0+Ry18qsqARBhoWWguWY+sS3yR m/rrtKXTRFtk71Yo4H2GjzC/29iEUUkIJ12c34P7C7ObLX3tzxBM+yBHUZgpUZ63Hk7qdPSCKwEO aka0uZUTrYmXAALaWM1b9tBm7pBj0rHWq1LU9iU5zChtJYDLq3GyBvWp/qYqRc9JXUm+ugVHsCjw nbHZcIjn+OUbT/VBILZqwS9aFtvpFQWA32bgj7IH3tNB0zPgmBFXfNcxe8PrbzNqbFgF8ynPjX9N Une7rSXOWe/WxU3fDTBZa/1+1vcBHwCHvkf83S44+n6sE1YFC8WD4FvP4dPmrM0Yu9iD/IO9+dH6 S30rQSvnpsd1o+akb3YAejNiuq9wWaO85LPjEKt7tW+/dCAm/HWdCrQyOW8xy3LY6sn6d4gpzkli 7r0dfhMR1hHWwOcWXxMBg8Yi6XCL6ytkMJpfsMmIIAcAErVhbTJg4sLHqVTxpxsvyyl9veyzEVeV WgYl4wlW9Im9ewNPRKQZZUy74cF1mHStSxskC+qqvDfIrSv8Odw9MbFY4zWfY2geNKaigROVW9i8 N3YB0F74PiLD3UCD20DRwy6AyKHI09H6WDJd2NfgSjtNo1yPp/QO7RXSBgKz1HlktYzKYjzQEaQP ITWrG806bcl82JYj12cZGWR6AdKrBzZVuziukwiPNjX5fxs7/KBTKlL63XtuLYF896fmvtXQgCye sJRDaZxHrr2F/a5nPC2rJsKeh7F0MrJQS8Wqfi+cBv5CRw00MtHdZ0XInYqc1n60RgzzDKEuE4LE O2zHI2wcqqF9GvwVN9QfqNsE19ZI3SPtaRlUiN9gHChO5IC7ubiYyELy/EvIHPzUjKAXFS4nrtWY 3kznhqPQ8Nxh/eM/C490qXl20Ayos7wlnfkKPthBHaF15RPJ03rlOa7pHpXsrfsclYxsGj2DwYFX M+9VkK2OF9fRUPjLBc5ybmCXJEJpTmzJllU/4bEIsngWOdmstsftbHEtzkEt7Vm928vpZnwT8Kiq GGfzCW9/nL+ibxyJ5qubz8Qbgkrnm6SrAuJitWoWRo1rYHNblVTmW3r32uK3EplK/XvJFCrHDl/8 BeH3+iifMyvw4eTTWElrPpeHBsEmcYSvGY0VBZ/qzKUYXzPUio+eyQSRqBQ3z5+P9HolaZDd5Aj2 iMSpttnweyTOw1n5FZoShlRGNd9tYb7RO4MrsfCE0DW2na7ZbRkqiLu3acIqpyTA1WSVAiV0gZGS hloHWXjv5ESNx6+WPsLwRinEiWw7oPPCgjr56q4P+6ThYdeEb1NT0QeCQ6aoeaIZdR4rxLsntQFK CW0yebI6yZZSeJgukGmnQJDdYTwGFBig8eM+UCSNuNk5VG9NYctX6HIk06NFkcf/xr7OxU8vJlwz U4azYQ0svPosyEy6LCJWOx1ADA3JmEw1secEAeKrpVVa7X/O/FAYFCRT/C3XbPe7dFnK68gPExeK 07HtZH9Ua5cyn6Gplpisy7jJXCJggaD07L1yb4EgkV/MFNUaLJfJyJONjNAOd7gWg79We7fIDv+o OuSJTr0O/PsKR6WkhFAzjnon9srPIBA2HGf53xB//Og2zVXrmawvs54qqGM9gn5XLcEhTox8Jx7C YQQ3uYBc0YPHJgBle4CkrDZzELRabCxdNjGbIqef5EAue4nmjfcG033Yg1PEgwG9uM0VaysC2KVz F/bUevoEf0SIcElY+x8JFzSVZrMhrSrW/MPxSOHa5XvrNHWCOil040FdNiyy6Jp/suNxmqrjo/Lo pDjb6Z95MkYeVL7WOK9cs8R0BRvuNlox5yzOcDz6Xtsnt8B5X81ZhQ7JzFv1guMO7SslZg3H3wTc o+TJs0lDaSK6WhSJFnm25mTJs9BiNULANKI2bzRRD0EXLwud3K1qSNfuZcUkvlNLh4+lkTwIOBLz R2bToFZnmzElk4mBJeVHy4KEvV+4JZtQKLo2RWtCbdF4AyRxcCWpYpIZJgqbYYW6wGfJk8OhuPeX YFGb4dCdFIa/+2iLzz0F3N2aNsbJMkICh2uFu8lpvQrQjfLSP1EGzF2AeVr6FNP4Z15PLP6HGwV/ gwnZDVhDYysam3B8oXyXTheFG75FeBUpaprBOE8xdSFASjoVkqLXGuCCdWyFAu5Caj9EM1dzoCFL auSFTGVRu1RN0DFS1r4tgIK7ZNY9U1xsqFqxKBu7EhC9fcu+t0zExy/xAt/oDXMXBDpavLfsxxle w9XISRxQgGA4dAfQ7lIQvLGA9E9OGrIK1oFlbSUNIYEv27HIxgLi7X8epdFRriQuGGJyVpn5Oken ErEu+lHQKK8DYdmpl0dl2VnCsOtPM2u0Ducb+g4RvqoYHAm/7ZWWlURDJcdSc8pJXPk26mJuLotk TJGLAY0+ClY38XHAe5xstGg4JEbYKbKBEhJZm6k4LTNmxsvUQ5zHSFtTxRi8AihW244eNyJtjNQD Gvc2MtCsSCUXV2MEE6PnXVDzKXp1r1PprRkUOe+q+gaoaDxwKBigaVCb8iDd/yXmFcVGhm58zXVG cC9Zj9ESdgFju13U4GQPpimYe99T7O6wcsjOOJ9l7ge9Y136zxn9n4sgBCPJ7B0LwGO0TEbhrbkm 8H0XBnkv40UoZVhRPDTdbRdzk7Ii2AVAFVcFOnKuQs6vNIKf5U/K16ojMIEIwex3su2VH/lU5Pv/ G5Rl0pz6WYdoEqym1eHQYGnQe6b34hy7fCGFtHuGEgh1bUtwvvQRL4Rdv9RJlBUCqld8mgn/qGV+ Xbj2Ry1MyBr49yQ7k0cBTlkD/Y7qgoQMkA9+io3uPRDpslQo/TOfD9J/gLSDktDcXFyC4WE5TGFS X3UfoNySFk/oYv+yjJ/Aj1aHKX6K3xCW79+jCHFs3xfTvcgxth62tVKxkAJxxUAgPRKZk17Z8TKs 15L8j6dkNRqWUkMbsfCvZC6vcYKZ/XWdESqkCTCNksU+yKR2Tdw1Ag1XAKawEKfuXVxby5MTcttp 4df46Y+Cnutzyv9nlRfQQm6HxZKJs5baTFZBBElD1uqLcXEHO4oysQ5KinGHh2Nh3AlGW4p5HN1L M9ml8TJpTaiqJVScXnBXd5oThPQlcHcs7gexLB5yMA/9CZdps9lKhpBctSuwLMEcN4FDWcytgVGv fl84KC/TFozT7EJgaAK264F+VmrIZR2CvC06P3vc3532mNZb01e9NxkJBqcNlB+Lvfi1xxaBSCxu HAQx7Az2nSpGZkgxrccz8RYPd9CM/xFLw2ODaMcp6VxYvw0w2mg/Oq9Iz3txh4R/ULC+bpqCHAEo 0ApKC8DlwNrktYkkk/6xAuECIyREuDdkj9j4jJSPdrD/hGwwareOHH7p4jL4Rm0Cjy8o7JFQjANr s/t0rh3aKqgoOsdxCM/ccPYXQdko+RZjPBF5bLJLVfv0P0COAIP6qrm0SDdCAfg/TdSjQOFZ/mSO eD5c2oEQCNPoN8KnWNNILOwVBIeip+ovX8WrLpWqJ4RjyE8Ni7NWcZEthGyRrQuCg5BVz1lZ0DDl 94F5DPmhrsgWiMh3M3MKJ8EPloQ6pVa/tvAoa7h9D1UU7IxXeaq1AhdjVGlCg76tsDNWI4lr7mYh gNzXQCKrG39uvib54ArYUIP2i0DhyDpqYjHg2wsMMW2Rl0ITcySX5WyCyZSUWYgsWBDxvsybfN6r VVTj5beuKFHLDDCOJjyEXszyDnpZCcyjvwRPaBqJm9fgTMq5Ld3EKSfG7g2mOealh2QWw7gcjTOP Yj8yynpQmL6cimFvUEHErAJkwxIDLkpauo5/3SJ3aHmAeOUa8Z2JVyzruq02p8X6cDKJqvMjNIa7 tT0Nig3l1SprlUPoHA304NkwNXnDkpZP8lOSMl2YBytIDEKx91WsRmyltvxpURHlFOWCMZqUcoMg AWYlvCBFMX/7qSEJ+u05gROtCvHvWJK/DzJu5wfHe1uyD9qoy+I72v/f50v00yQAMo5rOkiVqe4A 1mSG+3dFebf2CCfu808ersTMTiOo0dX+tBhwAs7LrbNa9me/Ug+/S03S7T60j0JTAXx0Td1VjnVZ SXsSOMTSxq/y+AWY2IhaoxLWvHExdVbGZwmCJvny/1R8xwnz02FppQ8ig+QLJ1n4hfDQbYjaVI+D 4s7DI8xehNrhXtuHcZV/V6n6eYWhXuIhl/qb7sPlFzRnFqwJf4ybHghAaKSvrPLVYhsgXJP5r6U+ zG4pVSfHK8XCCstoIkvaykAzy6Wwh6jgQnrv4vJN0nGqx286pppQzrRkJqQrdmbrWV6MOtom5Ymn D3yx4Q5OwNZN8w2zP7dwfo+9+jY4i2kdmPEcMqfzXfRNeGJbSrM9w8tLqaZwKhWE03ElTzzmPSv+ fsVH6bhZLk7A0LHmBSpBOyLpIc8AZCwiNQmz5rlzEE6iRf3w9VPZ6NSsj5NxptAc938a8vPSWWIz 4xuL2Q/M9D5HO+hdAmJNUP7gcwK42lFgprVXLPYTn8F5B+ShOu2XZlrQzriyCVXGaL2ccR4RrRa3 DsNEjANPkTUdc6AbOjGjtIX0mPFK+4Zi3ckJZWiUfvQxTLewGLqBvVXQyu46EkmoXCvXtW0A6Vv8 Y1Xut1Y9q1hrHORFHiMZcDKE7IMLLtTiyjbE4NCvnjW0ISlHHDx/YZtv7HOQ+6EAbnEvT07KTxz2 frHU0kwf/XZunYjZDALoVmFwgGf/TeS+X1VR4KsC1c3pk4jG354REYWzsjpAVQ2PIgD+GP036VqP K9G8o036iShkLznctKOANzHE4/Cvf3trvSmAjU+KdCNMDVLMqcOht2VxTBh6IG/gFLvgEtVUNsmM D3ABionbzPhsgf5OnSOgVzhoKnty6eQuh4FDt409306HeJsDkfqdqHEZJQmZToWgTUSnha38i61a ruy/c7CA3KwsMa5u9XeIlBPXWK8QxkFZ56YLwO083R42ABUYTwR+H74720EMqtj3eUJjJuQpU3xF DbWb6OZvJbcGlmsichC+02nOZUIqzEtxlV9r5aZQ/cNjnyJxzc7D04csxQCnSpwhNh4JsZlxfLsd ByjVt5XbU+hTBEQiqb07AHww41L21ngLVXc0ivDUkeQA1xVry/4yoCkFUfDYLuObFI92tkup4a8L DR+3IUuZCoPMyt9Fkus84uawxANyeYr+0yqjGoe2o+LQdA79VUwa4BdznVXrq6vjCoFQ2RkV3YZf SH1gvCiIZma2wXKCqt+fvDQl9nmYyDlIJ0Dz4a6SMYos1oItp6BpVj+WOAtmNGQuQckReEyCsOBB RTVwD2wVpGecpTbgTmiNaeqtWjtsTZzSnxbBd6WXTwmVUW4XjibcfuH6NqAfWdp/IxCYECNiUbF8 cvgaolrO0SA/HMMvqvly6NfEqNaiceriaJpy2QA/onUNjizgXbWDtbKXuwayFeclAAQvvb16ZG4H 4UdkLeBLOBDH9diWVb7z8uwvWnUW/KDQnjZ42eTmqKS2QR0XlL4CPRSfBZkzFvVFNCc0zo2zI6Iy Zhbn19smMmgilyxjuMpx8OKpyzsr9cJAj7TZdgAMR5KhwfwcdA4OfGbi6wbs9wvXwX+pcxeh5yAI ceyMOkHJjF2aFlD14PS7eVJt4SXImvpBqtBHvQDmSLqJlNAHNRNzWHU7s+6UUURaeY3BJzbLbTw1 c8a3g+HzV3tgr5BEFNNg2AiW36vLdLtpVSoh3e15Us24gGzAXP4t7MaCb8aY7LT0//AlvvbftTcx XkW7Kfi3HkASOes3oROmI3moV0MDi7XXBc1ryQgnKLI0N6cSzTwBBARi9HqDweaPmjHGYGkpVT3H Wt2WnquSQisyk5hm/EE4UurRBQihBGDl0FM7OMuGejSszW6wH9H1ceYoHJ4VyjfE/D5APMlyyj9u 7RXLOJRrq5HEy9aKaIpRF+rADDt8dsTgyD95ZqGyCInhClxBhWWir+UAXCCsLzxjFwhijxgPbuiH rDRtScnv/6Q96MfnEdxfZKxS6kXxszv5+BmAKpYKk6+uLs6b4bMXaBqOeWGq0OVK2byLOw2fTvzn zqClu8MFzV8B5K1I5ceyUzDRGBk/P5axnzYJJx1tUbDPmygao+qCWvPxdFyJG1jnuBOisy6TOA6Q A+ga7nfd17lvY9ub6fJiXFdirmsvLD7ux5pJq+F2sZyfB+BYSzCUtssQ/1xeTVl2mhvweDJj6AQh LMyr9woQgD8/5nxmYNbvZSwDDrmihi06N7juIhN9JYgEw13Jj/616yAINUT7sEU15A0I/Kjz1WSe PTLcdLU6aWPvHsuTjaiAIR4m32GSntmdNq/5ZmUP7mPdCQxuBeF7/qJwtUXLcb8zhjWVtkIoUlYF sffegG9gPNB3hi70ZFpQOpLSrWUVR/0IFQbhdpuYKx8tVJ5Kjq4y+62daosWmUCAjzbmcwpaca1M jw2ikcQQQPlX+QWrLLzQ9pVlhrzxjxvNZetHM+XIznszY66s7AdoKJtPrRRam/8I8KbHp+AJ+YAW B0ndnq3Acv3d6qLk6L+oz3VdWUW+IQrmtu0hpozqv+X6XXkYdwZPaI7gxpS+o8iOiw1IIun/MKZ0 tOiAhXd/LixKwvK0VlfCyFQJ2AUa8Dqb7ltkWg7aXMv8+PmqxtSWQad8WMkmNuTXPalB3GlPPM9G 7+9L5bOOY7Zex8PF86tKw4LvK3eYM+zgHUDpzZWgMI/9O3CfmEDQSHGYBC5GxsqPbVbP+MNNzhLl puImJnKvR6O7Pc/xtuR8+2m/YjuEfwjX5nscdWlReJ5ZAm88bdeV1RxAanPnya16dP7+GnZbLCeW zYVh3C9VW22yvRC9avbRT9Sa1su2xHkoL1G/1oh+6wju+QzdKOLTuev/lErrvZ6QUwVW+eB2mzg8 L/7ifoVUp+j4wN1Rr9E2BqFxLVFwSMf0KcG6FBt+CuMvAyTUcJL+qUDgtdSQp6hixCr0Tpc95xUc m0XLcHYNmSRWchxwyLordVc6Pft945KGPAqaCbc3RUIlgsO1TeQeXui500GYHA0hDZr9lV/tqGkm 7LCfC4epqbYSrDb70FtbtHW+EXD1Lm+tfmkamqYuNOVqvgO4pZBOmtafguhxmx+UO5SG659YHrbE prZhDWCyL6ycy+HRUbxjBRYOTD+qUdLjM2iGeR8va/ZZhFN5fb9SM9WXynf6212e+UCJcFiDWm/o V2pElCX5fMnB7IGBTDwOFhP+BYcNQ9nk2w1nF4BxWpUsOgspLTQCzMQpMnJ6EC5WBUtGhAvIbcHn XsUEQhPGloDAHJ+uSjFI6fHM/0wGo8HqYMuPGXKlhe/aTNSn0RAyLTLsHXwRGXtDZiXsQBA8GmoP SJrChN34nnigFaQvYKLtQHiq1qzSq7ISjxVmr4uRot0H0xiNq5mTgKQgi4Yeym3TOTkoz4zhO6fF Zu7UoFapXIW378KxVSLAsJjEx9XzciHkCWIc4w03WXUB8RmTPu9D9oivAc1epsNVFJJw3m4ROKgL ZzfywlGYp1SlY9Z9p5JBppauT3nZVAeja8vefffWhJa/ydsmCxkSos+xa9e5rnHMSEQm8W2ZEOPG H13mezqZsvq3M6haz3GZy1m5GQmk3F/Tr7MNv5oZqyBcV8Bc17i5jXItaMKYkNxDWEBRFH0kahdy 61wU3f2ybpnEz6R7a/abpQ6o2N67qAClqKz2FoR06VcHmNFZtfJikaQ3M+IueOHuTNuOuz6leR+k RxhbExC+DGMFZ9B10rAOIOrH07gFZ2M+v89GAjr1+5KuonNKa8CDRieDDZDDVKkuTS9Ntxrz6f7v pgoROZKo6+SHU8lRI+ufYQ4etqu8PFgzRrR2ApXSxkGatc9xpdT9Sbtwk4aV8jxt5rRnPCPaizgC SeC9NKNAYlT6vZa4YK1GviovI8p5Qi9Av5a22kn2HMF5Oy5o5VfWW7iWXu8JRQOrF1jYlOq4fkNh 8POol6vC6urQE0nHx+bbihRIXjBdX0d38G8K/Tk0RY8jOW3ApEJlsdlclEEJeHw6FVEYmq8drOPc 1r1OO197UHrGOWL2HTJHp3wuxeXKY3rBpspX/LODro9Q+9Hy0gYT2QdXFzSlEK0gyEiGdLjdTe3h mdrg3npkt32CGf3BbtMmnVH8fiBzNxDNYsr3W0sqvDufIo4EjwQlq6QHzL57fLIoGOZzxPCZTXtg KDMalfjriNWpxz+2nEMdNW9OJuzOipUcp/5gBtI0YkpzZ7Gs+duInLKsVEz/x1Um0rR7QvIJmkQa kVtL+GFEyXwyi2ow97K6XPYvLeVs4XQNcYZVhLKoc7Eeqsong1/4+uaOFMnnctMuviFBngjXidhI OrHh3sY//+uN0WKuDHX7kc8qBsk0SX2Smm+blKg8IvzzxbhAzBHF5a3EAezElcAHQdZb0yg6LTPv V7b0jEoTDFBDvHgYB/JHKRzt1NRziCICB00fs7knBOkqmNpPELZu9TwsnRT5rJueyXmMohDFMzTn HCZwZ0y3fBZf8VloDQ9E4Y4PM7IuA3AOwY6EG7Dg5p7l4aapRo1E3uIAYwMzIUOemTbzoe10qAlE 2RR2GOfABzsiuZ3+Oej+qry1YUgZA7FD2rsibVz6anNEzBnQ23HDiOjsNg0zELdHmplygGTtoLVm Zv8YU+JECMc9pG9nenAZoCo6nB/yJa0gmCOuquf8sBkrn40vIQsDM6md5aNKesVwBY035oDsCsLo nTdQMPaEroDACIoV45dJI1CqMPvKt6EZzaFuBR+DIzl/HvfsNxg4QDaCfH0tnFJtWxUnbCAs2F9r Jg2mXdTsrcZ3xo1o2DVwG/XG+FSEpHJvnzihfKw1fYUctHu8r33Ayh4XCJJCCQvCPV6U8g/Dfx4s YWz6pBFkF8VQaNM2HileK9fr00c9FfjGANd3EdLlPiYF6ZhlroD47yNa7u3u8RVyBXqk5C4Ve0/o TPBXDm2Minim0yd85mN7SY3GFiIMH55U9oXaFTBLH3A7RPTkN1fzvbU/y/lDxZmDXFYkWqscJlPZ qTvtl4VXzL2kGO/N0iwCvWvUQwKIAFdc4AL//Sbjk3xuTH0kI7HHBv0qnI6hnL1M/IRM4Fq5Y8xS S9i8ZL0b0kWAuDlMS/XVtG8MmzdQozpdxiBJKtIU44/zJd4hlHTrVA96io77RwIWho9SYOzFRn/G gSYvn0b/qZhoIX+hNeqwNyoc9Y68RJVHt9m/HeuOrB8rNdmA05MMb5CPjAjB1ABeKQIUuDE49GIR RpXmSNBlmLpG1RrrGwkPoai+XpAWPiH/1/Wb9dk6pllyhhoaObUze7kCM+e1vH5Hyj8+PHklDdWp GEghCb6YQ898WvjaKTBlsy7b0AxSS4rFOPkOkRQpoO3tLe3LTcS+0h2AjzGupeMXc7SnI+NIT2fF DZjLapxHyuH8ncKruMxmiV1YCzmdzuEIvJxVhPbiFZCIYtUFF6qxzpNfEUN2i5bssaEdSb7eSza/ OXXx5u/Mg7Woz9fDeXYqBEBCMDCU9enbrtmVbJcPhCuH02SRAPBXZEZWUsgvEiZEHIIfQOtci7Ch emSycFyAMnWO3bQil4DgDMMc2hp+oRePEirFFDzOPMbvGiDf2jtEu6JmPouM38lDu5VDTXzAm1HA 42tK/ZDcfHMm/xTCvu2EBrwMsB8lyef4im7dUdCYuO5UwDrxVrr9cKECUidtm37WPf6WrJoZ6/kw 1FZ52+nr1yaptBwZ2S6KFI0dtCQpi1kUCn3IxACxQwu3wJJ1dHwT2Zq1B667P6rX/ODOKFkTkNU5 PpMIYh6zV+vLRv1MlNqJU4TbTNAHrry2aHMJTWapl0R8fYsXNve9GSSkotBFf/ZTya7mB7tAD4nP /H7lteIHfvsDV0nNoyuCTi7XV3/VHiBq2VOXRd6AyPOEeK9DzbpgkvnuYKQONuWLVrl98tRZNpAH ww0vcA6cjbBKDvctRDkNwyS5zgLkLbeKJEPWzJuHLnMF2GALVwsgdBvWd6dnTae24DBt+LW28q/U PQTCqmFwUqjZoNmnXokR0hWzVaV+hpl9jqSc8xGqYfvJ279s4FOwuLFkPfdhVxzV91PG393BWnaK TkyJHLsifYtFJEYyPHn4/stFlC3huiAz4ocqLsHgdnPrLLgeckq/Xw4reS7NcmVtCzqfRzWPRopO GPuBWamO8Ye/2cjZq7BdjmsALuvMjnR22mHprwdxTRxNiHWM8IQGqr5ob7PvSfJqhHo7w7JQfgNW QQBMCO5QyJ4/U4/5pxcJjMRYrTSKwDyXXz/vUWeJFGdXv0iUMAXOC1g/2EvI91klUqTyHvPjagbw /yLWnLsMAhabQWhjZtOhLx3wW4dx3+2CT2aPzS5vLBNllUKHLrUiZXrHoz/Uc4YPE8829V2Amb1G xWYHjRrPVjQ/j5mNxChAI+Q4/pZFp7HTefupU4Dhxhl+E1WCBtcX2MuHrxIT3xUmpsrsMthG2Vvr CWn5XF70f2zEW/+4QVSdbDdviOWFgpQpjSHlPxz+/8hm/OG27o+UXvC2EV++KM86lAqYGAB7N2sF Y5J7CGUs8pPxcITPYY1nwcEAlnUv8LepHW2MnTBVS9mmn39Weexds/AcfeGLrzScBGjCMcLlUz5w BqoQcAddiIO7rxonKCnV2uLmQm1LZQJvlthN8gj+YUhKLEmkNhPMQtJVeB2ymxo5dq2HsTaoEGyE pRT7Alcqlm/LPe/gu5HGpzmciQ3Ma1EVllUmy268w9DjCBIdSL5puGBDKPpajV4S7fA/ttYkHeD/ eV/rSftanhQCUIzwdseVLleBo3b7xdHCRexAqPM4arAofxEtf6fsmF6RX/TOZNRoEUgk+xko7cBn dTeLIAwtsSQ5jZJ6giW3ZmcTc6ITTXnZLf3DYctuLP1mgU0/lfhR8dI+AskzamJknx28pydnS2y/ b1OufHySGUbA++ZBsxRUF3SsICCJK1EIgqpq/fx0rltT+PNKVnvpwW6tigvTJ9EU3I8XA08xFd4u OlUAIgtnbNa0J7K5qojCDdEL9UA71gIQygPvvMWzNK5NydWvNBrORO0sJ7Z+YxqQMEKRhXf6PwrE 1S+RZpw8NT4UVvLAdltIdeoJnm6SrQQLZtucajohI6JhKBivh/8gDaUIYqCnwsyaflFsP9AqHjNM JDhqtajdda3nSnCrY85J4v12mIVxSU30Qiby/dNZztvf4h5PN4jCRoeVxDllndwb2zBRQ2ZboV1N /1eufHIdIIOQZRKVh3aM5J9eHCWpuyTGxuxj86EkaQaIEExapkgIrBJ7lwVueE8EZdjCh8JE3Mkv 4iFhxHbD+qEZaBhbBxlH0dzkvmioRfzDxYecSepuH/Ax6KxEOKAY9zr92tk588F1kkYT3Ioh/qU0 mS0/eGStGkBzRycn8nMxoE6G7lGM+OHfxcQD0Umsr90tUApGSttrV3bhgOy4TdlZCt6aZhxiXcG1 JU82XjqwIfSsyQMwwfCKDwEsUIONdvLbDfcAyp3NWItVhDyT9SaktpdAid6Lq1HkSh3wxUKNkVbQ XESYx3cSgRr5QH+D8RhhOmwrcnJY918xCv6hIQ7QefpQv0Qa1OOZZtV3wpmNTD//kOOiX6tGlrus pV6P/0zH+yXDPuDKbsnYzd+gI/C1BqYl5o5aOi7GPle6DmyhxmPFmpsG4GJ8bUzkC39tlboagjBV 3YFwc/Beu4udM9WnrArSUjvQusWyrE1DQ+Apiuc2zxHDVjc1Sv5UYzgK4UAUgGIYq5NsTEvWGcbo AG8+lWALEQ6Gxtux9o5j8lZrUYQFMQCLNMg2hfJL89ws476MhObcD5W1o2hdi66xESJObPEu9KT/ jABjO9YgJD55dgP+/6Q9S6Rf8qTWc+BGtSDfx2aUNdImIleQGWg2VjpyQdCbbE5t4D+eHqccZpX/ LvvhviLKPIdakkE7A5HKjOTaaCZmI86c8IQm2Asa2cqmojnwFQzRn3lEZ6xPzX2fzuXI+ueE3OF3 m8dIV1aVw23dHCdbIN1zS2UXaZy7cmPVlaoc+bPEoPVEdNDMF0lFEYoJgBPn/4PfLiJmMjTIz/Zp ibB8H4DcA82zt7ybniggDBi2K0kM+JI2a/5Ytny00ci3aRjvNLrNWT7Elr7b29RgXwj9P99P8U+I gSI4n0RhvjSb8ELkslbqKC91j1PVbDlkuePMmuR1Mc7NI7MqCAPzkoJpnASvVN3hHJQmzKnORX/N 5l/QqUcc6NmXb/jLqYx5O15XB23ZbZwmmJIFhsh8eCdtjZl6SEsJLM2O/9c+5A82ia7e4y4Ms25U elGSkpiCRPjRzRtxqkjcHbBzL6dSSjnb3+jsJyWQhZzsByyLNC/7NQjmKLkS4wxUPjETXvuTvh3i wqoo9VVKpieYtMME9+HFI8xVx/IjzkQkrZFyqgjdvp7KTacKWAJrn/FpQB1turlmWCa/Huz6nKlT x8vJ/pxHIPJLCCFdHpKIdbEKYn7CIJhYuz4GxN30p6jlArJuIM0j7+O60hgjwmgqMK7b2lfqRIyx zIoHnVXsV40Ut+p0O9yJyI+Xosa0Q2gkuq/vSuFLQtiYwn0cBCOBCNGISwiueaKw4VdeOgKOYi6D DeILdNzVE9RAuz9qi4YkJXiJJqxi2aTNjAWxFrPLsFQXbwQ34QH1lACrFXZGBLyDwOJ9QpJeHTaV Wdf5D9Dzk5UA9LuRh1ejg/DL7XjqJ/oFyjIbcTFlOsimEfw6KgrFRU8h18DEXM8g3bYs9agRruB4 OnUczwxJhbjjgnr68uoeXFPGjpioleN92NV9zmv+20f+GP8HZUWdrL2upC6ZE3uDmzi4nx2hwTS8 2erj25xWD8pDro7Bsn6+OIEqureh0ULGHC/wbUrgRnqq06jx6lMqNLaaM1QwaPI+jSz+h72J9F79 FpbUXq/NUqITHofi3VA3O+O6MsyWchGPz9a66gX611ecUNDS0pAnzfnpINwOcrclAF1MFGeVF+g0 sCy0A4SQIvs6kZhByv8WMf6fuSmol8LjbhFykBhppRGvB3x18rBcjjLMwqM3yvw/WeXFqwwnX8zk eAUU5WQMJfT4Ww+tngvIN7MpM1VqL2pdRhGqGB6qIZcwNK/5DJn7I/SHtST3u5H7bLHks1i0P+Z7 6UgZtqf432UFNmbKitljgH8QmV8kmVzI+Nhp+FQ7kmykUZ7/4t596eHi5Z2E3HIxdF5l8sV1Nd6x 0qtnKqVybHdKeO5+Eo9dgB8iPKKPaTtQoL0Ef8ib5qUDrHVTrhllQ8wImTewf2Mpe23mRlJRWb0u 6ygzmc4qGSOClAwiKFuzB8F2CpvNGUuNnSFn9qoDumrrLaCpKdZ4+GgZazsgS8r8xCwOrKwGYdzN Mv0JjtRgn06od1XQ9xj7UIPJ45YCsbEI68aVdAcc8uWcPrpYjJsXoAuKh4eVZVZn91y+qD7nsNb6 F8cWqpke6V/GUIT/5gKJeLnoCKVkBPbYwpyESbEiIvVP6AovVtwX2exYJ3FdC8X6VYaUNWBPGV+M zFOVJJD13Gc47ZGaldFuxTO0ToKwThDBaHe+MIhVz2eC++pgUaxjCvw91AMyC6kov6yUzukwSdVa jyuXhlwRpFiOrK8VKjE27Dieo0i3UJ1imtuLIPJIVbriLDyS35b4P6TmI+QnJqHAltw8VdkDbpVB 2JSp07jW4WteXqRJI0jD8kRHbtNdiWsEgXRtA874CiQKKIIhjYb2p6owyi1Ze5XoRXsvIByJLJcZ CPTrj7iJyu8aQEDU4OKwvL+sYublv2Fpo5/NeoqHh3QwiX3cA0s2iR9WOGzMWCENJHxpPXRCto0c dUJOnMi0qwdysFmt1KEjXFKdc+WIvMxyhbLkmobPo0SCxSDW8nIzSs63JHKoTSdtkX6MEBQGYMLa p2ghSs26ZJEuMZO4IqwY8CwvnJOcG1S3WP68c7aAYdPsf24UZwk1F0FrPi/nbOH9Pcak23EaH1ot gjJskeDPNSJHzynloFg7UWjaEmRKxN9LSP72xerua9wMfGRHOl1npKY31E4CYxV4BrrO4nSjJZY8 zR2ox2lMeh6J95CmIhYAatwLcuKPWT/7Wp8mvMHPKs07ws9hge9U+9qHIfK9Hd7iMBK7jaQIv9bZ FfdqyvDlG4r4jQx66jRJ/DyGXjIJcEojcXchUCzqD2aZFF/Gz5B7SQ1yrnR8R7cx+fXI5DZfzd3f F4y3H4LZxKzImB+xdN1IWyWLB1ZuWGno1t7CFnpKKZzoaFJowMuSKBdvw/ZC58+MEMbDMX3uPnUT rUaf0UHsWEsLyKoAGLoR9rXDTUIK5josjf8wWpQIGSja8/PfziaPYEvD55X9kS6NqKuP2N/+EMJK YjabkIo4VGp7mjGFj+hvbbKlZctAvLfanvXgtj9V/D6ES+/pAkEHvtiqAaLGQa2XBpKk9ijseiwj 3py9NXDKmnlOWHWYmb86P/1qOdqvMweECVk9QE/TS8+cSXAf7AJgGaAnLofNmsxAWsvFkxV8yu5O wGGQkznFQEuDHhIuSKJlKgwQOAw3qCH0TdNhoOizjRAMQlpe3ZuoDYpuu9IfRXl8VYMs5a8sb76m 1fGTDVpyarlBwpftWeAQSP4mWrrE+oMh3iN9PvJrq26rYuaJq/1e/D+a9GliXfiRUXInrJHehQlv 4zFgdtKIrdp8VcqSNLe4DC3QmC2kY3SNRflkCSD+hzaeP9qiWRgpfmh7HX4YnzRgyRTCfGDcpU2v eXf7dJSJFjslrJ2GUTz3mtDQ1j764N433vPu+yKSA8klJGXIwEusy18phB/EfnN6sFAgDrXjmGjv KXjCaZj8N1QVP9WozRmnHn9eiWp8hWVeOZTOgqFsQ2qa86PU56Fj29l/PS0JF3rWH3OAo3M9hoLR RuGQDHJesDBzkvQmtvkcEJA4Rly+1qhOwLrhp8iJqQIOqL/VcImsGAehnMX0HC6sAxT+sbkPJ4UH en9C65ZzhgtgjHd9mwoQx8otpI620GrrdRd1SaYPHhjckho4iGJCj8PbTMyQMQKWlKlap/uM0utL cWZZvuH1HGJRMdiyLSenmSi6BSBESkbgn+NJThL/yaw2/bDFs/oqyJdJvYWNq2baW0L5U2MQrgJe AY9s2fAd53doeqhfEOT/VImDYCSYCJe1tofZa1WQYyDDG3N8VdQNa/+VfNN2JpQAmCu+cZZ2RuBp Qx0dmPLo0v/78CGHg8WVV1Cirh3+T126KvxL7R99MoxsAtmu2ly/8f5M2alRxymIdxJe6jwC7YRl ofjkKBPKp5jUV9UDQP/tRtkDAtzrAwmr6HneVkizxzBqyAFIPpf7IPbbsWxQvZZBqdjlN+bRwbSi PQMvGSAVyD/UcAwmXvgQy536DhwUnuzsY1Q/zROJMbwSZnU3eB2dA3yemjVVJnpPRg+DFolREala 40U0cDNMXXDMJ1JVCbj+GM3prY/PdCGEUzf0XBTBThPHJoO6E6+jbXC0zsdabN73S6sYLD2m6Zio H0pmu2AhzEn9l9xgbCzzQLhmdaN9M1jCIYuxp275T245zf+LVym3beCXJJdl+18HFCGTKFZrc4jg C4adjPiBlhbufLm5l06C3v8Fm+jujh/4qLB8xiLBOZHd3qyt7LU9AGkMiSVds1JVboT2HvnFC7mo 9wxrS8r/5FOxT+1HiVjL6rCpZoKjCWsrZVkW48pHHZsRa93jxoGMdouzJO97qpYQVbb5C5qivSrk nXU7YDBB6NV6ery6iH9VgR30aSsf7xPoqACy+jZs5ybNJTeDbGOZRAsoXbG96LTcLU/Kl2kikFmX 9gTdHa9Z/2BT4egos5fYHGJzia8ebwex9aLigymJ/rCYbD3eklgEehdlJmBsoyNCjd0ehg9BiXoA SRJ5pKiQbEm4M386LOpVgIQKsyKdwXSjB8/UeKa33Oe2dYw92qarNVvSqNVcn7otNqODEH02J4H7 8ln73GBcmVYcntfJYJwcuJB11DOqvEyNdE9TBglXExwbvEw1aDvEQhuopNnyZ9m03MP2/CJFxoHc U3piz2TpQxUO8vXkWUTU+OVBk/8WOixJcXZLVb0K8Y3JJP/0D9Wx9sHwila7ci6QJAeuRaFBwFip apbZP5rscO8AfUqg6oA8R11IhPOLCEy1VCGJVP9sHJL0I5jnhyOMWWcvohyEkdnQj9YETHwC+BUM QL/3y9S3bYLPYB0Gk6gOVOuHfDz2LdkjBprz6LSPags4Qy0fbbMyu7T3q89Nl9ygdHvD+Bs3ffEz KrO7hPZFUlNs9EvP7G7xkbci/Rggnf010CsLzpQYPveD/X3VYoUOHYjlmnT3rAd3Q0rBkE4wTn9F suKPEHAhNcP7Pkr7fMe8fBpcvAadMrPGs3BdtzGYIbzjCFrCvzIKi+OpN4ItWmZT+ObQdGpujgK1 uuiJ+fMoPKHConzjPd2973Dlu6URl7Ldq9dP9OL+27NCKinKNdSPw5qbWN3+G3vXctxIADvOQV8c Jfec1UdBmar11myIDAGk8RP3iSP09lJ7DXBh0By597Cj0rtZYuMlmdMm8x8wJPeuvwXfXYFlz0RW QDgCH80UVIFtQ9aU00euSqEMTEcd/o5aXLbjV9H6tDQE5DcjJqEzUQueT/UuI0ZmpcdvN3ccBONb Z5mUnvEKm+kclJ8ToJ6jL9FD5aVG49gdd+ud6cdMayxLZq1cCrVdyGbLYsFakwEZzaxDnDC8WxwO ELgAHVk60J12yZYzw2hgcsiQ5Z0Mr3tbASiZbHPr2BE/iW5yoaHj1wUPBiPv/lTX6meQzvk0p079 6LiBCISr2mTKkRp1PrfWwU+S3yrSvvR+nulUzF3y2SweoQmGynfyKLQSwH30E2MVPa6kOB7qeAdU GvPahqHE2ajY/o1vuZaUFqH1dy4A1giRck7uFqHvRhLVwC0faMBdAQJVDQ83pf79JRf8HzeAdCC5 rRt7kmVnWFjAg2a8FLRKqDa7KMDXJQMi+ICSSDlGTxQs0dAgAkLSE7K0KT4DpgQrSJzIRUvhlGEi 0UD/3TIDrrJCyjw2jGt4QdZxJLmVqoU4+9Q6fypdOqaVEYxF8t3Yhjf8upGDb81jp4uLE/gX35xH RdLF83roXfnGEig9czZaGadKEUrDlsdOj0vS5y+5W7PpbVpIcvUZZDJhTM93tEACyr5CZoc0KXQq Q/3YAaP+vobzalfd6ySzO1w5pmBtp7QgfXHfV0G2ior7y5KbWrdNe14uoas/GoHTFJQEMoGvQTlu A4H00rb32CcAfcHbBNrjEWF5C3/50gUpttBXuIk3fwpVK4fQnkfU0PZBpGEb8EAJ4YWpwI4UDPYU eYTzOwuaBQyLOTFt0Sfj2OMwiJVDLmg/RXPT0C64zDOG25wf/kfSrfR6Jid2BGALVF2HPvUQGr5q kb9BCFBxeUXag0rnUo2B+KTTlvrbeiKaJa2TTLrDhI/vjhYgKGABHsZtLklZAo+fES5rh3VGcn5F XyXZpvvJOJmFX6CExdXu3evveq/3jNZ2sPmvLkmofBRtlRGVA6eO6nMWzTmHhSBm3KIwZzDa2QFK 8hr2e+9zU/zPxtXH8l924RMKWfsRYeOEm1AeZMvIShDtEEvMAHIBXBsjQnsU5MMle3VdBsTkbZRO XAX0dHmRNfBJK9d9AQyyaWBul9bUuLcR7dejYvd14jJ3e5J0PWUlXfUj+WTFUrC16PvOO51OnCUL 0KEHrlUM0KnyTF80zZoADvVybk4rn+QNeqiLGLUNk2dKZQ7T4hDTPSMbJfyu+g5rcz/OFbauFaTm u038sb79c7LIfbVR3VNwAiEFcKhG+5K5e1AYSWnqcnFe28wheXJjmeZw6DtPQwHJQL9RaVeibtKP JBKsz6BLDwL1D3/KHc4F3M/btSSzwCDai/H6vbej6oPhG3eSic5ntkCqY428EUPK4YU5/9c9nMk+ 9oWKZ12pUTuFr98y5sw/oNENQYSFVJnHMb7NLt3d9fZLnjgvuvtDDJL0xh2Ml1lmVGdstA3URMq8 Qv4IbrniUPbUoF3zvOmKXcfH7J0MAOYE6dAwjNRyB2yQWN1xCbVkqv97g+bRmVrbF307W9XdxNK1 9gJcaT5me3Ti1DUpAlIEnlFBADm8pzHVRX4kflqYwgc66FHYFJqOmFKveFu6mnFJqbRt5ZogD/cF cgKJzJLJcnLYRk9PRME9gK/3PC9DwEcCDRD68kKSRCFivlvrZLD4UiPZPzQfe6GhRJeweimem/0j 8a1L6dMz7/HmzbY60oK4qRGR+5e0PW7bhzTAT29HWhAO/+llujRVhb/zlJQdwyATs8WYyawRT47T uR8NwgmeWs0GkQFsfWb0BV5CHklgLY2yTZ2XyaubYFp365rU1vxBAivXcJ1fVCIDoDtEZ37UZfQH SENH7pKoP8ABpmUIuAxh+TQPNBFwcJ5p3SDOR5E5Z5C7cJdp0mEecmEdlserR+ynE6O8JQ30FZyP umno7Xsn8K80JR6OOt9pA9keK6yt2jEidaTi9+IR0yuZD3KYZHug4xTvOKzGW4XkDGz24glELGug DQPIXJM9e+s4eXegmW7xUCZPDofS1hIVPxgH7jdaxkbWmpDg3A8NRVST8gRThb5ShlTRirRv/rB1 cSGN7oXsSA3RAJuqoZIeT5lqF56BmWZRip6h/KROJ2XXce9MkmUBjRApaqjZyDnkq/iXObKda8or 7ZBfY+v5XxIhHz2JElwY+S4OGoxpDPzUcNIv4/z1rRv7mJBMGS1CiuPOzrKXXECH77CpXxZdYLfV syBjtYu5fsiGSxFw5mY/y2LonC8w0ERpFohKC60rAOX/4kkjkfGSXPyJeev/kOmfjbNnxwpGpQMy 4hK5lggToRLyfkumGJn/dGChOxMpzmDjFkmyw+Q8NKi86jwdlLytV9b+33cZ+ZsroldOpCX8mMz+ TBMipiQ55sWYAvx7BOdIXi8KywYKbKGOQOgjBoh3TN3ytI+DC5z21WWbZulKf3E2AWOHFxQPqBkI +ZEc9tQVhlh6gseFhpGb3wdeuTX/2CnEZlM7jspWpR+8xffTzAGKTBXT8cAPl/2QEJuOGfOMKktY gVitW6t+e7UOmJ3IPjB71vFUlanhFF57HPxrWB6xs+sBzeD7HXxQnrBUhcndCGPJMzB310gnz7E2 itVe++JfbcBEXPkzkXU7Dlv54sOKiDS/12nUt5DWojhvI/Wp11bO5Hq6j0dCkn4GDXYE54peeQ6Y RtVfj1cL9sjDq6mwJCk6KXH1eefgfh6GRLpVJlnlmBXTPkuEvw1Fzx8osY1lpXK8wiJfOMoxNoVw lsXfyjq6TReS/I2AQmetoKFG8kWTMu3LPtvp0agMjRZmBUHv/P6h6ru4gGQWBoUluvRlqyLznFgD BX0c1s3QsEi3tdas9OO4KmROkX5POvdVdd9BTYM8M2vaSekCanUc+Ld8NIIK9rRkw3dRWQjNnat0 0pq74pYjngsKzdWDD/wiPi1p/LwfeGrj95sLrv+nNw/G/DkYRRKnE85qkZUJwag/u8aog7z5K+To BN4uDQ7FYo7drkZLKD4GHtvTbDyAYxvizn38ppdTWQvlf23hCw8HMEPm8Mg2QOrz/ECE4QbCDSv3 Tdp3nOlLw4JmLL9JKQAJLrv7TfxdXbrSKESVnMX5acyFM3W1Xb/MyZnuCcitAj+mnW3THoP5a3Ca wm4dWGExzNx5YxpeA/+ofqL0hemnYJyGbX1zmAQn+xRxvwaeOTRiiLuR8zVKebVvmZu4GHA3fNjE Tv8DFM5BJni3HMkLzX2gxKMPHYz+dDUnMU/1G222Dk0dzd79qJbxQb50QO6cPJ8uM6my1pvQT3sE Dw+MMnyTtDUbccDG5spynFYlVdKItBDVR377rNZRcdJmLaRzxZO4A03JwDsyLcfpxIeII67EPVjv i7Uq7LmLfJ8iCgEgLyUAZBGgyqQ2IGAbpceE+fvZgXx+30C4GvU5yFpSReFFthrcoCmEkjAuuihP YpA9IKYpDDBKYAaNr5C2i5q5VdSsmfDKk+Xrmj5fOEKOrxSzmmFM+s37PV44XuDkC7iqgvHwhGQH YmDuCg91IEBsT+dbhaV5H2MrdPK6HnjYSXsAtScgfkMCMyQ9/a1kJu0Hss1dpKibHiOxEJUbWkyp oymdLWbgZIWqEANjawgCxsRPeL6AcbNNzBfrl317mjGE6bDXh6+dfFbuQnGtcyJOqhTedYWLRXOq VmXZcg+BrHlbCKQyChpbS/XyaBIkD6/kWvI3XIAbAelNQUqqwx4xDyTPDGftWOum3AxB8X8LSKdF j2lmxsgqa0zGlZxUnbXWKnkJiDIibhbKCFjUKLZYpDkEiLb6oSkvASiWmIFewAtS3K96muK03iWb zR6YooeniSa6q6aEP5UcJGT6mytUe5jqbKyrb8OWpxiQRJGVNZiv8yi1f4FpHgHQirxQNTYVzgTm bQdNhyrS/tzztLcMuyZ2Nwy0/8/l1ZsMJXRWrld+bgw0VvW/VjWqydAwbNTeTIDnyjnbaguQ35ME cvwrZKSyL51srQaeLzTaAwXtSPgkAZSbzCKDXgqASHVUHvEhjrszseJV8F67GzsddSVooRKYkQxU 9LFyYA61bxC62Q0MNANh/Rzp60AmZzdiWssvC0xdjptammC/ziENtSVHjYhkSNu39s1LHNwtdYHS XLwjSqEAidlDfA9cjOugavN3t6ZQzZM1X4FHQzIy1Ur/KwWINVUvnWh08kbrPWz7Tx18nyEUJHxV YW0etPazU0GFQyA+1n25LQJX0SXItRa4zfkAK/QTmUGqQhCQg4AcavyupyQQB8c8/wfzPNzoYnsx uHi0cR756BwEVD7uQPHp87lt+VCRp6gd+CebzXHX26rj36DW5mVBCBTDWWf1pHDquupPcl2zgubw fLeqGPY5M3nkNm0Nj5LBCp9L1zTeCB/ZJdI6+O2u+1aA5TpxG2X7ebFYsFYZQWVJZxdgNanzMEy8 9Z0r4wXLueLmMdjbjjgcVQgHlYofvtK5cBp/5usksn31cdxqr27jqdD9hj1lLA7TzRMxmZIKCdsD nXQtKJy2WXSNjwlGnpeeOxklBz5kSpE63Nlv4Y0fx0ZaFzWm1cWMECsIcAte6vhv6ATvluq7BD8A njeq+3la2Tr6NvzQ0TOkuvpP3ZmG/G8lQETH+TZ6pSXfGuvT5c5htCS1ZhFMnkd/lFODjq/NCqFW 6ll0XYSuLjL7Fr9zHC868hkhhGuhN2+/JQgTwdj9xkh3Ovkfp3cDzrMeSnF4/Ml/47MPANJqCKhM 7gPRqvTZxDYK3XsKzdr0asyU+RD6jQaEdeamIeQtBO6F9EGZX8ZRMt8Mo4s1SI9nKJuG2j77iwRE WOC0zNqM+mReAfLHvfVu2X55kmFdKIeULEupbTXm6OPdbYrg2fN+r/k5Zm/kXYsIttJqsdJ1mRsr x78NLHoEvEEUconri1af/EMa1bWMC4evnvxWslOFaxcP6RblSv5e5sFfstZqk+R44AYQs4mXA71c ZHf4sY+AuvgIvV8Cw8iiFTLFbExEMyelsg+dKPCuB9m5n1Wqbd0ki/YVcBy+P3hw1CwkvUaY4uvi UX9H+Ro1q7s90HY+hdIh4L8a7Kme/ekwcAeyI+Appb9tBsbow+17i5ECEEvEoVg5wf15NkZbFzsl dH/5P2USMiJdytZwZxPLfbC1LCuy7T6LTkdCaAMwua/FZ81MZlZbmNHfJ70sYhN/ZKCefZmTnAYC 4vMetD8xfNSNo3ROIfjmB3Hm4g0oaXHWjWsu7f6rSPJGeIAHMO0XhssvvWlRCLPhuMaGAzFVCxBZ tdILjiwRLP21bbbBRHlcfSB6x+kZ5fZxXmM1jrDJ0Kf7u3xTxvsUSj8Bu3w0m0DK5M2vY3O80+ug S6fR5BNy3/tP5y3hDJUKg75E53Um4IHrTFgkKe8euite/iAats2P/mcSMVAXbaJURmlvvWTBuDg5 VAhGi4CWesvBfoCFUUK5p2CMGq9c+Q19p7OAHHvzs+jCSC6F9C/MNfUgY/TyQHQoPzmCmUXWBnuk QjERjhvTvUQL2sQllZwKtFv87wMPA74WFdhHiAl0Lvz6ZL6Je1eKD0VVOVhXjx8wf6ZvGhG40Djq 6+VV0hzqS6IeCd9T9bDKr7pr2PpU1r7GYeWmkBvaYWUSCkWgtHDlQqV1IPSbrLMdBAvqHIwIFFwa zem2f9Lp5AVfZbivV/w7NrryoQDYvMD80v6WKGt6mrV43vWx1pgpjd69Ud+6Ejfn6i5UCybx2LRd ZessV6YYHFlKFktu/SxWDn8ZN60JV6JQb8z9hDi52m2FCkDivnL1m/6tr9239vWUunBaM12cqrDA 9JptneaUGJxtT1dgDau9BBFOj15mSaH1bvribW2Q+QwbOIBSEDU5ruedY+g0uOtjR8xef9zt+pG1 Xeih+94LKevIqz3kCmGUWsk0QZe2uPvvexgZSBR0c2fldwSeJIbkDI+9F+bprbGO3mLCu7RKtVkv NrG/JuUjpTIL+ySJesNPgreaHFHh45/PNecO277MNfU9rEXH2A+7ToNhfZVI5RfgtmeN7QDqSmFx T8aG9ua/yDfKXhmPW2y8uxn78CSrYGMMrnepE8EmpaXgxS6TCJEIpX3QCHiDfaMdBYeZPpT+uIfN 78mho0k09ca9qdj9SPYlw+XAYGRfLNILrvMkfccYeOXY0LPfAG5hLL8kinEa7aM5XSnYic25SrcB vxSsYZtK0SO3LJE2Obhjee823FWRvX7tC5kiwnpFsKX8bXLJ2ceQAz6RKB4Eo1n7a11kT4nteiWr GboS8VLCvQL4aWrrs7K/b4xMONiuOk0UERP3V3JyT+SqmpbTWGtwrLyIxKmqG0GlTf+PnBYMsolR w+Iy02CT/NEXgvybCMKUdbQsfCq/UldyNyagGEHB/f9/wgeX+U1NCuAIY9rUC3qf/MCseaRcwiOb DXjoTebLy64WbWjMX5zdR9RIa7t3gxDurES9TZFNwQGsChCHoaUsZNht4aV/Kgws1wixqLNxD4DJ 5eplwPDlOlDpg13c/DXjp8d9RUOz+JeKmmsvyRZXgA8UQ9GwBVsX0xCdYEYkjdVdp/iPZYkcDOIJ 0Nwcb0kX8E+HLPMuDFRqzp2Btk732Oc+Or5XIHP/Rhmj2BAYEIryaz2x+HFwePAUl9dYyfek2xNc 0XHVOfp8uGbvwIdrXQl0CX7KA+Ti+IytJ1P4Y9WI03eo1ByhrodEL2ftk7PPqWtTy5r9J+inKFgg UGSoT62p+zWpAt6ukn5dfO0X8GQC6y0tsUD/+Vfaxe2aqu3wYQ08KM4J1vB+loLs7t45ao3NXjeW g14A58Lfv9oEHtsEQdz3obmu6ih1S9rdl+EmdDNtO2eVjQah+RhAH9UUcxKqb47mh+WIRWZF39Fq g8jL8NihImtWfRmdqWktzmlJSYFfiyxJuYxyP2gAFRSJTTJhuEQ55jHIjQSvjovlY7J/EieZRj0a ElcROP6c3gmr4EfDQK1CsEL5EqRERbgeUz4jRoFXOoqk3SbNNsZJ5sZC9jYgiXVj5pYeOYDQvrVx h+E0/BcYgQNUs5cvoUM/Aex6KBBCrJ84cCBEAU3suojK3Wm5vf8scPcvSkjp9fBt3Ray/W+hbggS lbZ7detgbB/HzaxmROsPyq/w+LL9IjeuGJkxoPAaN3+QyI1WLbLLUmTEHnufNRavDDHHh/yUQogD M+HwANp/XtsvmvNaNN45zy+JcQKPGeyv4G6yPDm5oPtoG3mBsWovaHXQck/OW4LZPxLKB1nfFVuV aKMcH2uKH4vo27fkoFUpgnHi7w+5Rb2Z5rKst9+Pq+X2ms+RjtYTVcsFLGMc/Er1IrGFdFoWSPWJ G6qUMTYIilprEU4E4vvGMxF3KKJqEgYehzs7FcwLNkjIGSf+qsi70hB+lLtwg+baYUqvZc1NrZH6 zjta+QPkxAjo2i65+1mqBL0n4xSJjXRVNbahP0tnsQueB8GRJwQdJimKNe2H64v4dW3jrZPtYs3V kGs/B5KUjNqdh0ozPOEYfMC8VubJz2GhnnRbeevGDm1jNjLwDb/mKwnJwpkGqHCupwvHcSv5IrIA nNuqQ1vhM3rP+BEibUnkNtZ1A8PcAUxpfpHuyOMQgzMDwU8wsn/siLU8tgYF2iMGcKmYuZx2JILF w3d5ctchl0SOZ1/qOietXtqR+47KRtSQwZ9uyYvFFHq1LFDZ9b/AJSf/7rQvVQJqLZu+uN+QPuR4 xp3K+aSmmLwDALeTH2/vAGeVBNF/duM0kTmor/Vzu1iJVFMSBB51PYYrdLYp9ds1H5CzJT7S2wcY oIQdM25tM/dfIQITZ0zXa6d/4mcpPs+3bmvRPI4iIZ8yBw/RkcbwVQB0Akn5AuF8+1T/0P1bouuN FyJ7O7mHvEd1qg2HAYBBDcgH3LidKwByWsu/meoiDKoRFwvuavLrn4Z/Pnk/4wdJn18erU6H2b+t jFhsRgRYFV9QD4BE4TMnF0nNeNmQwsKvSbjaJ8bxxKG8ow+q8Mm9AW8rEe9F2Uj4V4iBuOJvq7QP FH+i2z7A0bbCudeBeWfECkKs7bb6xja/aKPBLiYC1zTTRLE3ZjqABLM2HMuXdvCDSRRe7CavilL+ HdHc9QJdx5quHItoulFCVHpNLvQIfLeTDkF5q7a7rnXNWwNJF2suGd3B6cY9XE6kEG56XH5LjM38 vJgzYI7vxBQDR+WmjMxyqkuNFW6bsNRzaw9RZ54TMNLz0TuVY+Ixd6zfF5DL9xnnXOjvNgwL+/jr dk3pOSmjKyh8NiIhNmhOAOyWv90C6Gvx8XxE67lmZ4g6YCC6S062txwr+RdC2FBf6vPgsWTbGb9i CuCT5ZUYYI4ENrrwWUJ4VGhpFiZB5jUqlSrqHtlwWsQG1ZjyxBSsxzDHDNk7OZ8nrbu4wWRLz1ZP Hx55pALWYvYq5TdoFmojcdu38/XLETTMzJ7PhHJQEpgvZOaEKZ2ETs4ci9VkRJFqo/2F+7ez1Ihc pSeoG8poYqScILXhEkDU1Cr4PRzrXfXQCzzHUP1ARJK7c0ufxGh8pTfEY+ysIcaicKjywXvcfNR2 RfqK2AsDYH0Ss5bw9h6qcvF6TQErJLvFwpauy/gj0S4NGivxLAhLicqSOULxW0qQAbEcrQesMljE CpMKPhDCSmBXD25dYNBLDi201toLlvEQtDVcV1Eqzo31/TZ6sD5DiMazjDEwiHBv0/AO2LWDTt/G xCiXePgkbw90pBiyvsRdeBlBKNoBTnBb1FkizxkF2hFL1yEYQXTIfUp3stY7cJn91nqe0Tt4wuhf B6xg7IjCMIkUtVuUUBuRag+VjjYRLv2Xup+OHMxbesFNevhhOQ5DC0Pd7CwUmyiwZScvMiywj9/V Y6wKT+bXC+JrnKQQE49mLDEdqdvpPKZBX83agwWD/MigpSEZb7yRBXQwg06touTYuBLK6DEvQ3cZ p0hwNsLi0fo7CgeAETrjOJplK9ixDTZ0CnSj3VKay+cREZhCB+I19K9zOYk1ipGO7edWQUAlgBdB inBO9k2X85xmbmR3IHhWT0jkQQGKMVWbKIcB8VX4h1hlGTpSmiZBNn+X4esrA6C9Tlsp/mkPEwHo 5zKGYntS5kyv4BrM2hpm+oXCl6lTx8GFla91TwKVMylgY2FAYXsURu0AfUTSDX4sSw4UIwaMxV4H Nm5RFVCVct6uM17HKmePkOblS3Z+0DPMzOJKt7QGDlXANdKoS+HxN9gBq65pwmYQEF+gl4CEshvG yre1jglE5h3Rpjcf2v9dNErb/guEEQlksjJ3eADqXcZYwMDF8DE8w3bR5aEDDOG6r7IzPb0vDa7r 1JudTAkf2Ge2rwy0KHGY23sMOrGgs8qtEm5Fi5hoxziGlCe7ezTo+F7akF5dGqadL/+5KL+1YWRJ RUt7urACYl4kAmZkclSYkYh3aPnOPSQtye9TDtv9O2PxwD5R0RGO8Tc+UQYQvG7ZOP7HSQ1P1Pwb 6fvpq3QdJmGqPbFy8LOGOrsR+VQ4XDssdD9imET+4GcvgLvxV4IVGJWUo/34RtFsu1E1R9gyyTkQ mfHmZuCMFCu7zH99mbs3m6jOsDEW0V70vhNrURdlxm4I2jm8p3HioVIB0G2K99Hwq639SvErEtqU easrI9612HdiSYoRZpvHwNYqUx+6ADlb/1X9ZFFK4R6QjL9KyLPT5L8pLCv02bX376lN5diSq1Ph ei3uUkEX5lDK4KXNDGCC+TEqtRPTq57GyshKkZOP0woXYmVmOdkC13NpoRJwlEZT8p9zCuf4rUwz XEwy7tpWglQrr5OwD9UyceaTAOEXBot9+0bQvSLCHUgdM3qDcZmhfwQ49VZ50FIjuo9Dnvt8B4ZJ dfIyoBLsFDBFZQsoXXMZJwB1c72SvTMiXy6HV24kTi1wcMIEqp7Dry5Wr2VMvEE5jaSjKJ0hELgM ODEv+ahLE7b7VcZcq7jrocOas5lSgKxsXq9PFOuiai2UCNqW9G/OhOwwHL6kyPa7A7mStZbMorke 1SXym8RvWqp+HoqXoQKJ5WydiE75Xz2Zm0JHm2TzOyzszdmV5nazgAApIQVyKY+N5c7E3Hxc1H7W IcAcND3P5ytfiZ3sGDfRZ6jbDykeV3qsQlNjj+ePHpCHpbBKngFJreCXCsm39kk2EvTpQZKaxSf4 oNDGTnktClbCSkF27F4AqVdG1U6X8FjdEJEhZAOVSPc8Y2GHLoubjyqOLEHmOugm+Q7cbyPlJp4y mxQPgW2Ecoih18SIJTfocUrEfomHoNr9KxOCx0gM55oe8KsJYwY0WVMEVICVK+NDoT1IalgirwmB F1KtEbR90ImaIIm//uBtb1ruSKWxzviLcZpZw9BaklE6D8EPH+fsXs4xGJF2zKal0A7EXprcKOrS 8Z82xrzpKRqoFlwNHbdSBrdwydovcZ50GSQ+wx1bnJL6XK7NFgX9e0o8/0z0vgpPwBAWrwA6rfTO cb63bU4URM9YWyKIVYKoyqqEYpjMCSd2O/yLzeWSi4gdLAFTNUdS9HE3uiVfZr/d4mtWvS59Yu+o fZgR6THjNrG2/83XfcbwzCF6xsnfTX9HqSgszO/Ymf07cdGfYnY85ekK/BoUyI4aCql1E/CBdL6v T3WTdYRKoKB3TER9kKfVW8nE1Unyp7/PyPjStV+VeZtb8k2eB2kaDz90FxbIxaS3sxit2Oajkhq0 h/yf4F1zLRGLGVMISIKIcVSzlb5OgOMN0DqO6Z0oAp7iAbwI+WpMPyas1E3ixLFapfRCcPYuiGUo eRCe+hbjp5V5p0SKUPyn3/fkmi5Cg3X1QoCYFiQcPTZ1Jv+X21jXFMc9vtyxu8QNmvd1Uiu5SCcr Dzb4XQDPX0rVW7v++tY9JBTkT9hqAtJESLK4DIH+MPAvzinuue5R5Gq7MUIBS7eRzuDMQ+x5Vi4G 3PXGZMogNpVZt5HduIAiIF586TC/aaghIqkx8IMGL9Qej5UlpqfVW4elEw/f7uOxUpLC9dZlroH2 Ff2VkTt/O7KCRaEjx/CYl2gOPtp+Yg9Rc9PgjpMJnP4bIH45kizjwnrkmAswcpj3jlRIDs+hibXd jKwk/Xe6VTtE7yEdwVemwQp+8X8Rr7e1o3hYZAXYy2ARY+dTDyt79YIw9qp1O/C2HkW+JwjL27pS 9/y8kQs5PH1vQK4RLX6b527uOHxsVshpYSFOjYoMCrYEAC8UpL2/6DHbbTbi1u2yDdE9hWxlqsz7 oF/g8Xy2uefQxDaAC779a9p8bmQQtcyE1BsnKo8lwJzXMH30VDyItngR9hP9970qACx4IbDmCu1B f+x1tl1x5r4/T6C6K9hxDFlO1hJriNYK6gw+2acojY2WhhnDN4fSRZw56M3VMXdJ/LXTgiR5v3/r RGxG8Wa2WujNbus5/MqEBYdAynEgc1KNlm3SXf6b4O7RFgr1ALdk561qgwOU1X6gtAM5Y4q9ASBn XFZ1ZV7wYaWZ1O20TYJpli/PYjHoxL/X/M+xtjVYzUgnyhfgnot53fB4dvV6zZv+wp9G7O0lw6jx bV9Pr0V3CvA+vs6L8KNEBcdF+6+7gYtbAEGct3Ud+pPIn3Z6nqddhcSquiGof78n6vsJX/lZY/eX ti2sinu4B70jvoEWo9imFWbSMctTZS7sLUq23meeZ6gHQUNx2LPwK2WW/bDgofJyxbt4kGx/hyB5 0nksCxDjgB6h+sod9YULg/u9dNINarz4s2+syOhvmUQBRDFB/rYUP4r5zJRIEUs7rZuwMVAhPB+7 1oyQukvgG7l8lI2RqeVKK3tWeYFxlY6s+ls/iGVZr2HDUajfuxxZJyyiceqBkfduO5Gr4zpQQXFu TX7AUqwIR+03zunomFA2ohFaTzmNysFl+3KzRJGsw01mjLsgNDJXV2bsy7wJ2Wv4WpKbi/NWkLmn iTL+8dgVMgOp9i3hVIhL9FaHl3YShpaEjPBosjmSoohztGi+nADE5EIPHiO+P205lRRJZFix6R1j 6kpWW6Yw6g4bIvqH3bA7oNmhVSIhY6j/QseXiRhSPuhXb51OXz9o6DmCfx9eYv/YMJLoto32iwpm SRne2CWsW0mofr9XZpbuL7Ek352gl7ZXff6zxsUOGNoSsP7Y2MJlHomQJ6lQZJSRbnc5EVkpwnoh BZx58qTLtYMx5l7l2hgCe7O1gtAZQRW8g2WxXfsglqf3YDQA7kap8y53cPY57V3qy6mPi+WVTwHP fgMDz4rgZ7Y+Wk2JkYxmPnk+H4WSmcCp2elFuAYJiMRX1bqAH9jV0FQdFKrNHblBbcn9aEUAmysL bC75JYuixSfqmelCEoMF3IGjBWbJfu2HU1mfoMecOXguQwAXmS4ON/s00ciMDIE5oH4L3qaVKHV9 RFISiPN+i5qKXEneoN2Sx3iFM+uif6vATkEg++Rkx+hmyJa2xqKiWhpOZkExKdrCaiTn0fPVKm+4 /iQEbt0FdQfjCvTPNkXsVlNyCh5GWLK/G79XPzGLyZ6QSWQvCLLWzVsxzzrNZyljrdshbgD3uUbD sIdnMzZmRFNz2WHavEmMjUtIyp0V55Gr5a0nkcLho4Ex75Oz/FgE2AB9wIw75JMfJp0pAvYOs6Lw 9+CUpAoAc1wmXOVoJ0wUdGGdK3Wj4dgISQNx92M4nvlPOf25Y21a6dl+o7uqWi1nb1p18N+aTNKW BBBPNxaqwYn0fPZMLOwH0veNxaDyoJp5Ko3Ka721FnMyIEGzhNO3O2zKL3IiytPs/1GA9EOoEQGQ KmCYtoLGPgdcPcPHC0kqwvP/Q3pkQOQx7eQNE0whOfpmrqDunSimNT9bWt1dSAKdZgkyzyomMVcM liGbchJb5wNyS8SKmq44KPdjmlUn3gnCFWOCc9wUjtBO2gz/CpAUeG8DrUitw5h9aq2Kt3DEBo+M HIHSNTlR5uW9tpVqbPUA09k0B6NU6U4YSBsEDhF6Xf9WOqrmvpe1OHH7BqdN7Lg0AbqCKec1xJGH IITvUid62WtmZKiWkBEUk43R7u/hvfB3msPrKnOwLou5ABFlTGCScd1iZOkLMbnPWafygLbifkKj WoOIwi+CJHV+0NoNR6K6izhCeg8HsgVFzzLeHnDr+UvwIFo1s9EehwgRiBKvqWXnw9ftyo+ufhIX 3NYAMrXokTfGwQeEpHEnDuppNBj1g/CMfu4DTti5SEB6OqV0KCczo9iZVyWAxe/7uGHTNkNGj/kU 6CQvo7pQFDUnqgYe3WNryr1Rey4HXBGkTLjCbXDWULRk875Fi58PeIuUP7zqqv+un0UiwUFNxPeK eEf44QK4bGoiavxkdC1g7P2i1Y1EC5fSQq3avll43DLT1JIRiz1Y5PolpL0vJC2ZknfHpQvGBL7j fAL877+4UyBgUGiq8f8rPUXe0cRbivPwCI91cnz0aVhoGM42V5LrEppbzv525rOk8XBr3wHVhkUA FRb1H+IHbXbnkDjCjHlFlV9/dUVRAeDo2/QRA48wMuwZw9btHW8TCjB8e7QJz5xKNM64xUXvrmu5 KwbMU5w/w1BwU70/lXsqQXns1FC5tjKbwa5k9B8IFLMxX4oFmiHfoltzgXm4+xROrroWuTEaJ9Ee QxAjDa4U/Kgl1MGy8i26o9yrKA2WklCLIaJ2Od7jA05iIHz0jX3T8c46Zybm9kdx9yUhrowLIH/y 0BgMqedcdZ7xjpO9M5BAXJnYyuBiUwxBMp2+j/psDVeOGXL5XfDvVYTqmDFYDxJh/ecB4Gytcrx9 Te6o2ufAiPqwKIxP7HkLGDKo7tSZFi6DYWTaDRKBYvmTzNyVMMhnj91/+fQNruii9lAGmDSFXg9H nyzbH4PEQ6Ify0zRoUHH6e2P7d/oyJ5/3xdosinYaTjrxXe3ArgFwXfAk/CCy3ln/YH2OF/6RdiU EDoTan9aJjJ0kbPTmabMCe9WBwW1zly04Ml2KBVuI/D8v93gRMvSlo6Dn/DA33q+OfDbMdz29bVM fXmMKreQzI2kPKL1WBgvhFZRE34QEjwnPrFJUfPmk3AAVvQzRFpo6DHZpr6huZH5AgInI1OyKJha 9btAHv5h0b+WeijhcYZ3eokI4IeRjiEvAAEN99D9GBijfSfnsibpH9k26W3QhHk4h6XGNwntVaR5 oOI2JT+m662F5l30xYjj0MkFZ4z4f4HZB7s+F8Nv/x02McELy6oZaMsviQIE2ebmpChYPT+/UjE/ VVOY5YszM/YdkEjc25eBjcaNAVSQuwo8XQq1KiO6U5WGOfLDsIG6ysm0zd+20eCUT7/4PstDs840 W60grz4FfTDDYJ7dZs9Hs8aZlnEl3ajHhoseNQuZLg5oZsnBSZ6cftLXOSRV1uaFA+snQXlfQlnv 7QWXWFv0X228IgM8o9dHInzOC4YK2iubJBiPvZdsRNFr6WN1lc5uMCQEzOe5X3ezz6ejZyz1QUU+ hrhnE3fY91BYcI4mE2DtsFZzh8u95wWAfOL+rYJ4GJun0No1hwwuLEC0ty62VGSgwkJKRE69DAJG R5WCMpGuzGZDTaJZ+WkNCFjNthuaucqKDWJkiqhNAm8AD6ioiwX8QnKCbClsvV1uABwr9tzs+n3K zxNOBjQowuHCK37VEbizhLGvy7oSCJNnvXlexacy0yL6c7j+Rc4vbB+862msNSvjOm9z/WWQ+mCA O9+l/59fMA/iJ1GExW/RO5583twyRqFmg7Y0PTWnLbB/pL87peVIqLG4MuPk0oQvcSGeOF0XeksL B1aWTmY446hNqFIchkijZJZNA+YQZYcDreXVVZEWI9UbKTJBK0xAq6dcFtz+PfN9ChieSWRU8tyr Gw5FTAGaTUc5JASdcgdM3/Ox6Wvf0qfvYwKdkG8tC5fnTYRdCR17bPKRJScbG4V2icwyRJ3Qf+90 RolGkLCasGaQwiyPZjV6A1JXbzEul5xSi105tjqwRW9f3tFTJdNgYX2PQK7FXQgBPBbnE7A0tmld o7YguxFUCLGZvUVYCNiPBYVNYfWZbzXkqp1i68KRPlOnpW5nBhdgkgwIsjNzHqAcR2KbDHOfBxGa WADJV2RCB5MGT2kcR6zloAiz9i4aJxT95yoDAAl+iHc51+F+5h2KfqftxbTof2+9RWARcZ8cJUXe dYekTa9Fpx+Updy7frD/zd4Y1mbJ3U+yuM3DkcpvfXlzat+NUth/9Z0lgd38U7LVFDT7W+1fSGIc UOa9iTH0OVo672Fw1pbSiOElriLFnpAuMfer7oBe9KosaiwF92BJslM6CXrj0RPDFaPC4PtKXaVw n+NHv7Jq4A/93GfeP/X6YNADjJsUgdTUaYoMZAiBr6k3TJ/+em0nPvt2aWuxoLlwduvNkldb74Zm oGG7p1B5yAw4CKv4APRZAEFOkQMf1Fdkify6HN6R8Z6gA3n46apn6sfzzQRjjgdQEeB0NF5TOZLj jsh+nCLpPamRWT32oJ/f5HPTd1nVdrD9+/b4jSHxysTV/VLTznKKFQNX9Wtf2S0HRENi5sLQfVXN /pYGeHnvDhQwhtxMSD2H7q62lx6ViqOkY1Cn8Q+ZJs4IVkiXYB0cEzAcov30z8XUGbWVF3r7WK5g PgUbcEyT4M1GsHxUTQ/LscpAJLmXFW4cBVsT+YS74QEChISCBb/y3IN/HCdehIYzAnsKhQAhdDUt iVY3XtYRTPHAjYypr6ZU9yoNUn1GW6bmy3yFS8of4kWBszm8zT44oATU0vkIhCA+/3+6kAe2ubS1 JxI+bqY8CjubnxfMiDxxQEyqR69rExVTNH9YPDaEbhw6pGh56MfwxH/UOu90OeDF7J49z8eKw73w M1uI6JDNfgo4Wv1ZvYnNnprSg/CFi60rGCLjTKcLHfMN0418zUl/8qoZ+kW2N57licyrcg6lbdQP T7slnSmu2Mx+tt93db4WAA6nSqiQWj1iscLErQ2+VdCB2SqwqgaqxlMtpWr3k2VHgSHutut1UYdJ QWcLA26q+Z4EyGkBTUykJJjmjpJjZ67b3lRDGE/YSi/wL7uhPcagK6OgB1fTHmspRm7IxLzCc2Jm iis5D1RE0TL1s5rxhcM0n7+hwnSgQ4ptQNcMHuCtCp8Ipj26fw4ke9NltQJWiYWtxIxZ3Ivjab5J lOc/XFG5E6GGpEbvnakkwlJ6aBRT/Iyk59RWP6IKs1z4IlU+rkoKoMNuiMsc3xO99RlYRErh5iBk HH4Deai8njOYAG/Jlh82v3t4fshr7GSlsE4US/jqd/TZSJO9JXz2LBK03K6vqWRpomTsnht2R/B4 Kzczk5sFq0VcmAcEsUZ8Zv93sxrPj8PHA4irT0oKdIRcDcm9t6GDXWtd1yJofL3RdXFiR7n30tNY 4w5vP5A7EkvwncCbClUCWkhBFMNxQFCyzlWXIPaARicDjWO3ywZfmdSq0+ZSK7W0qBhIdtXZRY1s Bq0JX1MXnlqYjXF7NFuPEvwvch9US46r55LX0fFlYKM7opmIMyyXVGmPMqhKamptfvxU8E+X9/Zb fWUqqJxOAAqrsQtBCXU0FHunNaiE24gbDfzRZuEYfqXdv4ozTM5qUppSomGgfmar9Xc1o4SORea3 JzaMeqloajOiFBd4tT0RT1FjPVTnfzp9frkgGY1f8tfahk8uZ65b2ulMqQ7+VZ4RTFLEC3U1+fDs /6VfblkOdWPSvHJkZ88+F3PO+Y0xBkvZdplb0UXn87GNkmUPcfRKGHqJlSNb1bnZZX6+ndKX2m4b BRZ0Qks/ElJy+MhiWtAq6LfUGAnNdQusUoSK1TEHOBNJBzs3R5GMhttMYMkouKUH7N9nwzy4NNzD pN3dkkpEF1CVcy9w84BwLv2w7G33ig1+paQeGrWMQwxhyEdMIITjBsSoqRUOPwvkd7KF7HTw4+v5 0DVktRpw4440xOoj6mQVQgQM3BLRDHSHu0xgZstcB3zedm7LOeCWRIlCKce/PY6KrurO2qYLfmId aND7Q+PihRV1XxTBhcIPNvuxkwr35BlMvjnarxiH05GtaGBix92dyWjdtXyDiXgC+IMv0uWzy4mf hndvMWRFV3lduW/IyjzvdVZ+TgwPP30coQT7X3CknKBjYhG2VxeUtbEXRp0J4pzYh65Mi7FU6/Bd aCSyHkeBU02k2luqzBJCtDMWcT9fGZcGBValGdCfviN5JXGVzyDGHY022JFF3K+xVbjcLKR1g0Xq 037F6J5KRoJuJD/g86Fdrzo5Bjv2+CYbO1LSHoYIN+RD7bh9Hif/MXyrZK0Qv21khzZ0nklA87Xu BNWB4ZFc2fDSsHMp2A9xTo2Li9wzkBHTvJSK6CHD78+Z7R3h53oRk6oq4N/r4fTMTYJvTDyqzLP2 dYjUFEUQ9/0pp1DoTAYIaSo2Pw7LzkaPq/3G3Gb0sbiD4E87cW0UtyphXt+Dq+wME1LU6IM8/CdF NLjJ0gUNaNhzUJJDMxKHzeX5Jgmk+1VZHl9cP3H2aG8nwt3CAvVBDrItnq1kZjmrKl1BqDF0fo6E jpWyU/K8gKnp10gm7WlvtTcifasMQ7/FpWfpsx874toCEtO2JHWpA55jAUwlRey7InAYNY1nSesR N3VpJL9rwGqpYgfIxNV+Z5pU80QiO3sY6j32X8RX1s79UPlrmXB5bUgT7b/ARXsVua/B94mErg+G zm+03Wrckcf4T7mMeXBhJP7uUq58r1t+G+8sSFCcSPY4/9CT8xhhJtkSk216uu/IO9VI09X/3g/1 Lvz3hjjwoHoCDxzYo5vHQefEbf+um5dAzndT8zpdYOAjfjDO4Ait7TVIwy9xwQU92B8aUt8XciwF HB51fiFAzukeLlqyPdapRDzKE6q93LdO5y7mb1qp/vLPEyOYMZXCZ+hts163FQpvqkR6wQJU8X/F EnnBXvbk9EGu7iVoOd9bAFksKXD/JjoFyChl81VqEBuuN4as6F/Pr8VwPkczjumCFutj8mmrPFgu W6shmhsgKkp4B4nbAnoz702GVkMpVgm5DFI5j6uRd3RqYO8krIl+SxC/W7tH20BYJEOd4g+rehQl k+TGc98HxYtR2MChYkBAPT/BNSRR+kb4KhoQvfNjFJDHOU5+koGLb5MQ+3D+SlyipwdMr/oLgnau ButpLCboWe/MarjYDrIPh2hjOYmcAhOugyziUsw0ncuj57RuL1TP8LBq4OkgStHMIkcmnMDMnnTr oy7x04ZUzxR8p660r2J7M4z5bjCr9qdDGLfqflDNKEq/rMGIN6pamm9r0eqq1yArzsWUlda6M/qA 36LkMN6lyXzhcTY4oXz1zekgJ3hp5D6Y2hO71TIyASaQWH2Kd+3RMbI9CWoIvOxWf2re16Uxu0Nd dpivmaVjm33Ls6/M1cs1P8rXXNvrOSDYsZQPuaPuQw9fwjKLxQ0H+EB7x7nnQdBQ83XBwr8UCtPE gSwRJx8ZB6E1R0GahXF22U+Z1E7ltJiF6qkzxh5XHfOHPM6T0ajHvgySeJ2mnwF/Z6teL1Svso1O ks/4Syu3V9sfzv3J+LFdulBKqPtZlpxZyy3OEzgKiNZLMQNLv+OPftcKYl+ktkX/Yyi6aFB1B1XY 43cuP/FrMiB+sJdAkNRloiTCe/Z5hIdd4bAmnBUtAdmPaHvefpslG58bhp4tE+zKRlHI3JJlQjgS 0Kyo2l6vqnD9IL2vu+415AHV8axSy9rmcf7ZYExslqnICCYH9AGUwlqR4ncv78RhEuD5IxQ4t2A6 mWa+ZEx9quc7lvxyFHu9UVNIMtxmMa6WBVNJNrhE0ACbRgNuR7ttPMvVD9xbmwJPDvZNlmI2dnBI piSgEIaQDBSY2BpU6NmhMXDx9Ze9pPF6+Ml74qbCbAm4tE7dIBg8pqTeHqbgSbhPfkvm0DlX3WrB MjE/BDFJ+faTejSKWBQOVqfabZcVLYosOgHIcMyH9XTQq2uypm3hNohuhUdD+AcghuAzl7rf9mrZ kJzYjhUjyjsEKJrNADRC2ukniMlSsjv1XJ8xWvBU5+vu9mTvB2CzBJnG6FO5g/46eWU9J516CIqe VuYgoLk9ayiVcse6JfHSHMKSHbqr9ek+8wVO8/WRapEFTOIVX4WFEwyJsKHXuATTnKNmLzFDORIa Nc98vt+QImCEKt4wGIi1GQYfovEfu1djQU44/x9WUd9NnEauSn5BmTE/sllcgPuJz7KxP2LmOaa5 3JHS7sVuTYxQQ+L/xhw61ONOFl2xDLzyi/tvjxWMIoh5AI39ogX2Ib1YmwVUBy3XDkeKblyj720A NKfEZsmPpzKwSijaJFIeHU0C5xibe5sh27AQQyHSsZLCy9oUwxb+j9xa+Ulc1kHg3RNARERU8rXp meLASBl6DmEnOF14pvQ5I1m/Pxevv+mbkpJEku+izfa6T98nXEJRso0nV+5FjnqyFRnf7tyJZ1ed x5ItFlQ2ljOqlJkc4D3y9XxQwdxRbGOMMcKEiOIxV0aW6A4krFuJ++cXl2ewQ+NqA9C/OhlQN0dR 3f4Mdnl03EZbpn3OvIOC19/1qPUe3yER+ws37HHWjXLYf/fWPa89UMUSs+j1KSRVkF8+gZnqx3G6 sNeB+EOuch/zP6E7yXESMAi7aVqDnC1SUbEh488HOFGPDInXsRCb2mFdKGFAZQdn//TXQlPTnskq o4cca4qVXG7SOtUogP07D3fbWNSGRIa/RWXMY53zmQraTMhjxZJfWJl6IXJCLqEn2NJ3RkyqKZFn pTwRskeOPEKQtjUNK+q7/VhFDN3uHvHH34Upee++3oT0DlFOuTtDeE5ItXatgFqL0tDmlcCYq1FU lhpCiCwmRSt7U958pDdPnhcs5NHkC5B9hKn1jED7JNpixGHbV0986tE29kfOxli+cSjWCWaZP+SC 3bxf0UsnRPONirdorUWH+YDElu+6c3VbZrx6+QTAOKUykl14z7FC4RR88JKd78woWSgOWbnRltkW WQDopU7y6C+I9j5GRSwfnDzeCOLGdx0VEOzdzGPuDw7B37QM+rB7ik9WZNxaCax74KyJCF7h44mO kpr34CoPjOxMkXikBJ0t5KaANiJdt0SL1iOUPFgykHVeaoJK/jI6UG1FpRaF4gwsSNlc2vxhLJge Cuo62lyQZa4MGtb0RH5ZYvUyMDwixG4CUIXW0EEC86kGPHV6A86vwZkl+YyPeCsbkEcSk97dhj4g qayNjWVmAfsumobTHX+fzFIICueuug3tfVL1YC3fN6IfQuoF0Qnzx32qWdmXHZSQ8BI7KMoGQY/5 Kw59/ypUQNoYXSWxY9X8M1PMeR31KkDYHqV2T/bgrAFI80lFX6yC3QhDeLhIEC0b9+ttr6ak0qPM 30RW5uRq58Ti+TMDdoXzHbThjqQOC5Pt2ZtnknYjBRUCh6E27D3RANtJ7t6lEJlwenOgPX1uVsmG 2sOPhDsWxHCKR8NymLam5HNipq9HXLSbLNLM94ELtUwMSznH4wmmTiUBkes7h2Oe5l4gMe3ML+AH Y3Mx98SIxTmII/Rd/d6QBO0rnDWClZNuT4nkLOHw25URf5y0qWh1n6na1dl9uahNG6Lsl4IzlzZg Lb6Bam6BoBt7uTEVv1Pu+P9Np9BtGDl0Kk8X0BdZSSzI3+6V7TDNEjY4OQRvrlirdc+MB8LBDbkx yKyV6QPyYN29xvQcLQ8YP2bgndf89nmcAcXWEt4rayCaxcYKpO0PkhRSfyJVZo5PP6nBitd4ydoZ GgNIef93k9nOmAbEaDWZdB/Vr1rB99ssiEL7RcOTGNyHNvz16GS9414WCZRfSRbLpOAHx8lT6L2o BuH3OAXAAx6gqFk+K6Fu7NCdEzWhDSmOWW72c0VGiA3aRqugXUL7uxuu7LYeIc9SF6rWopB5apiv OTKVu7leMvxMvi64gr8feiMF4mM3WwuZe4hJ6Zw1kE4A9B5LRN5m2/lLNTLdzxhWXVHoPu0U2kRk Ki/LqKenhP6SSG5+YYigEyqBaNLCBRJ5GsG3L1yarOMBWUdhNrB0PthFuzctl7szxX+UyoMDxwv2 MfF94/gV6oiG6ztYRWYnIPuEz69xP+zHMtCux6PnnNC1EbX/wFQZSZUvW9hdAEmbiqNrKlEA+PVB Aq58xX0GT+AM/4ZdwcDRm/zNi4Z/F0mXcatsD3+1YufBHT6Wc+u2aDxpDTLuTKWU1JkAYOyg8nDO PZ0Fc37iREw6b48kocdm5C6iNJ8grFcnD7lVF7BIQJ+rlrLYsmxIo6GiuqDyFqoL+7Wgirat8ePH JfEzQoNh2o4ojXZyA7jKay7PTZcnl0x0QpHLrQHQZe3otmtCLTs0DjuO0TU3oGpSBWSg5U1bd7ej cebtzXNw/Y87U7l1VIpGNY/6plEW4uMJF6ratNCj7Rn5XPvQexkVZBOAqjSTxnU6o6QU8KoaJW87 0edYX9Erg7BWqeYPkJ837p7xPWes3gF4EIMXcwQiGB1CCACMuJfYCmlqY5W0sniaT/qd1tfgFqVU zhAWM03n/hPK0/oy8++sRmSISJMscZNcEHwVcEF3Ejqxk5YzulS6q5ueIL3m5ta5+vW5/IGwyNgt 8QrcgSo7m7+ICrpAfRnil8CkAskx8oUlfYAoigL2WQ5MmXz04/C5jJ/4FklZf5Bk8LIyAAadnfWC W0GTmkKGLwZxLjadD8VHSfaQNQV0eehTzD+cWX02030WoEuRTmxr0ma8m7jHWbniPvvxtpJqTjgK vps39CB4Ksj1SDOeWjzc8G1ZMg6YP58EprjLsyEvjuE08MBy3sjWoj13y3SaCqYGAon9zCdY3BjB zmT6IAeFonOBi3dWjJOpbuTFnBonAVUaJ2sS/yOBTb86vddS0IK0HGxdPUBeH37DgOkZIFSeVojA z4QkCWfn1M/yViWA8wN6D3JUE+lWPegDwNv5O5yoIKKLsM1quE6CvwNedjQwcyE28Hkeglf4qxfD KXmTpDHPWKyxNekJXrlZKRMpQ/Uq83Tw9b3LOtyHe/IJQDdzptnicsw20TUI2aN0XlU8NGmYvRr4 I1+ZQtdgDZR07JvgcMImCJgba2W/05pfWOAekjKUeANMR/IAdBRU27ur8nJVnsHTTpRjkMwcCFbL by0LDIzmK8IaODd2BLwtP7H5Looh5u+aDiDINBZceBVjXDvHocb1377IcZwlBQqvqQUm73u6/kH8 hN3BY5vY2pSYqOPT8rvqHznoqgqMHG6wolqHyAw0cVcW6QX0GQGc+6N5DNmzom7p7F6jvg5v9WpW Uw6MsSXf5IJITJIqNQ0Z+XluDK/8SM4Oed4Abu9LfAIhVFLcbqj8ikC+JAoqZQBVf4dYT4KbVYy1 uQsTla113hOtztqFhLsfUu28LRckdfc50sgJe0o1WKJIQToku5LvkRy/vF8cr3wEgCftK88pYIG5 +YKti8czIowX94whQxiJTp8G9SnP3OoqlSE5W5AVgnxJSbIjhRL2zluhBscZDsCCpvekNwb5I6kG QbVGK3Y9oO7i3qSfAJ26QrCjqCf8Vwiet4weWS1umPnWsmo9UM79z+FkAcyVsmBsp0g85IW6ngmD La9hMS1CvhpxL7gikyY2+uHdlwr9OiMZE5a2CRMvcvqIypHjtfR1dL+5r3qcWy/zWbZ9Nrtp+UWm ctvBxk8nd4OlxtfxJ3WKY++VFW8dnpgvfoYoqVlgJzotGhCagm6QfQLmnNRmFPK/qCeuJwrh103v L0IK3rcWNG0088+2ESREv8Wk+SfKd1Rbc9kl7klsn+f/tZMom9O/w0x3BDqvnypYTI3q0fAxuda2 hVM9XJcTrYXr5AqiJqxQdfqcSH0+py/GITj+inpxKdYvoUKGihoJ81NUVNjFvSRkJ9lVeyTaCHwf CQ+akJxseDASBxFGUCUa/IvPX07N3C4xBpe5iOobSUnpNVlaELjqbqwV/EZVemQcjgOs9OZObuev c2riIdeoFFkHLL7Xr7QmE0KbtAEcAhhHBJ1SXKQmea7htlcB386D6XPzjoOO05g+33n3LlCvkUk5 pxRtIbPoPhShl0S1csLx9F+OWbZof/mijYsVTn6kiQs3iea4q5ufmPRrdiBNIyoAkyiFcRXO/NNv zL6kYsujD8hb27waZioIdy8z5oms00EctYGkElOEXQzVfnehETxEiVw29xuTCFLveN5RNicOlOeU nySbajjKHc+wUYOIdz0HihXPLm4WmlfkvVswAwpX9AKtS7Z/iR6KkJzb1znz+grW8gXCr6X0ZwJR PksmL1OPveidpaLUaX2Nh1XSmHQnw2fVuRJ5Mz9ch+r4HChg57jsNg1xQ9UBJe1RkixJiod13ops aX8fMCZF6n33k86aMQB3x3f0S+VJh6sWBTSxLkXhbrl9/058/M4bj99OQneSXThmxNv0al3pRdLQ Iw/agBU3pwS+f3yCw5PQRhZdJyw7OeUgzFNSmf1vNhJUw7v08snZVYrLYkOBMmsibY8jsTfF7pz0 4mXcxxBIWV++KozDWKSyQ9Ob9g3FEvVKbF9p+OQ1J5Fc4D4MlmLZE1RjRiWyLGO+3RdLZ8VL5mcc +p3FgLeqb2HoScluzNH/9Ie6+3gPSzpYqqmzUHFk47RMof22hbZeiXWLEJqjCgcQCa+GYOUPeUTL qbSIjK5BEV0l0/bkjQbhW47co3ef/A/Zd5qdmF2SeLAslST5YNoCU4H+Nnhvz9uzay9gEkEMI/rD mm68BMfTPuubTIozwRfjjEuH5We9JHhoQcx5SBUPbitnzlAcwf04UR8r6oOO0WTSPhpBzyfldKy4 xyFTlelvMLKr8hOzIu51OBINoTOv+Q5Jd4JSmlGYjxIIoNlhcfAOh4TceYRvOOPkx2iWnvv+LLTT BwNHuUDyMJYR2SR18zo7DVrLhiLNqLOaCw9aGdM1pnEYg05KrnmuQ04dpUnBnymCkEO+8lUxW1K7 VVkFd69FrSMwgVDd5Rc4HiSEGEbe0pFBxcjnLAkOX48fZmuRE12IUNCUth47WkOP0G2HJASNme5a 2KGTbrQ87LSa8BZ4+zoRr9DP8PemPp1b6VzjcT+zOlwdvte0RYEceW9AtU6GK33Ce5vpsrhXmsoB f9DE8L+mxYBaNx1GFGyWCTZoYvquRnxbayc9d4CAB3JBEb2OSJBC5haDLsXLoni8oDyE5Wt68pHx URMveQMBb37C5HuXnOtViyRj859An5/wCg582tAfA89JFTunH+yEZlhFQLtq3W1UmzRg33e3O9nt sYtQKfEtLCDBtoAGtp+B9qtpnOrD1zWXgXznMr59T+k6p1xzjz0qzAxyIkkAO/uWlUhxApCM4fVG bSN2WfgP03iYIHBNAPO1NqdAsPh9qNKlaZ6Ok4YQaad3e8kYJKVvWbgnYHVyF/K5Mrjnpqwr3C3g SBZ2ZCCV/iyyMKeiiT4eOI5bv97icbZJTNGMMUmt7ULkOYvDCSvc5IhDegxMqqPmwDorRmqjSlO/ CVZQON2v3QePLdeDDs9JDq/5SFXD+8pcviaEuFrWIpAHqzzZdFCRapkMZBVIOKObR2yvuWXze/8O XtjzVSpZpY2KETRVliPRfrFEn2KaLRdbYh61X2nXmykqLcTMqTYYzUsYSSPgBKpeum16d/n6uZFW kkkppEGbypjV93qIlnSOuYLaaRpVs1b7mkxcguj3Ryc5ZHpZuigfIRvoN5m4bgLdm8L5MyAoSuJo JzwD4us47uU15TF2ho2unqALuwFEvF1BLOkcw1vZV8vuASm8qUxz54cBZsfw/HBUvIRSYXuPF7Ho o6qNjx5olboFPSXlYJVW4DHDVc6dm15w4WHjzpKXGoQgVdY714a0+f4Q/KrBVkDQL1YbYo8zwUu7 oyIRuF3Por2ONXCcLeA+vsEoIkM6HjgWDhaFms8IqaZMohmircwzgHX6wMbY++KVtk4ajYDbEml/ gLYDoVzAzN0qkhj5qfG6COEwf95eSTm0NqYKbV520Ocb1LLL8Kx96O6jgFsNA72+fTdktNNjmQML zbxu1+RfGkvDjho9lp8q/kee78wyYh20IFIDWzw8sg4sN+OSVooG9XXb9fq4smaZKa/g+MsOEoz0 2XaKMyGpHUsxtz9BWDtPUq2j8cj8u+EwxiODqmQsqq5lQQuSBioOd3VX9ZUe+Qt8Ova0xtSDwWSc iW2Nf1xOhtIH0WWwfKUyt26WXjtBNKGqhh87OLC/lfVwNP4WxS8zbTN4JjkME+1kROlKyDNzoqB4 6XEpEysQcz6+Y6R+ob2Gct8mzbF1zd8EhOkXsevtdx/nsLA6dG9nYzE9JqFJAYAmn+OHeum+Qro9 fYvXY8nWSkviDlv+y3iQLQCkB5X1nyNCrHhjlTdGLzHY6E60Q9cC6Q+z93GYCw3BB58Bow9FxWJF Q6oycJEGxQxzYn+r8YVWQAoIs7mckVB7hwNgZtxOp7JXoNbkKBau9JxLTjUDbP8JrYIg1EFj3evJ CqBRZGGrR2Alnx3uvJW562FcBrmkesANDqjqCuJz52NL2oDvnfAW87YucjJNUNTLEJrzJkafjs87 QVFmHn/iFOMUCVXdfA8KUa/QHYQ5c9gLrShS9SksP2VbMAhbnrV6a3XzzjHWObzA0v75FG7IqTcu Ua/itfs4NIAmmITdv6GWrCIbvN9RqpSbd80VN7ntdoLM+lCT1aHZ2ePFE9+f+emfS9MvizV+gEx/ zFXOFYOnJso6VSl9qHdvhnSvXIIDyMnx7hz6nIkh8iqFpWMPkCFnACQWUTQtccM2nrLw9lJ3y34r 1T7xBLvrivvWc2jjvibfxxPdxrqGV/4+mKVA1tpr5QDYR7EyNhfEgaJnrK/Z8YftOlxpFkJWN/29 BQKJPdct/ZCojrHv6MUtKsCFJfK5JOOOK0yOrKRDTneJZpd4+oraS6967qLnX7hQSxo3cdAp7lxI 5jAL+bLsyWvSJ0YnZLYEs31gUnz5Bj2hNXXBlnMmWupDGZU/O720KdYhTIpDhYQ6wK5g3CUyUARM NbTlTZWvvjnjR+h/mYrrs8Ia48UdJqXjJArhT1zZxuiR+7rYXMT2MWCcQNBWu9efPwHg8e0WE4bz xlLx4kCLP5CICR2NNQaXQlgpMhL1QtcwmYZpvxnCwFAPJRCY771EZv7/k6valHoOMguh0Ht5w/Id 5voZ/RS8CWDvN236PmrVMdazhUduGfZEPGnkyAtUafe4m4+qbZjlmwB1Bz1gM2Wf9mZ1fO2d/lPs RV0KQ6CS8+l5kCZ2p0DRcIPAAA+nVqxtLWgAIBR3PwCpfDzFFeR76PHbtk34WP0pfqgOjfnN80w9 UOevjJMp1XKCyDbbT9DiF6m6k6Pb0rPFgp0HdTMDArDcSrVDP/YOeXl98aDXFhCFsKuvyqr4TUHP QP6jdjxptPkhlfA/sheaYpGwol40OH7fXLLAZJUZR3Fl1FjHghfmZaRdFZz1MNTxL+KEnlahO3jf 88lq5keAcWLaoOT1W+VNWMKiWBAM/BbWyuTNgTUaWlTBgaZzaTgmkgRm6NiJkyx2njKVZ8HiIFnE vR08GCr21EZAEYdu8X3RMrTuxS+i9iY1MW8dJfarrqd1HGliqwypCwkIloR/Xq1pYjMIAUE2TzCF fHCipFh389hgMcS1U/I60hPa3P2fjiXzYaM2wi03MCva7oV17/gAWjPM/fgwXCFTEyVQUYvUNV9e WrdkRC5jaFtu2eQzwXucMa5poAy+DlsDjXrZHq/sjNp+w8Ylt5OkI5cJ6jPalrcdo27YjZUZozZX /z3VrXrbqCRtbD5dGz+55Lqo7HJcwZU8bVi44ncbm6eF7SiVkyPHYK8WJCyu/P0FOQN2z1RamU84 zPO+k6om1WfpyiF74Uo+3ZsHq0q3orF+n2r7GWnPRxEA8/WkIVFoSf0sWAzfxZ7NCIMRGuRoG4Fn 8KzGYV5gh9paRO/XunU6ES3jg4uVLmRJ8eCcJPdYWjMq80psCHylWVKnvqMq8DCEmpEwd0XOwhQm Dlj5eyFG8b9888Eg7rNCXv25bbRd5ku9OjwFOLK9g0UHod1hRzvnGCXvkgfpqyK4rRwpiiEgAH07 8puPE+7XkLPr9ivQREPrnD3xtKnhwVfT5e2NtqljHk+HdZX+Wylg70hAjt4Eui35EZ0XmBiUii4+ GBobQ/7ykOTHame5us9zHdW4AUrvUXX+4A1n4qkYBR2G7YNpMuqQn7CVWwSY1Jmqn2NR9lbEpoCI CglZd+5H1oAlaLue9q4g0xeZEHYJkQXg74TUvpi6l0lQhVSV19F5Qyxdxm3cY+BRqCjPWZoDP3ta PKuYAQp0SmAz2MiMwQ/sZJcuGbsRV6vRJXhkLN89fkbEPpeYXYuL6gT+ZIRBwF5UseTkfQmxHfTQ ORLM4859mR5ae0wxrZm5djZb7ybUwuMlh792Xryr7TPbu/j2Fts3b40WYB+VpJ6H/CpeK+Fe211/ RQ8sby/+qn79DCIsk0dW9Owz1WGBccK80Q/f6f9Z0lFykN+ELbIZeHk5LmPL6vNTredSVUALLuZD 6unwptQpIp/bO62+Xx91RgE0QUQ2YZ/dDVbuhjUKxgOyDXv8XQ4yq4KbvgEublmu7glLyZmEz42L NeKiLUhJguN0P5hsP3DvIjzVkNdjMJnL3AY4EiJPtE/P6Q7/d6PvwGqHaPe9iuS40/QMjrVGIbWP P4DWYDdMqY51MLfwx9FYYnnjhtLjPxNT77qgFbIJbM96E+Z8jGXjyoTwz4hV5w8iVZYva1fIdsPd XKRjdIX3Lbm60Qfrh8dlAoK34koJbZgyDG0buBMglEtbcIt3asmA18AZv2nQzi+lw7xYNEN0asC3 AZSW0pWvdEYawhjc1qIFcG8ALMuv6oFQPBmLiAtNFWs5AJpM9jXfJ3L+EA6I58QCbi2mXOvs1GMQ 60mCAqFYHFzQjvaBG4f8zikTaF6lpK9UrZ3zpnB8J340Q2wFjLZecGKfZ7qofpeupnpaOMMVQ6dX /1neAPRXclH1HM9CLzTrOjbeWtP3N0ZzoboYuyUK28VipYVBzymEtQ8a1wo09cU3w9v8JWcovU1H coYOuCArJGlTXA1RB9QPavGOPN958nYke8vHGSna2/lEQTF+w9r7mWQmH8m3ZHHlZGj3X4ngOme1 5ythgUAcgvgN5mQqX13VTXeH+Fvpb4hhHjbcEa4gMalfBkZ0dy5kUbSzmMdpfwyUHhiR/F7CbLdS 6h45Qx63s5JX4uLsruZ80gEmds7a64gzWD11jtnsVW0W2dEj9yyz+6GTGtkOrnrV2for78oLYSRd 1bPmg0EXm7WdFyIQ7VdRhoX/QKpsmkLeZoVc/emejsdgLkbreMs8RXlOqxbW70bGJelQaTvdXXtS VAjNCC1gl9Wfs4226b3GG/1pwZaQUE1tdZIvrMqw1JFLsnyvxYtKlMZHXfeqZTgb7G6AWHqN9FiG X2zMO0+hga7Bt6+rwnFupML8h9kFcqcLgCHOS/cETaJh+WGsBYxLyrUFTsMsTLXPW/JWp6/G3O4Z 4CFhOe4CusV8OILbg66WJiKaUNvZ5l9YjoiDk29KAVvSUgyHYeUeCT6OlbqNdo572/iICeun4ARk cH1hJHWVxyWxElJ1alHj8XUvvieKeHjoWLCTSUKMm3OJzaY7mtLMk31/4Hi17O7V0v95Qvza4lgT Kg7YBhqlicYp8+IAlfhsu+vSU4gR/mfgu9olPFxZ4ZJ1W92O5lK9AXsGBajSlgXTdLVRDKNFmIgm d0NykYNRn1P/Fx6ncYp2JzGjak/h3KMX1UCnxh40C/29YVbf82g8tk2hbHvSZayY2/yPLgaak3FS a3YZeqM6l5mPCmlwfoAWtFc2YuSkMvH7FtxfZUWXG5QwTRmmpdmX0yu9dHEkWLDNADYYYvV4DLks gPAsT8sCs7lqfAdEQT379E2sk5dw0YjrLhj3FOifka0w5V1mILCxAhU9H2iZS+RezgdLWmTloWNn LeGqriK8FayoR5JWko01N3m81QxzsxdjFMMvgzITI8jzCG2xBfTaWwp80abreMisENweLiTul/8z 091k+mahUBMtY/ImmveMa9Z6UD5Db8tzQcIzsF0SmSpnOg7S9cehYB/4CVVIilBq0j4K5ggRjuNc 8hc4LhcY37M/XIcSiDgUJQ3YjcLkiWDP62YOw4FfLRN3NwxTtg3cuqKMRu1e7S1gKaUfyCetibSh yafYzqIGjztQtsG8N8+G68j/cNmwCT7GJCpM96jxqlUllLYrso7ml7HkTblpdgfcIw8+eQz+9HWJ tofLDigPmD02g+fCUKQzmVtN/aFfiHS8CZSKSAt7Uhr7gQtF10c5uyzGQc52fhzH+nrrNhtjgReY tpd2zRN27TqiqbOhME6xmbFqr0OeCMge+xpzuXsEykkm3dC58iAGLulNPXvR5p5gsx389XlOjlRM VRZ/tkRFHwnLh1OvbERuk71j3d1jIFtAtTp5QQEZCZU/kXd6CZPHkXeaRdQ77PSAymWSNsGlJ/1x exMHEUn35gBfb59PruD1c9h6VaVJWsoyIESwdP51ZbdVHXR2hHIhV3UbGELXuBgXi431+IgyvCgP kLCndXkb4tvSu9LkNrrh81h2eF0d5DGMsERoegHUfrONU3EAC8A3wGzGaJhRCiDEYFhrXN3qrDgE Cbpsj0w301bwPSDemCkGgiazeUC1/ChI3cy8MtbVLPBIoeQmfdzALYk67LZw4PkQUI788XBZFTzx jbi90vzqghVuudKMxgdiZBA1NOoen+rXZc+hOfrVcgh4Z8JcePaeky9jd8yoXZyp78+a75Me66mG Yv1gGO2w/pBEDJBRe4JxISPK2ZdM+8uTHpYD/HAmCrEUfwXsNV+gjePdnPuTLVRhWLNQ1n2TKUVW Pk9VXDktl2tuKYantD/I/0oxnu9cKM8NPskWQQB8MxeD7MxB2uCFm3SzYVrz+fGYnYEPETG71/ZK KuKP8cFIa4a9zmosbXd1zZVljtAzba/+3IchwXLAqtG046rN4QY13T+zEBv3fNjDPIF6cgWO1+nW Mtz3sF0mh2g9GQubPoALDdapYdt/BoSOuWsXFhK/kpZtQSVEq9SfyzaWLoom6Hvo0JwVSlq2y1ZD C9A6F4EoYKnNZjrttdjl9t1T2M+ZovmMBsFQ4TEIYE9H7+Gr6KBwLLOmrU70Q/JIBchhhLJ8gXm0 N4vNLI3f6QBBX+Q+CjJY5VSgffATOlM5fby3Ng66aE+6eVv7JZtIzobPteR1BSzBqbr5pMjYTyvh cFWcNM9QWz0uH6362A/qT0MqYMlFXuKUPHoZ9NRTK0I//4QZVUu0Mi3cSmUmJ1yHUMD65pAlK2gU /mmbBP+j3zqbl52q9K9R9t7pVorBmClRSXPW7Ml3+G+ZEbWzOA+dwYWQnybbE9Bi/gM+z0TkIyPI geHSe4GacfaiznzgXt9Xa+UOLTsEr+YZsNtQIJRtJ6dk7LtmLKNLeloEMT24qFgOkNPTcQ+8NJys uRDq8f16pJTzfuEJ6HQLYHkfbFQmaSZn9LHSpaUVrNVoJHoZOP9gPMibsflb2a93yBB2uyJbGf9K 9abZhlN6D0gRs7rRL4UAWFshbBMN7qGCU7MgMaXzb6eCkVJySzAn2ps8i2IBLwdeRQsKxGgo9D80 4FPIij68FEGagS7TbHy8qfleOi2IHAfrPZSVPktlyUFu5pHkH7bxRsszVjzImTI8hqEL/VCGRqdd jc3kYwEZDntrHy6DZWfYssZf6Wi/fPfI7EyDXdfZXYqngs+MyHhLFz377IlFa/JPfDZNZE9ZS2N2 PgTJHcQ+Np+3YOmb66tbCLgEa2ay/ufn+plkqhRTnRlRvBLB6EoKo9FJwQB3ZQHTitjn/65294XV mrZFIaCwlRvhQo2n1r6n/WEMXmn4pdjhPgy/5jmAqypRBINkee7OL28zUrL6y51oTa/YeKHga2YH x1NsgOS0pbNC1N+nU4ctYIEXzYk4BLd1juRSrk0Ee3ipa+tDu8ksYjdN1chEM5UAfueInQblUGCR pNc9bgp/PlvDjrMl1/jwK21jR0xa3gdzgOuLj3AIJqGLamyhS5AM7iBdB/Ip8s5/5MmYQS1Uc8KH DKi8L084VBnl5dy/SO5DoNV/d7ktZEupMOf0BZBLU/89NbNW0kieW0kLG7z+EoWzRSwbC9r7xxzo KsjeSV6w6BkyXynMbUuKWZUkIUa/Hf7/kZmH1hv/FwH7oox2GzDzeXa5o17yfXD2FI9tpIaru4tD VLJWpSsRuQ3nva1jH3ubPMik1mwYWsnawLTZHP7pAqnMfUZwcaikzwW1ThIp8smprezdx31D08Qt dD85YFTsG+G2t6LpQobu09X5p3Luedh58q5rP6mv0D1M60yOtMx/e1A4R6+6To5+SMMRJXgqsHoz eIqOPbpRlAYbeQUkK9Vchgq7++OWiVJy+hZ86Li4sa3o8G9et+bLVY8awJ8OpL4H7xdl5GKmxtkj PJxuZToNQLbpq13rnXv5U7l4RK9YA95xcPXwBhmRksAY8mxQ+EnN1lQwtqLa7rNRnhhouX3T3FNA G8Q+y86f6vruxIWD2nO45TlfmcE0egr5wJRoqmvReZnSt+3G652UNlIxmpTKTBzH+zm5MQCaiGAd oiQDImUqIvcy8YY7GWE8qexjnMWV9/1E86ziWnn7ne3P3yrRxmQ0XF//R0oY7K2pJ7OZgF1b2oYC eqo+lcw5dr0wV+Hj+XxfpcHBcKsgsG4Haw+SsShxwcj5TnOhQVJpdE2/Ld85IcI5T1mHMYmNblR5 n7eq91DzdtmRHbopbB1X38nvatd6YgviIdgxuVLed8do1j0l6Emc1rBTtysqPsVzBlfKo7M0t8Cp CwrE+dXwleRkfrQpmbcboGb1npfB/iAz1D7sdHW0QbYdl0gBw+QUFQp9QxKOdeZv92F8agBS1K4B 7XAvubGXiKJXtpR4W4eV8RY287P3LgijNkehqIkO/sSG4leeYltt0jmHXPR/AmqKvSWuHAqpEAf7 wj5cwOGUXDyKmJvUJHhUg2xNrDVV+4Vv3p4ezUbZS6bTEOMKm8zjfRpY106/yYL7gJitEccTBC/s 5kgmLYgeLYpERMjZzUrDlc5xJZ0wCRQPnbaauxQhnMOdSXACxE43gC9DKhmEYCXmt75VvmBocYsp 0vl643ZPUeMjlyFuljwepn8NrCqUGdmk5uUwG8SbOX2qVLYRNWCln0AHg3Sf0giwDdFnu46xc/bt bfNOmCMMn724JYFz/Q6CtiKcPtm8WVvt9lvgiMtKRenCvMCE/b1wCKynu/wE7Sc7iLQyNya1SRQN pxEQ3jW2RUBf4vAP1AN5davF8BI9Q3uO6+EPbRZmOImO//bIQJVvKi+lQgYqsHhueO9NWLVFYTNs vviTJPEeHB+nR1hz6h8dGvHOAxdkkujGKSjzamX62/s5TS/+lXR0CR1zI4YrYM0IoLcesQJpeSSi U4JUenQ1dSTYmy4PYN0nRoeMbC3rjPq47HKl93n66b20+5RisPG/XBSsoAyMnv2l47MvQX9keU0S kBUTAsNCig7XLvs5SFmcyUce7Got8tMNQvVBUxONlG5N0ZVIpNxG0rTJcJAYVds2/z1Cw0tdaXNb 3AGyG7E7CSGml1+P/HyufSgMSZ8FP98z0+dJEC44R2cRqRJAoLFVyh91lEp0FniAkKlg0r2UQmkp h2kA6iqag70QU6fum+UFt3GHe2CV3f/OPqW3vpk3sMNb7OJku9nq27z+vAyjHQeYgn9rU6Xx3g8k LPskSBo+IOJx3L0dQOy2qqMdpy5dO9Ze1CQqxAvC+kycRC75de5ySuS6j37SHThp7hx1zH5VLgxD VO8Ju1afu7zRCDA6Z5zQpcTASk6jmfieLDxQ09ZjTFWTeKEW4j7+GlO0M0Hyoe9JJhERsKonYHvS eqcAc/5l4R3Eqv+DrhopERIHH61qZBOsSS4WNeSC4kfjxkvgVmFO688EM/KfGwjbiGO4Cqq9cVmn HRPYeiSkjLt52KCcHt1Avu5c9056W9L6Rjkyu1PfFIMBmxWBPzPZbk8P96nA6pjH8KedJZnS7Uat EqyW6BN6LU7ZnKaRbVQVeev5bk1zDOrf2l4vShNOAiG2xhq8VkyjI6BcMHMcXKeWtbEo8QLbNk6H cr2m5FBM650IGdO9GHyBoB5Q6CwJuCEzg7D4kYO2FUYKli/ijDfq/YzFDGzqEjcQ71pFEy4jDcJF Sy8B0OGkMVMXJZfjLl5WT4R4x0IXfuxtR69sn0BXUdaJxlXsT6HNSB+C7d/NPMwq62EaTEqZY5vg GUeTFm5NTIMrIHpCAN11Hp8jeHJeMAYu3EjhbHJNCLjYglCnGYVaKhffdY1X626FHy2yLYfars7+ s0Y9RtQe8bVpr8uRbY4JzyEd0IxZCX1SIxoMNO+K7oWxZqtULvDC9I677+V1jwunNSxWq0mKGz1U k4fw2jnUhq/FGu7tWrsA3aPiyJPKPZdbE41mOXsxvefquiLSSWDcJKVmMAx9WMoU79VfozN7qIS+ 8k1UUMKQAbm+NfJYz8nXS96sagBPKHYJceXpqZWtVTzN9iklcMaVwLgaDmDCRPT5u9uaOKV1Cfv1 Qtp1RQyzB0VUNDP1CaqpOkdHvRtHemhNHjpPoUdPSCH2kW9R81uOH15sHtt1fi7tLm7R4uCe/srv GMXd6z682YPJvDBQTdogUT/65QCPTz1M7T5ouaeSXC9Hc76EYQ5KtKMy2XVU4rx9x1KHn+M9Fub6 4WdLrrbY54VEiy+N1mNX1NohkvIkAK5avivlm91WO6KmDli+yW3Bt3m3b8UTG1QhgRPE72IdGtiM KxNZ4uyiV+tKdBnltI3WNzJsrA7R6JthcQwt07BRMLtGfgHRHBqRE+6ZfsOVZIDO7A1e25jprVop BQuv9XABotoeWLT1hYkSVxCzC8BqCKIRBtCOUw5Bxi8QSfwdSBc6WrZMN2fVI0s8WznNTcR1UnjK OYd10VmYZnrbcSxfYznF91euEEdp6tQa4aWQcuJrdya7TgvkTbFfUlckAC6VAwjscytmOsgw+Tjq e2O6PLgmQTXgsQCzj4p5WbyL2Ge2g+/DvdBuUSmT8pumDlSJpG+geovtpa1bhviPYUMGDkHrNnJk pcq/MPHCKKzjQrh6/JGX5S0rx4HZPHNpnJvRjsYbj5QVENSr1mggSc07jdPnA4z5wzRn+G6eYlhW PmOES7mKpnT1o6sb8qtSIcjsdSW/6IKiqmHOUKIL0QwOkEqeuBMLHSFmqK5Snj5LwF82Xf/EMBju ly2VrLhCTjdWCCvUe9LEvJRb2unqR0xDOS2jLxtfmFH1gRBE9yjaeWRSOGN/5W/LN1z06gw0hyGr kObyuUgBCaMqXk/vGDKxwP4sJ4SqwK6bz9pTFufMlXM7F5vi9oXSvXOJ4MxunDCfPI2AxJsf+c71 GfuOc7CP8v+cUcEpBPqbXWw59dOrQDe8bIXOL0Hth14iZ3FQ23rM6HhYG3pXpy2DbgeV3CivpTNJ bCBC7OtbZIdCoo859VAOM0ciSra3LOcXn3+6wlio6VB0ZTdmEmRvYyWLHt/2z46MH87B7odJ1yjy u6abn6ZXaIYLXaflHpo5pDpTsGV31utNeEjsraPCoqksPPrDajeJMz1nhxZrkv4dpKETzp4GedKv gfQ+Hsm9YZ9O5DvSDg6EAjjrKSPC392wfI95IH884v+tdQ50o+SZJ60a2wR8iwTAza88GOKex4yP GFbD96lT1G5krXuRXOJ0Bs3/x3Mfc1OGpc1KwAlxFbQNP56NEK5A/Q8K3tnTairtrZZtNlzE/rcG Mf/s5rgRYpFCOy98fQcfdL1NWi+y/2cgtDrG7ObyLcjV5sUC/XsgeSeSrQA7rEiVXUWR01lqPxtr TgdUoi2mdBrjXuzXB8UTIi+a9deHctHbCuM8+ePTJA/995TZ5TvEFUSOIv1NpBXYZJvjuImb3UJp 2irlfM29EnZCIwJCiuNmYEXPtsANHipiwycc15RawhpIw308rk/kfuiFkUsG+8/Fpybsw8YoYTQ8 D/CJha1MhrdyyEmqjmLSbTc8vxVBtCfJNw0Mr9jui3SDT6QTI1ADIOX6MephKbpyPQ9f0TOJtIHA Js68zYaGrlKLnlVcvPK5e9Myvn/bbfLHY2SRZevoGe8vzSztSJiXFoV8b2jI2LmO8J3k+aqIgFRb Aup5bBkmTKgMYOyG4qDVu5eVBYUJLGQmPeQ2FxT9EiNe+efWJG+Vig15JWNHilFCwEW1RJUVci5I k/lKIkzJ/5nCXRv8BOTs1CoE1HqPZeM+myT6EHOpEDEhagBqDyYLnsSbNCw/vj2u0ne+07yyYLXw 96UlUGypVtQvTC+6pR+q7mrcU0CKcoBr8OUYtZ3bcfOBHcDxBpOWJ66ZQlE0p4kb3UnLhZIPLtER CaHixt+zjdC8LYmoiwzYIaZzSvdJ7RfASTS4WF5AWpYKK1in0PichkMN20/ovE6Tl4ar92VbTrSS PvG07zfr6CvZ/jfl6/WdY7v2Wg0JSl0uHJTQ9eBlWvMleu0mwFyk1WIi9PBN4NII/Aafo05s/sXG h+sa/DPwJwYor4Fw1AvhxKS7L7NIOOq5JYGmZU45B6g4N6kXhK4rsSu5thYQ6ldcP8S4kn2ytE8y k26JKZZZOCHPUXTAS/fElzMVVAsaQLHk+CPSI0DJJGn1kI5g1/s851bj3RWAW4CnGkOs1qYH+CMB wD3dXLLL/sQSFMTdoTwq42HKU2vXrD/T655bO6Q+k5MAMlb7MnRLsWfTdoAjx2pueEoyyj+yg50k BRHcOQGOrc4ORe5bzP7hGbvb8Ayw1J+grqDkmeQXEHX2vpfy63I/Hr9xCRmEsdk0isUyjy+pX50b QdAvHrR9md33U4atxF9D3piQPj5cXKCUAW1/wP35nWQCYtaiURBgblPbu+33N3OJ9ps6Z+q3fYBW TO9oZSvgWULs6fJmI4mIGFKKOvS64vR8Nkp3pszRSx0SADowQiSxqnr9vY1U3uf2SNefbWhtlpMP hOmiTg+q+8NLi1AMrupENCIRFwhJ+xNrB67QcdkrSEMeGp+yuJwgCjXgd1XOEt2GJckZWw8swyQp bQvlXQ5SUSFpmLBtEvUZoYTBoZlsa2lenLIrKCCb8zYsfSEHvwjqQjNG+zaKa+PTPbxJbrM905UN j411EyJFVsUomMSpV7DqGA7opigMEwQoGX1y+vfD5M9cAIdT5SuezJ+LQ/df2JUl/FR9l6Uw7Ex1 ESl47+bOPt7Pyrp9BWfsIcVXV0+d2d9f57Bx/eFNMJlOxP++D8F1KgCVzlgC+6qIXdSXBGEqyC8k Q6zJQyf6OpFTvInjGgEc4t1WWXyI3YIZGEjawJJgs4SiS2VMS08NWShtig9evAQJ43o8yGls2kUq qrGaFbXEiTCsMQr3m67/MlP8axkuH8yQLHPB4KFeDDJ05IQiP7t0teGeWDo/x7smBi+SVeYnmqH6 Znb440qp3oityV2HdrjQv17hOBWqCNafH9iW1d8Y9sIWu/GZ861b+5x9eSDWI2D6tdJhY8lsWS+U UO0tmpOcSVSZsySWhYiEPz0eKO9xpJubShR+JenSHtKq8cPxvyuejRgEIr2M5/b2wyQsOXT7PihX 8XLOySphcXGxRAIh+mwdJNyzVogeDLPuxsI0bsRcoNqPDcLy0faXMqNxpybgBl+KojQToMBWKbXI 40wNBUxOnqZ4tq8alzlq5GuoQ0x7rhvZ/4nXmGha08Zh1AOqgz71ggeO1o9FUakLhhCaGHPwYLG4 jGfqiVLXIdL+yRY425dxlVFfisHY1n0p3coDcpj/RYYpPTmW0QZ0Ouc02z4OXEXTzCkfbXp5CKDM 40M4jWXYvrvzszdtodM3Gzm5F9J5ERMH7Yyb53BmYu2FtRpZBMuGuLZWbHfiYVEwEqRi+iGkEKYT xwvCldgXc+3MDF/7Bvmqp60RTGPZNp87VihVn1anZHtVJELWwc8UNIjifxZQBzEtX4oeBOevzUor kh7aDns3D1fXnkKClkSnMDj9q+AXf//AJeVXFauCpTFV482igE7rLeLi57/I21hH7CubmZD21YE3 i8x5DbRHdO97HXPqHf+QGnHwGEzyzifFde4Vc8FvE64MX8FkqFeAh/PmxHGUkypaVeOGryAxIoUJ ge4rawtwQUS42lZrBM2Mby69BXyEK+jSDLkWkkeAYfd1zTCYj2tzpvMocttMdwwqFskRC8zuc1hi TCB4s7gwjCct/ChhpedQT4cYofqLFzXReBJh8aH2dulA4s25u+xYdQtqE54S2ZI+efSI6cRE/z82 Jdtw4Po2He3vbRAxNaQ6vHfmhrNhAN6LhfWbEP5EmEOo7dj74kSWlJS2/LD/psODHy/LPK16TSKC yCjvyf7/FZjpfDwhGuFZtsVm4Bm8gztIKnWYAA8M8PwSnLeYAzWTM8C30/uG2CHA6Hkxc550AFnn DyoF1VHCAIAqF+sx8lkgRszQczjpSGzxoWBiIZCQpJ3iGB6bILhE8R0wHqNLo9jIBS0k/kvoKf93 fDfh+8nmG/3CE0ZdvW2ALhtsJkBhfQOazc+NZ6A8QQuF4eWlXjJXjnWcNkfCnPO3xtZJ5og3PbRQ ynKvhtF5DfNKy/1KIy0rEcOf3U/5EJp8+V5Lfw4qnsFeu2x4BjstJoAYQCQIp3dr47mM1ghTtIZi 66h5IdrFIPcchh6vLiCzPMs8EZ/9YdqtyJL+8NraJ+ib0bSsbggC+rBdh+Me8eS0A/tWv0W+b/Zq nheJ7Ua+yeRjfwMfcPYL3yLRp2z1K1ptAsOSS/m096GWR1feV5PrSo3hGGY/yFn1eUty/h+a3N6r Vx3jPJKk+VGbg8uXqxaJiYwdFxtDXoRdFLISWm9HaM++sAHL9gJM8srlVzsBeD1j3HvJlj9MCRLN c+EMPEnss+pe9XR7UfnUeJOrOnmZFP+2lP6x/lq14fluwDfW2bkiWi3L1iQXf5VEi5irHQPRDCew EcmrgbzTZMRWQMQdzQjdUxIDFh6zopiWCVWx5DdLQelGpODDsEuDlJ5GNqAI9PTiTTn43lsfEU51 bpnckR0kmn4/vbIxdvWZCi0v8YdwotDXtjVgE3FU8srdl0wOX5WxCf+8YVHBKFwnDCbhBVRIabo8 dH00ikYQvRxtMEP8w3EXJBuY903uwN4boxWcdMCcViSzIETezj6Reibsge3xHJvoaOrXDKRfNL5J 0oeMA8Nw2y6o2QSmxsGupSOiY5bSGz2TzbOkU33R+jxHJgKLgVlrDQZMTgHBHbWdh82yBno2BeDG 3ofbYBJ2uSkqZWPxwXuquFUaiJJ8xiQ8hCEbnh1K1XoeKuohMP1mfY14KVY1t9JCd5e0RK4jG2Kv ZHVQuveoHrV/DDpQfpfR98ISZTRasBJcUvUaDchKJ2nI0CKZHcuHi5fMKDcqJ3TUujbSjq04t06t 1ETPPlZ8trh/kIRpOOxplumYOlXDRl5vHpthVkAZpCpdcR8kJt9dfHBbRJ/K+ABgcJxYusTWG3IK n6d5SQYRmwWFdW5ABe8UzwU9EuIK67uTl2qMXU26Ezo7zJ/GliQmSrPfE8/hNtA7FAzbD7iUaKyp BojwES2oMSjTVz/EucUgVzIERuK2uPjW85x6el8ZG7Uv3EUisPkJJj09Jueeb2xwta3k+05cb7Ui OIzaLX6NJ44/2ZgQs4q8COYB7t0/p1yLJeicbiN4a/KKYSTEFeu464JcVQjZKL7jfe5GC0ilhT/Z J+IRhfn3YrLx7gGYWnTZPFpS1qLn8Z3IScns5ZKy3vtjF9mn6GiunpX0ISpI5yJ7kSUb2/yEtScp MADVACgsUVIYVEU2v6mco4xiTArbJVx1NMgkfP6dbyxBF1+owUq6hNanHcLarEMv+sA4dB+Zrsty jXO/L3ks7AC/GxhoKD8nZbpMlGCkauN/0tJcJFgqeBd3xzOGbr1OAjkUEQBoW622496E8j9GdGw0 JK0EL4qwwgDkGc37YldNOd6py2vKHtczK0ThNHpGmF13P+iRaIfvLWJlVh/FHNh4FPEpl5dANvDG lNSsuZqINw+rsDPsvqcfEmP5XgtPWZwogrV3fxFMXmnxgk2I3gGE13vHhCcO+K0yyUReJbmATyig 3tXhNg5itk2vmyXgI+Y5ynMO9V5mGL50IIKTA3i4ClV1OI0FNrPIcyIJUY3JR/Ct2xQHOwT3NXuj yBaJM3G/Ma7xpSfz+L7KbXOeC6LK2bz5CN2aDxKpmA09BZgnbLL6M6e3qsAoazTXm4I/RZ4J7SD7 r+Y+Awp5h2mtKSy7EKtNDifg5ScOutf067DWXJYdQIpFI8RYOyO6RuOWUFKdSt12XiHGa2lX/huo 7v6oikO3938lbPwMRnjGluRIsK9HKw+pMGwFmQm6wV88eRnhJY1qZC0mnR9tHsYdN8gLLCTLhS1L l/+sX5btQsH3Nr8UktcfkTxMaVe01ZiNiYG85YK+67DOEAdgUZPZXsP0n7bF18nTd0AV9GZTPCKR K0O7sjcxw3U+G6rcV5zp88E+5TKNzvpbw/qK9921RWGSmUKw6n0Thl102CggP0HtGJ5LEKWZzJ3w g0xgxt1r4k77p6meT7v6Jnv3dv7KGmvw41YeyTmEDaohc86yY6kYqUiO/WuqXyxV/tsOc6SM+rNO u+OlpLSvCWnXQ+xRMwtXr1XmwRDpvTTN8m7mExcpeaIqCjHMM/4N47y4OncAKPgS8W9m8PS+y0B8 F10LyTwIo+crm5JpgjgeB7rOZ3W2la0S/X+B6cRlLBqBqhNvXZ5u132AIye5WtwK7ROnoo84/SM0 EthIt2YpsVQy1PW6cQOpos60d8lTGdP4zFuxaGciyO5+vWvq9xngFnnx2Wu9iswjDIfnx04INqVO EgTU+tHjFVGa0di8/fqWQJMz0g39oGnfyN/QaTjJIPo5oLKFxBsZ5jDDrHOG+HRlWcr0iM4i664l E78cSyRG4w7S30ZrB6gxQdppAOqAprz8x7PQV04WTbusAnVyqVrEHQ2+ARG2e2/0UZYbTxmIL/7W ZqZWLvYKYleCxzlo/xJJItoytrjrqQUiUR2OAIpoO48dlS+pcrSiClYXxMd77gl6LJfMnKcgAzf8 3nbvR8Q9eLwqSBmqW0JQKwViqUaeWP7+i6KZyohHU8b0wXh7CJ1D2lhSjsNwCMwz2zUYAp1kkCoZ mJbfp/3KyuYpyF0OeDGnf/iVYjEyrfXCWrY9L/fRZoVKrvwtwyBbh3IhuP5CNCY0LeLWTFLqARXz 8dKCD9uVPScTqboexaNpwAJF0Vx092w7rU53EstbFo2eUiAXGWVC/XtBRr5V/dk0rlyjBvJbNXNt VxsEa4OifopNfPeQ7I0R6vr9qwDrubUjc2VFHXL696d3/BICOTsbGsbMTyCY0VW9tjKtX/FY5Uf9 oNjzeyxmdSV1sVYoc5IVYp2gNDg1vIeKYx42KmObLaBzUE/ViJ3VBTbGiuVorhSgIle/xI64TgyH gb1L0G9Snf35eRl4947Gt6qk8kHlCfBqx79apxJuQJMayt5eUkn09IRKKFvjCiSXPMpI5PAoeUTZ Df6UFo0GHuSWAxtUnmPGsuVYnizMsFDT/7gZts3u+EqZTSB7C445v+8kxuvvyG11P0WOx3797H7X uD7djlhmyUQYU8jR+bbDuLTGL03TpFr8u5seNXo4gvXBL8a91vJ7ZwREGV314BfGnjilp7vJn0nd yPRMxPXXTBRZLrW4fsBo4g6kbBSSl1CWzvWa1ikqZWFkoB9G9DdZSRDHj1EG+Tx8JCclZzxT0Na+ lNMk6gKj0JxQ1ETUrAWKh3BSm453KV+z3xOiGfy6ieS2Itb+wpZTWhtQsPpDkDuJKmqYTFVh3U6u pZ1aZUoAlQdDBz7cMP91c8qNv8eO2FRaOtZ+YVucxc8ccCH9YuXC7wSdbD5PhMRhwjULqAsb4ZE1 YH6zwqiPtq2bw5lcaye46GnRC3eEWAbguGQRLmUvOIo83y86En9m8oIQkJUZNN7txRnolP7ESkdV jZf928dwi+x1lxnXD0LK/y1HQNZUvvrCD3cEweub42E3of4HVBrtiQMdNGoVY9mx6MbHC/w3ZwQP J1KqhE/2W/myp3UyHPBKGPG5M+LrpXx0PJfTsY+8p2sIJV1yZUYet/Cp2HG9RQSimPQwsTwVtsA/ FMMQUfyG3vOIopXb/2Nzkral/thEnWHq7uFlHxSnRRgvqGtB7uHzV+bz78hNZXvL5RnhMgddl7SL hcTUhkJucF4nfj5LlbDsXTrfHvqZk4xvWM0uAErny0TerGodMfGm5n4rNZv9VxIngafPfZ64/tHn WnB7Oq3Mia7MH8L3tMR0p+VXWVd+yqFymCkhhoSjuy+cep3TzKvXQ5ik40D8Pt0gkS+Pbbrxf2x6 riMrgXcxtrScUdsjWfH/6f4hlrHcF/TFY6NiQR5e/xKPcAJZdsn3m2cfSxoyeesyYDWLRZz/z1Y6 /RFNqEUq3I4nT1jv2guUyxXbdXONdWs/Endmv49Ja7WUdrNHdszjuEJyLzmdGZLI0hjyixxzRDHr j9cBSyvrAqTDgRMB2rHnK5ZK//nxOGiVS9zwLRhIQFX95jqj1bnecO+7e6DfgVIRKIK+ZiL08hiQ g4MnXind3QxBszCs3IAN0YiBZUQraCPJRL8yYnqAje+cMW+BjrYyqTZ8rdJz2221YKiZrP1PqjhE Ni5TPbs3JOb4J/TGfNsGr5ME81atZGTNdFGo+8Lj7OIL8FHlDHU5CESEQfpNakmoCPN6NO49pVOL mb3aMcG1WrILK5jMDVXEhMgsOtb5xmbDvxjWcyj1MqDNHDcqIYCRfyCxGg71FP/yl6AkxUB5IylA 9LOCRHROZ9f3mSpWz1Ik1nDbQbLVKCwpmZVOciZ9I3cGl+cYplNnzDMTky7xSz6D41fAdYFVDLJa GZZf5KEalXqE8yUwTXO4vMvcpUb3q9TGV6mWRhIfIAxqfrn1+8am1WO2V2x0GRyBL2cZ4wBA6rpJ Ze1et/A05gujfuSB8qetgrmsXx494pVj6IGaRaNP+DwR5JObvZO8VecqZ4HEXUBv2wjeyIVHGlKG yr/9ASpquPfR+odd5tCU2PCddLWDwc/lbjjImauUgZaeqAvcUwy+bV1ummKVUJUcz/1owq3SoWgb 3iKm/orVuUCB96lRGlZEzk/VErqXulkODRb9MGNdekbl1fLw0pmRKp4e9fht1YpJfbvGWHGJTCL1 qNDmyidUuwDfwMahH0aK1jqyIEYEPpvQZwW6XJ/5BEE+vLbCXjj95lRiQLkekiNr9EkEtpop96gk uD1+f1wQNV5sBzUiL+D8PLeWNGSapCTxQBpnnZIBNHFBL+xSHERGCQ4ylrhBcHsHiO9Es3B1y95i PorjoEtxFsLatRwLqaKLZgVnfaVJUxYsOLU745hulKVi3tX8mrSnTKCboA796kv3scQY/i/9qDIm iPPs0OLh54jMhOSSmU71nUTuyvHafHnZ/q2dO4zEnFl6pFlV4BOyKRBYbT8TW4h1oheJvFsThksU 6xNoS3ntD4m+WIkHoji5pNB1Qtx4ke6RokFkHXxenY1Sb882vJwBq2pi9jZ96DbZEbkzYsjo8W16 +0BGf5boUBXV5fDaimCefQJNnRRn3oteYdlm3+Ftp5Yt1KeOOmf3TLbDp4LdyMFNa5xBMTNAPL6j q3Klmui/CSg3qP92OAgHr2MuPhOWzmbHGUK+AOtCp211tYX/Z5HrFncDxIgCYikfvq3gFU7b1cM6 PpX67Gh7zM2VK9F0nqKlWSGpbmB/Ul4hRPtaGOEiFHY862QHo9JZ/8ucGu6TTInVDguzu9GF2QW/ sTfXBCs5xvPy6ENIo8dC4AFhUhcCAdjKb4lFNzvcjclyTa2q+4HmNxB42Ir/VOyhYkUWtV5KwIsv CdNZ4ZXZde4+l6E+Idzgp4npCzFvYH0luu39x5S8niC4n98O+Y0zBB6/vS3L00QCaTAhiRguhOOr LuKm2EMeioQSBd/s0Uq3CVs5UsTZ+8wpn+EFEJMpWkZoKBLk1zej40UY0bZHeVPVVyZ1hCK0pj+5 i14PKoY6cTbM3JnxVYk2UsubJDXIH+di6pglCvqNCZHNOo27LE7ZZ3+xjLZWtyxdUj3WvzbmQYBG nVexI9ViUybDy2gJZ7Hbw9Qi6JhCzVkVbA2rDsS9USvhRtczrGTLTvM9+361FuFIhS8vcmohLc8H fAkC1g6LN7qWSa+i1FcNuNagxgCmRnmV1hOAkfUnNfK+lkbIP3glk3p9JcgGjanaVGaJBDHW4AXR RlCOVIzAMbPzrL2y06YDZm1lh4Bgn3E1IYlRX0Fji70bya6+xruown8pJDXMFNf7PILquD0h64r1 pgkeIapHi6exswAe0QSIlmSq+9YEt/sDdULpirKjWsDD+vweINeHvKMrtMUPv4Ty6LDm+ql2Oc2U HlwIOSyR3A2++GJuvtlzEUv5Vx9aHqAFgGfpjJEoB7HU3nUF9A6AblZ++z97Vmc4HR0SpwbK9t69 slEtH1Dxz0ihJ8i+W5nDjCZaM/zXg/JplQ0wjQ1COtq6xjU6I4iV6opgVWzETCQNFI9Q2WhfJoC3 ip3H87b38ruCT7deiFvNMhX30deSlKr7QIpNDEPq1lpiDAWFTqVl8ipHquGg3V3D1upm91YCxxaV UB5d+4ZfSfEKlPsS8Y/KY4PpXpNa9Ix+9MHx6bIf+AM18F+nO80nXULt1PTLwvkrhxLsZy/BMAVw XPkjWj2X0jnOqgTBvGBRZXdNdtxbEYKTIdxmphfYx2oOgeahktNfXrViD1KOry1Kq5V050OaPyAH KwHOI5iAWZ991WVqvSm5utt61cIjpNluPjH2GgR6syoZwnwpZGbiOlHOkwISe4/HFywqlblt8oZy AzqTqW+mmvZDKp+bzg6YSR2TpAonR9YBWJLS3hgklH4IPfbAStFwhvQGDO6v3a9uNrCRjQXWcSVl PHi/HkPbGGDg1pt3TbCh7tYluSiokTy6qy5psx0sqSl/KVshpsckDVA45ARMBBKKxDFh9x7f5VUM GaF0GhB4A/GiW4dZm/Wu7eVPNWTNobXD5E4Q9sMng+3yC0dOavMMNI6fNyhBZr4FtmoVyTBC/PE7 OP91vf9aM6f9DOmgMeNha0sFjNH3VcnCoZTwb/g1R6dakM5wBDBK/EoHyhjt5GdcywL3Nc3psW+l cy6bjmExfk9S1hfKQ8uZHgFnFF+D4RAAXzLS+97Q/SrJqwQ9HfoT4MP2pG76GU59DkLG7OqxblWd b6iNy0WvHWnmHO7PpTMTMsdS38sJlpQzUhyVcrcrfjQ7w0xh+W2S0UDJ4kOidy/s4zHBKsVf/h+b X5Zh9Qz5sSTbXMCYg8mWYCX/sygFf27AyoI55BqvvP9kvGcO3IlVf4MYepCINur7PMd32IAncPFC Z5C5xe6HFoy34/wmTiYaJsjIubob2o3bim3uC3COOcTB9Xp/NmFQU+xM/732gIS21V9+6/4j043c dE5EBaueqe86gwweA5hS2IAHZLNOZN3mjzPNODHKHe+9Jdnkfa6Y0KdR5kFResoBFdIQIsY9SRcq jfmj2w/6k1YFsnuMzA+MB+bKDx0ho2hHSAZ4zUnq4TDHkhuJcbO9XqP/ArFt6yxP4m1uPDjz0Y41 hXMvwzUQfsgyBVYjBStPOCDmlww9LcNraT7eX0v4gi2RbG2cC3pyqDTXiJ+PxSK5qxMx4SPlxKvX 1OqPigvhLrEoPTuqf2eCgolvqww2S4D5Bg5iFOZiNb30hP5z+CM6NPMuawdvTGlsrMRGTuRbsjgP NbYoealMLNov9EgjvuVmJyZTAUzlc6cIGv2HP7TqM06TKc9RcvmEwjFanxRWqdmdlny/8Sg77cRM 4n+XulBE98qpCryL1Tx3W6vT284nQydR0GRrgAhSEdZhilmxTYoZPjurygFe2pzH0a910TEr5L3P eohV22+CZINGP2cTkXr4Rt4uW94zw9XBLPFBMQ7wuq6W+DJR/8yJNTfWblm64agrIm4zegLDVz15 HeJ5QDnfIIDWygbR+/6VNzNVvK8fnd9ifnXOVkyiwo7S35Bu/0n4FjXtmr8O2wChgm5fK7MT8uaw OuRO0DzkVl8GUfxQrQGrKYUtKL3GbpIRh0qjb0I/oEiIrqgAgmQaWal5Ef+ddPEO4e69xEqjq3KZ MJ2MHqKoJVfLn+xdkmRjikwFV5Yc/IiWED5XWS79NqwdqXsWEHB1JP0wxn5BCSDNRy/BWZX4L8l+ HeRMjkdX5UQfa4aXORZbFHfx4/z1bhhVAqVkNFKDKn8tTprZcSxZ9PGRbJEb3V9rfNSicJTgE39B yleC+Mn8XFpZ/Am03x4ObM5drNSR7xkXuWtqAHVxMuxOdykhKVrTIWFlHxGS5C8mPJCpCpSJTVuP e2UWS+BGUHxqowJ/Kxx30pOQ8/lbR9j2mBdZ53H4oy/oW3CshcR93gYfzAMUTJoryiMI1yv4+afW knOKBHDtYqknk6HsK9w7iUGP4SF7IsGjkMWTp9knX3V75KfR0R5tUWgHrn+dynJY+qz0U05V/13j 7Ib7Ds195zLoT+lJke0Q0phaDANz6WjIj9MQ61bMimEdoAfSdd754kgppkdBb/5aeApg+VdWfpAV m/4N0aPNcshLwuDZRdtEBxD0MUYe1kEg2IMH6+gscY3tgDg37yrio7t20g5SgO4bJZfof0+37g/E bQUBAOet+Zcz+n2k9tJyVa/DQgxor9ZWvPGJN5Y/E8l2ExUqTscF7AjttBtc/X7wetyhCNgznpKv C+g95IWhgmlP/LEIbMoasYOPMlpfEKThi7L6OyejX2eVUAX639tE2JI4y8qYPwsaZseMVBstFeYu dT0OT1+OlP3mYl/5wnysJuY9+X3c0AL/QdcTVNUT/ofwhRP1uNK5vXweUFXyXsZ2YPBR5Jdnpfio F7R6neGUBjCbswm39OurNOabMKme0BnfOJM8VENMlG0J6Fs0krLzqV13NfBScKcCjnxMrmowdmCH EQV52fNImmMVOtHmqeLucWV3xILRP/WfCHCYaJlquAq5Gk4h4SmFpc4EesvBkq/UBByBCOxBUM3J 2DSwQW92tZfdENNjg1rjh4h6M0ujsb+S1JhdBBHGcUNjpqwibtWlKe4/K+TTqNxRgNib2kmLgpKb +C+bqDAy1z3KPXYxmcsRcP2CxEVefQZHz4rOBlDGSSMtJgEoC6ZaHSTkh+UxEqHO3XV+yVcX6A3y xCF4nenhY9IqTXXe0OizEkVdFpcb1YTbl6EEmGPE6FPmM0Kbr9eWEUG5fNKkcTBy3mlJg4BVM70A uajJRcKVcJfJuMOCI0xXmdyNxsbL1QVA9nEyHZo3Ks5Ug82kXGDyZ16tXKHxPis0izRfw+xm6nuM meeFBLm47HzYkpk1yGvv5t2reIlvTaymGonpioFjTKD35mx/MHLVJasMvJtjX7jc3DwUOQfX1cr2 uGty7//nBOd78T8rocucIvKJ3DoUBx/GJwjG+l3dw8dfN5WYo5TToT+0LNGdi2M8VWcEF/PBjKlv Zgiv6u3Owz7bVkXc0wfaFoATAt5O0VrlvzOzJyt0FFbRllxr7SJlYLDy5DEHNJLeGTKRodAuwbdS FEUQRq/LDi7362gPoRj4WtH/NuZlpuNhJgxkf7B+GQ32nRpUtDD2e3lKq9FkBVkTLcc7wuPr0P45 eagQIRB19lIqGymg/Fl9ycDqWOG7qirTCtnY6cYlqU1VHgorheuRQRuo+hRGWSVOmunUyEF5UplL SqD5lWdWVrtObPpHs71PX5Jb9HkWYws11hWebb5RN9pQ8PG0BtLLryomM6LL1zD9Eu7gAChObxTA Uc21LBCoB8VtNt1NQIyuzLEdfIyFGhUZ4A/dzsAqV6hF3x8hyq3RaJSSS3asi3jrrbpU/SKWn3KZ dYmh+rD3XWwYEKZu1IDZisiL+pdgVs1LkbqgXgoh/rBHK1HIn4sS7L6/KkOi/oyw/8+fjULtuMFQ LvbXCpjDk7xxVk8jDLAfSXLR4yshQZbHVSkJnPjrcTQdSg/eWhXdT1+IRs5Qa0LwPW53ct/PBbvs gcjEEqne2oKorZ+pVWOgb6u6vpuU4kHC3JwIkl8wm/XzPN3WNlrfOH0jW+4HqcyT9ELCSzCRDphy 1SpGwW8GWlGPHJvS13Z8Hc3Kz+IYRbRCABKDQALiOPzzB1Ybh3S/rgD9gwUVleq0BiB0yXSTHjxm vZFbYRpDRCWGHk5KXh9dnVJJpfqVvIou53SOdCpFlaWgp64+Uz4s30xDNSjlYqqW9uMH1Aklphs6 tXO1pjgkylxBzJiNIW8m8uwnjTPc/Y5KB03EaLnq7ho/0oNsZF3CwGjtu90gH4GFBJU4ibMakznH w3pQwrUlGlXkB6TjxabqHThhoW20Y4UlmaJW5mRjpd0mB8W0E/bvTq1JcPjZS4hgqqZb3ZLnNuBc DllHL6SdBSXF8gMlcPnrRhykpNQyAcx5gXcfwCecmPKWhx0Md7YRTEt496PsZyKQcWhgClzhYMzI cZuD3rcFedTzH64FP2uX15lBklJStPAm5b10jPIvjUbCNYWzCGRvTLTIumQZAzudfmfUM2xEsk5v 1TVKWofVz/6tbPqHeH41pO54/zB97A49sD7GddXER7cJj+poqumS4OkMCZMHZCwsi9sRQm/QWUsi L6PIE4V7iB0rryPPaYwAB2c2Pui7A2uqDdGZOVCGAoumJKmPa0+U5YKsYTdS3EPusptuLB1DYC0s lyu9WgkQu2vdTkYrgs3dtitlvfZmPiljMgPnSLjs9ATPPo7DxErPybZeihMVSqy9vgU+qwK/M5n3 C4LGfVXqSzWAUYbuh0aoLEjgaFB8hBwjC1QYKqrI5+hbqQPU10wy1t2Hc93Ybpar/pHVXzvMlBDY h6C5SZGA/V/kCYRHkNb7FPf6St+ltW7XTtnX2J0JmCdeL3HYu0IfDWbWQCpAiEd3KlvbCZ8VOWm3 HDHYA3+D2ypO5ztBsTJq+/0ku7i8n0jXZGANa+Fk5bWaQKQ+dqxDY9GR5mUju3lfDQCJtxzuBNfl vV+IVXuH9p50I+Fiy1cw7LWgmW5Ua59JjONE6IW3zQb8HhUo2p3CWq86n5xT+oZcbt16vBNkuuwM y4WurJeJYVac/82vYQxx4/Q1uhGOP6yvlGYu5hv21d7qtVQ7cdWIxTDrTZepkTj5vSs737pGQ6jm Y+MrdWrZzttaFtiG/CQ9mcrC3SxkennAjWWFNaCM8gbCWH74oJjce4h2iR2s0rnQKghCTP3cLS5K VK0WCh0NONWM8nozrMenUNHrOsgQM48OI5/XP6c3F/V4Xox9dmXPwdkPOp38qxh3DozP9Z7g+x6x Wr1XE6/MG99c0b7WhDgnlWA+p3hLPQ1vecaJKuUJkgd0Aofs4Gh2kvGyauZHqiXTThaEpRXfW6XE uUuQyKr1Y3FLDDfcd8axf/R3UaWrOErtiWPaFtLc06DulFqlxt6fOFewFCsUp1eVZq+5u7fBdGSF 0evWOwnhv8VkeEOySUCYswz/NTeLVNLk837kF6LRrb2fFkhN0+pmuZlzPuBLot/uXNQ368bRp4Oo AW2qIwvOnXvBtdg9PnbsBN2G/S+tf1shqJXWf2FsxPXHDEqpvAHbC0LrW7ln3w8msz2Ayfp3HKLv 37kL589oJJjlYK2Kn+DB2y9eiukeLfu7tC/8BxJzesi31FENLpJwnUPKMUdOvhWUqPaw+7bV6ibE nViSj4rDA3Fa0AYhV8xyPeYL9GKShBfQXPcbZQ/wJrQSzN9kSPqz+jQji6Ldws9vnwYJmhfxB+2I 0wZAbAxOkMwlMAy7jhRu/8YyVqbcWJC5WK701/kbP6v2l8zf34MP2EDVxDPdMw59pdClgtWG4jAa nWzMlrMe3/VPO1I0vlFq1aT3n3WmtDuVWOj7ym3TaRM0D2xWy/TU1qzBG/w4f4Rc1thfBSYVSMQ4 +SDdD2LhXRKc+dyAaTCD+dBa6QhgmVeJRQigtDgp1si1tbhpTLWKVlp1URmfQP/3jovFLj5sn7dt rH2gACSn3t/8caNOhHzwbVWo4Y/DrUQhxhGzpxRG47/R9pfnnxEGXpfKUB4Ie1Gg7D948wzylIP1 VADU6e5Tg9z57Q== ____________________________________________ /sbin/nvidia-debugdump -D UEsDBBQAAAAIAAAAAAAU1uFbBQEAAAABAAAOAAEAc3lzdGVtX2luZm8ucGIBAQAB//6RqYdHDY0n Q+DjJmYxpU9ofP3Frvx6sNeFVBdj5ciDnKb52VMnZcUq3KP7G77nzq95EJJmejKV26v362ZdXaps 86/ilC5eOhwyNvB4kZH+h89dd7fqJsgGte5XZMcFQDreKzspJXseDqACNpWS4y3wN6h9F7ZX27Aw btDV90Tr6L2Tt7H/XZMeUG5BQik6zWUPqWzQNV6oTdPE2BuGyKwwd9tR4vO5gfnoYmIkt/At4SM8 U99dSAvkYh22kNXyA+wyXAsFW6M1w5Ypy1Rb7nBokf6yhp+eHXfuX7CbWAKA4IIL3R+IONvdJRsH JPp2P7MAsFOtFtnlIhZRvcJODAAOUEsDBBQAAAAIAAAAAAAcSNmilQcAAJAHAAANAAEAZXJyb3Jf ZGF0YS5wYgEBkAdv+Bk1xtEbRsSbPnZuPgUIiw00kFjpRbjjDEmgoXhwixdUg6BovfiYHG0omJD0 sAKpNsWatnAnGnztwZB7flZhJEPcI0PIFo0C3dX9V8CgKyPiekMH0xVhWA9rl0KyA6YcLzVIUHZn cqKuiVVZbn+JXzXiWi3khHomQrYCPCAeVKONZ/bToYQZ055rJdzh3fo3hIgX+00Y/XqVfgCb2wyW P6AXYNIrWleoM3UK8dArlIb9JFRNUUYTxtMC3cED9tGhXxR2nr+XdOWpxbNhlbWRfBfeut4iuGyX WBp2TjBUI4yYZXD3w58gVhuYXgvoqpwx1gF7DJ/uzEcyadQydaVqY/Q1rMFa2UksnD9nO0wQ67ic p3fhz+ecFz2aEpt2BTHUIjq33EXl42bRM1SAb4GbHf4E6lo1OkHA1Eu7zcW3qX3NccVdlNtPWl0V GQy3lEOaCqas7SI6UKvZUn8LKFkeC30m4MnfZQXtl/EoJGql2Lqhw75+kZd1KO39W/qPgrh4f15i uWO1p74lBtVHD/g8hN1rWgig9aCNEfDf6lIKtvbdZ9RyFuoaowlrqbim63+ALd6dxqSZAyfKIxbo bH/AYPpUf6fl1TFCFTPAYwn3sQ8g2Omf8fwtVVfDE2BteeeJcPDcxdYeCijkczQpSL+OSMehyL70 hjxJZPXslkqUaJjyJjKvQt8T6Ks/8mMqSN7LXECvYAGxq1oBn2U2tAMDUTFnAaMn+YUwBQcKttM3 CxeuAB4FCcvRZach9F9/STXi8JpOPCmNqbpH8oPD9Tw3m3qJmi+Kx+0dAA/UVIzm4/M5P3VinY6k MYDX1gaI/Z2EXWYYO4hHV7fmuZyj+6a+M9spxa5lOiPl4QoUgnhtFNYamD/9HH/50bpTh9aejh1j mGLo0pG66lxhUwHncte5PVDZFgsnUEDxPlN7cNfHQRW/IVpfG9OseXUUrUmPKLtuCF08pNlBEuNL CsCxRZwd6aVZkaxE7/GQu2OM4IaQXtbQoOsqNeZ82NjeizcfHt6OOlXyUrVr/O20Rb+b3oaj4Zae HV/ZfPFnbRvs/rOp9H8MY3fy48ZwsZ6k5EXkkJli7FPuvsH/1NZAguYUtk/cz+ZjnsMnTUzEuDIm 1GcMYbZHAmeQk/aX8XrQzE1Prk9HnOhJeNLsVIEMk14HCxJewV7viNnXVAY/THVUEOCL1fPXIavJ WnDazuzzlqhrO30g3NfXegxCe3iG6Z8p1D03n+XOvcxjy7a1GXgyXbaaohrdTariadSb8KrwXjsl ZZ2h8qXahBpP/h38sxEVtBXud8Zru2HC6gChcdAj8MWNCG3xlxbC1doDpXXd7q8uB02wpvoa6dLv TGTdSHrstGBF3FnvUjpG8vu3rv3Y5XSdTSfT2lRHHYvwq2h08m3bPQ/tz5LY1roKgcGyQ5CR9BTc c3jAUg+7KKTs3OgbJznIuhJ3xs5BFC+IQpc8HuhVYjrIa/VJ6P07hqXWmYKdiZbhNzWHEgFBEW85 H3qmR89Bn40egw0XXXBwnbDHo5Dqr9S3JfFjoYE7IErQop6Pdbc23V4FVeCLq7vB/1PXvZrV+6RU L7Tz8FnOsSFJS/UmXBxWSvqxuWelX6Ge3Z/1PT5B/Ofet3fJYkTf+MQyPsOADJFIUlmzRCnLnbS5 PV9FbXPXqizl+bW+1Dfyc3+ThMeMRoEmughTFKIzQc025tKTo3mfWokLZpuyLG59KfJ0czzIKErJ 4YDFA5O8miIk/74Y6x+9gkfE4sUW+E1QfVNXJa90rTFmAUePaSDuN9rjZnEeQHC3ijogiKRUPg4L z/8iGoy4qXGvdeldg6XR77EF3L8SmFPFSJ2mkyAXBCWFxMj6EUiDhvQPMkYLqN1CfBQ8QaU3T7Z1 TVJOUEbn6eAVc0QhlqqTGj6o16S+7I2GxR9+V15E98HvvgTrLGENPnmlOB+fg/oWmQu42ITU2M9i lthRIpW0dBM8Hv4GcbS5f+sMSL77Kf7cvQs+iYEb7o3li1z3TOGylyPsEZsxGyTHgOKuwUGwJ3qu XvRmRgK6F5UsbQhRxLYrE3M3F1HcYe6swkHsjI6FYEelOqtDQ/YdqYFX+usvFCL4Tx4HimHQyW2s Sm7CvGhnzZxecGxNMqNY1tJNPJQZj7IiqjXY031SG5HlfJSeZyey7Mh80qFSRROCXOfjO5ybgaTn lLuz7/zfWmNuNoF4nZbLPa1JsSfiDJWEusx9O59rLnlw7AnrGRZyIs3KrbvbdDcqiqTJpKWvli1m n63VtxW2UqosoUshwvnCx4CfVTrzGaA7P3cMzWE5onbaPusgUPtS39vzn7BXwEtjSDWB0mHU+GuP DghGJPUaBaKCF2IPSZPpJDrs2qqsP4kqyAmW4rirlt81efaGvj9H1FHpNAZmYGbQ6cSHFyEcidw0 KqNHXDE8EvLckXoO8v1fUaGWhIWLb+KfEQl0Pw04kJBj+FyHkNRAu11bwpm/bY/CwfihE6y11uuc J5P7qBM6F0GMCYTSnofZvqr8jLK/ViA5m5IGSZoqqjhP+eY5p8pwQFJFFq/7/L1p8mDpWor6tMPM 2Ju9BURte3wmD8dQSwMEFAAAAAgAAAAAALgSV5XPSgMAwNQHAAkAAQBudmxvZy5sb2cB7N1VTB/b G+h93N29uBTX4u4OLe5e3K1AcYoWd3co7u7u7m7F3f29/+3kT8g+O+fkDZdPJvO9+mSysjKz5gk7 p6MaB9y5/XqconEEZhBBVxw8w1cig3eK5dOXXXzsvThrHG/2P4SCEE4IiXziQFA6CMddBPR992tl voxn3iSA86Gi1LJXmcrldBAHhDAK6Kl9lPrQbGFRH2whu8uievvEW/d/zB/zx/z/nzkvZNeyrFb7 OGfHSFRjLloG8DqnF5Czsl10RHlfjs+4Xn2p73pO2bBPbAWjQUKqMFcrBo+yZdMICstie12pygJF ngYXNPodjU/eH9pZJXn0Nk0da9SygVuEFkg8PZhmRt6c/b/0a+xSr8UrVtYJQgjFkz/nzyBFTQUU 6MhoCfRv/YRUZbnGlAwhPfzzwhKY29q+roSIb2qesNUKXooL8WbMlcCdxDqVUY5zfxuIHe3lxlPP I30AFdF2UKy69ba7qVOBIYo51jKkLEv9c4R/Sjx9Wat6J4+uwmo+keNwsZDvT+KIzFW5AQYqwgun 092LmkT12RXXbDYyZTsNq24Ceo36JyINYG+Hvq3zoaWoUtBIqi0LtkwsYnif2S1QHm/9WWtkZkhN VhCvMTH4ll2Smjml1iBgARn3VVRpjILcum2YKv8Z3J7HjtYA/HgVa6Kt+N4A1DNDk1dEkpwywWfU 6GeRWkC+jy93pz3t8ETaVfpNZ4zWReFv8SJ00Lgasogh5z9OpSMUBoJ4bhRDKE/VruIFx2uLF70R yA3fKHC9v+d18ompbqPdKEUZa+8BXX6paVjWPtMIwYfM8SZqm2fndlrgV+b8+lzrSXIZNaq7XVq6 nKdqKg+fKi5jppjq0wwVhpEpSIOxOYQynDY2iF+zUQqGMMfBhzqvzo3SysOYyjUywR61CYfNOU9k f6syRPsVC9zd5yZ2/cmjZCspgC8mr3WVCcqh7pjqKG0G80BR2JO697tINZKlkoCeKyhEd7Erur7q Qcw6TB6REG72WLIiNWy8I6X3s4oa2rL5Tt1pmV52Fc1mvBFPj0bsrzX2S/htcS8QXiEDPoYPT/+t J09PUKDPnMq2+ktH/oXKOT/e8uQ/N2nh3pDh2WXNqz2qk+YJu+HzaN4jLMhLN/Gr2UGm6996ilOA xSfR93lWCLaPF7JNh32vJ7kAJr1CIHiHO9bvxbGPJi6nmeIhTr419hgpENxjRY5z7/W0yth36Wao n7/KP87Z6ISUb5rBjSoLQSv+GziS+T7nNe8tTxYuZYN4P/rah3F6eAjbC3Fmq48JowRHV1KTO6k+ TXtLv9dTSPUnr+YWYAf9wqqC3jh9IsDeW54ScSSOpsADZF+V0P3cTqu8MsC+kO+Ipvpl2Ebmwa3W q394+m89jauHwONfZc/7SZ22kSSG3qR35ycSsfWHmDtA66YM4FICenK5cyh5Ad9l9zaq9ZEzfgCR Tpb0uQzX/sxQ7JZymHFTmtAYODOCCGTWdzCCHTGpHSgzuRTSMw2dODB4vR1Cu3FEr7/zRV9J5mtf AJmVrRn29iSThcS5bSLog1VG58sNSzMN7pZ2jBF/OOn6cia1YT0/YA/QkyorUZyc77ok3BAE4bxz Um0nDyP1p5D5gWLY+hH0LB9MXJHYPfnP4wPU5Mud/nXKfICe5i6ZGwIzzRSzK55uFzBWYwA9DfbA ufshkNmDhlpi9LJLyB+yMrSgE12TLMnSzmIXav8B9DRdaaZo+zKjhoblmL+D/vNrk7loIolOE7iu 0/UMjzXHhpRnyo0IovKziuEmWqZUIi/3JQhFysV+1HPG3TxDuiDaxlh5rYS4XHrHFweTi7SaKXMH 2WZlJbimeZyHl3Q7Bls7OrEgqu7QdNDSUkNTiMWK3AmrnMs6ElWRv3dKbktiYlV5bscJcBUtJGlf OhXvw4QBPXUwZw9zl5q8+sb87vnJTR6cqYimvlOUxM7GPoRWjlP5KxgCwQ1IlMoHnYNsSN53Ppuo +jDaDa4IfdoNdyZ7+7UkIQoPaf3SsM3T9u8man2WfsmTdkZair/Xq8ga0KPfLsGHp/d5wlO6Jez8 Q0R67TBnyKltM/yWJ34jLSConHyQIfgna3aNan6lS9baSGNldtm7paBpzZSJf+tpNAPDktSMfWt/ ArKZJlK88L2e+mNmvuy7hYEIFij3DoUkqAkGRFXsVlFV+0RdC4OekMm/15MAoq5CUN4Trp3fayDw uEpfLdA20+8dMSpsgbNez7LeqLc8rSyFVYN60gl3XCPdVqvKnzAPCGSUioFgkqQ+jY6iCP15ryfQ 31hXXHMFQgJ/23277JbOAXtvebLyFOkgPH88kqEXIVjYn1uzfkCeFHZb+vL9eKrUAZMM/MPTf+sp 8VPBojXmyy2cLrQ9T3LknbPjeVVBsfiMvUuAd2DsXRigp4k7HRD+Sy34V7LIODRKOgzqDRBlxQbc bFstWoWwnBjhtDFiuJmeFKZ+VDBWrcL6On1frmKNxmmciqpRDhLsjLVeWOGoMxbTn3kbMGEJLURu 3zxsylI7cKQsXdYWnn/+xNTQ8m4pr3J07JgRbQOJh1MB7AF6Eob3oFxlkRH6Tu0WusryqwItbAq/ abQL34gf6SgRYYzc6dKk4itrRqwaBOQWVEkIEKAnBt7JWz+QqpdePZ5WTUNfTUBPaYgxGKFcbc1e MhGjwXlzN41tUxZHf0RuJO8IMvlhFy8BPSFxoyviylsPGONjeND3i2cu/ioKPwYzk2BsFonZlrjk 6modiN1gXp9lCAh6+qzf0ViTa672bUh7ZOam1NVIa1slkzuqyZkBEaKpaWgzinhKILBs5rPWVH0e 3dSS64to0S71U2pZwH3/L4uTA3DhVlEqUby4YN5xUTwxa8sAaemdH6kY/FVG+ZdyROeW0VZXLV6A ngoM9HlT+bn/Tn6Shka1oUUQd/SkyvMzt88JNaD6u9/mdxwwS1HJ2cfX+aMAyZX6RA5VbOGKwz3h HP17aTJzj/63W6y9xv0JBp4cGS5+KnhrUPpKk911q5plMcJXlgP/lp4PT+/z9LxURpc3NW2Mbf8Y KKse8PyWJ6dJKsTPtlqa2iF+lTjTRwvuNE00x6DcSuJ6FRHAqaK4/9YTJKqb1neQl6qbm61O9Ssv i/d62mRNV+oLXZ/PrrEv7jWNu2mOGkb0K4Zjjh91AhPc7uB6ryePUnc6M35+YCwkpBUwtPLZyjFg 6mXD4qirJtEMNp+brbc8dZsHHyf5Lj8GyqmGjSTXzcbjULUPgEK0E6f87p0cvO57r6fNIa6nsH7i lhtNVgTLtcEdwN5bnlqD2vSYBrwmwfdbVYun4OOmdbOby0xnuyfIpXicp4LyPzx9ePq/6QmaRozL nXaMHJ3PQwij1JFAJ884B+UKl7Pm1Rf6zlNPHNDTnbBv8ISfs7I0kILDNOtWrwlxwu8WZbbSKlZ6 uhfV1aetrm1ZXRkJ7ppg7ty8BBrGDSBffNv1JDMgAvyWlr6AVYoTL/H2A/eSnCEXzBw84h8scdnQ 8gwHnRaLDfnqZOcFOqSrZUBbuNOSdRg/n7Tcv2sYTZbQLl/JINcRs8kimIVvFJ4G9nV53mCfO4Bg 1LgiAnrK2mIiSb2/A5URKAqjttgNVyrVw7YUJIFWs7xvRopkI0S5nBiU065Q17WWyINkax9Z+aWG LGKcWPMbmAQyQ3GkvCrEsNz3qOwvnoGIFsRA3kpx95HxTEINZfXlqUDMSsGLsWMnQR66hS+nOfJh /behKIhxtRtDXmPvXm8Nl0ZmTF5ATyjD5CNQcA903fJaGnfZrQ6QFcz635h3nPMVTroeOxeL8I8Y 7B6JUD0XMIoY7+zM9rZ1OpzvmVcQytUpVxyfMZ/YWsRApS9MhonswukSc4oPlgseabHuV5/hnGUK wHV+ozh6YAyReDK3Wm1lCpB5KkoA9gA91YIC+yLWSmbH7PPUQGwmRmc1rOiP9JlhUtF3NAf4V3sI Rjhkh+/Gb+aGMTMc+bg4AXoqCeBTMQKLQPkbbfWH4vo5DtDTVwMgZJVxb8iZH6DerzDelx+ePjz9 n/QE5g0cHagOdra2NQlN6dEz9panarMY6nOJKJXVOIfPSL8rE345heRybbZVP7zGoH4yzzT/t552 TMgDlUeegSln5+1RWDS13/J0kLskwVm3utxgqENGFkQn2fzbbQ6R9iv9xrxP6HwVCKxCOmNneVv9 xFAymDqqLcTmez0ZPczgZ4jtzwX1aMIETtwFkty6FnNeTjY/g278WKmgpHjLk8cWZHF5mjo0Ff9z L3+tSx3EwZ/dzT92tu64YLnynFJU7/UkQ3xT68gcbzSu8ML8YJIDCth7y1PrMYNS2Vg9BexJMPaq /4lza8YYpwIGGz24Js8JR4zHy4en/9aT0KQM8h94rTHHEAE8MBpyh1S33JBg570TAaQU3uRuEARA T59dploy4YRxspdgiF0zVVdHHj8/3XopLrk2wN/3vMpxplVOraF83eXHgxc3k6XAsLNWydFsd5IB waxzw0ne0b3VVhcGuankJHk64YxQ4RI37jRwXDf/iurCHrbKpUlMMzuELAXpnA4FjU1O0+7ZGD8I 2AP0NDhsJKoJCao+IUNE8hmiIz6D5QFpP86U+qAt+S5V0xr7FdmWvf5Uq4GIYj2U/gDGDNDTdykz fb0N3mdBTqTbL9DLPYCetvuOg8TdBeU5PIVPFvNxeUbVB+okLAdmR9MjdYh7hj8Belp+HYcR087f AE2k1JuXmGt7gUS5txH9pviEvC1lF8kKYxE1UvkLB150AQvIlQcsYNcEeNsL+jPeHcLNvoVKUFXr 5aWkdjWtp79nv5SN1ZKbn9hwmOWtGwxHXS+wXMFzkCCB10x1J3T9Ar8ol0DJbKVq10igKavOioWI bv5yLpI3ejclyLJAiJET53gOmHjiLRmgp9rB4YR4g0ThFXaIw0G6y3x00MBTOLvM51c0Ttm+oNw5 iDMRkIHO8L91DomeFSPPmTdKeq5xWvrzz27GdOE/2kcG+6WY5dEYSPmAFXkrfOn7jYqod0dstRNr 1Sp/eul0Xn94ep8n0OcnXa2CC1FWV194hnYxkbc83bYLjq+KECFLg8FopPNBCE/ipzvnL87W321X KxNnByH/W0936A8NtRq3CB0x3D8JMqE63+tp9ZXBNuyJpFbKnOrTPMccWAPXw6qA8h9tsnDIQldg uLD3epoR39wICpTwD8hgTRrB89mwQrrP0sGv1zncr7cUVyHqectTnaBwbeOpoh4vZRZ9N0TH+KJe m/i2dDO3Wznb5d1qgO17PZ3VhBYBZdfpbR18sXzhXeIF7L3lyW1zM9/fqnUNqiK+o8mxWD1Z4xC5 4TCDFZxJV1t6EN77w9N/6+mEdHEV+hHVHx85r/t8DU8LSGshu0jGLUvc1V95aFHqBNATBNYuNb8l G4oOsvTotuEF96auLmuGtTivNCq1NYPTZ9g0uHXSsjphO7eCrzxXvTRPj/tTSu2lNrmq1KFpcssN FF9zKi/ttNJUIRz22mgbVs385ZyzAzVytYHMjojTQflB+7W1Hj24YHNyJj+H/8GmMADsAXpaMVKk 6PNV1OQGplp3S73XeEZhU5W5MpQsHxmOKKvt1vydpAYG7ZrcASQw+ST3LZAR0JP5grYoy0Y91Sfy fmRl8KMwQE9DxF5f2qj1qZfsH92tN54pv6EGjcNI/d6n5EZY8srgpwL05MrbDwY+Tf1JU6jYoSIi Wk4kPWx8MJ8MNjHQ3Vb6KNOkqFYkIQhCmL8pwr/Yeda5b1sGv060kpeummtnJR1OY3qzNXuCcLPF mnU93X7Cm/8WVDP+5sjWvRTIwBNmminp1YRtgIqaHKeI5cXedMU7w4ZGJniu+FTBcTs95Gj/gNV5 bS1afizQ5jvwJufGVR2fDKCnIHUUeF3yZB/DU6inpfJJF+cK2QjlLEhWt4WclyQbxBhdgg5dxTIJ wUkFNFXJEybcI0rIkObcYM/NYTMi7g6NSrGqU1Mrm1t4eDMVPvIknLPAK8ybg5Rv0RFC0Xwh1wEs H57e58mzrf22W4jak7Qb7mS6DCHxLU9cp3P9GlluEx1/pl5eozomjGGVQBm8eCJJD83NVAe9Uv6t p4zkjfZvjZbIY0r8MnN/TqTe62l6rsaNjw6tPoJP+dJv6fkZGguc1daNnOS6PNgADlra8b2ewpGv iL4YyC/2012o6yrMxP7YUM/qFmixxBudYcuRdeN6yxOW2d5lTS46lsCEjmGlX/Jess8zHtg6vSzZ qUv4DZpr3Xs9sbPbFFO+JGQoyC2p277ELQH23vLkGsRlRfriupdW2L9jJl3uvYZKaANcXQXkCi+m cOWvLfnh6b/1lAYEw8zz5Ss16TXlAcL2EVq6lbb9rUvXL7OY8rslYEZpQE9kWNDq5tCjZ1rYNPya or1HDX6TkrNduDhuEGZs4l9SvAx+mApGPDMe55WZSHeNgN/R25LiPdVA/mn6umrv51PJ2cJ4psJP xosERkiORDi6jm57mTdjCdMMn3BlymDLWLPCAFJp2f8yqG1BwHuvTSSGBNgD9JQhAHkxmrxXOBea r64/4ZMhsOD96+uTW4oHx2DTMb3YUiFMbk2ko5bZiosLiJpOjDygJ886lcGSkVlRTdzguMzSoxpA T2YzXy/yssn0Gi+W0WLl3dtcTSs8pMCDNVjU2BCMsizsAD1FZGj3IkGStO7OMBK4UkXiZU1NlqtC fx+LXKMgH6zFu1upLAvdnJcOcZ4KQwCnzluaJ4gvIQtl0EqRggKRR95FdAN1x1+jL8Ro7+VBYEd8 9FJnwMMc19JVoMzO3bMOy7FUj//6OKQ4/2KgxQZKE/Bnw/BChfkF6lmmKxTpOjWLHhuxefHThNKG D2uo3BVBFLYpoCdzKKeSxxDVEhSfZjgH/8TqQqY+GyggyJaFqBH/Fz4xDpcTWo4X9gvW/dEQhC7c x/JQdd3coIibs2ouacoVYlDyI6xWbN4ujc7iz1rAX7zgvI4PyomEgSs0ar3RsTHoxqc/PL3PUzdy OuSBxFc6alit1ZCpgNW3PJ3PGdgoG4tsxbEAJddVN3peG81aUTqKpCWp1owt3/In/FtP32/S/iIM +qbSL+OlmXllF7/Xk5UcyzIFFQOCTjxTIorrUwG0KB7YjOCmdtYZSpA+XU7hez2hJbF+xhYhJJkK ERYANTFQNK0CNedE9MFbHqesn+p2d3jLk6uQEYk0XQ9mnHXZya8/3oGHIy47oC+HPywe7Emh+XIt 3+vpV9Y33KLsaEmlNj50SaDGNMDeW57w625BMPSARngrkS7jsgbciasnqcxLhH0zhgvmMvLvtD88 /beezFAFx2M17xsJfPgxK7WUjjF+p1kMwEXZMQZZhqsQLxYDeqryPoj4sxTS3sZZt5B2+HszMB41 H+a1LvfyBV+3dpCA8all8kzuNwdNp4D0r6BdjD90s6qRyprdTU7ZPkCso58Yjn/LY53w6xjjb2YM fo70U9AKsMt9SpWgv7ka4tvCI07kH1kcuP61MrGHkpQ0oZYOCdgD9PR44Z+z4BM47NhIoUTeiAAi lJdQQyVtesL1rFuyUUBJhaUoqhgW5yb/44iAkc4XUhbQUy6vr6iJfuDqLzBaKLyvd4KAnlxPPZDR K5M2bgjLoFZOI0821KWgL8U4Fgd3A7iNcOynAT0F50GCdd1rWNxyEVBN1ket2tZtkl1paVtH/b72 jzpY2PZCo7W0I0mKMK7bw0a2XVPnZSPMqkc6zHvYISf1JdH8I0blRW09bZNg4odHgpKMgJ/g+Is1 McYEhFhapALHqlUCCJ7Ymrl/23jKcSJjOSTUG0/7HNbVV4uI8m6TRbcQY2tTPu3ejh2LgoyD3ViA cvY3oKdwUfrq/dLV+SY+b9bq8vyBP60zzqxiMUQ7pCVTJHg5mO01VgfH4HbFN+qBv9dfBItwfNU/ dcvE1pjbZKa9WIFmP24ri0uFG8RVb3LyTmG3oLhopPkwU+rLE3RbN9t2ZCh+ePrfnjKuOwiR3WHn OsZLs7o5yRcUYIEjkNlqDnUNvU+4eY1a3/IUqqED9CCa0dhTd9oZNHyGiJ6saGt0W4ZvhwrFMRZ+ tvNvPQlWrsPUW6/vWCD9aNPUFuJ8r6fWP19ZtD+XteRr39TK+IqUQXbjb87DfQ7bWBNjfaXSaHiv p1YeVaRoMy38+kHsA70TNPBo6nNHpwMSvqrqLr6lwviMtzydm+pE39JlXt9KMGFkspz4MTN4HR6j J/b8bUlAhoZAEH2vJ1Ov9arv0t/rl/Fr2pYQCC4Ae2956rq/TtIEZQiVti4mN3NkmTaITAY9meIu /rmibXUImvL84em/9XRP1kkNUWzHWlXe1kC0M/O3W1gBuhSWLZ1KVJMn/DKK8B/rJ5FjhF8ZYDXm Cto1lLNIfJkKikii+IZJWRVNWoMtQ2PMdHaZZ5JB9IdsSFm/XzaRGUVjp0F2FzpdkpZnLx7GOaIP TsX21wSWM+SKGEmPFawtxOzQMtui8fnccPUNQvPSnZUa2Tm+7VTChPmufT+mMQbsAXpaF7usy8yz x5XVw+rxN7pnCAynXYP72gJ6dXneERTmQdpGMviDm1vmOXQ6vycEG34X0BNbhSa9cm+qRY4n3HdU cWpPQE/J3zwTMhUU5oZavoTmErTPomvI8y9qRyLEHm6pfO71WwT01AnPuWj1ymA2HA/KQQJ8cBlu s2SdI0IyXXdmZWQ5nINKVXmM+wNpU62cfm5DjiHbJmgNUwcRklsv2XlyC1id6ciKpFaGX25vbCWD bBpi4qzmUWuJwQbK4WorD/MYvUP8u33wg5MxVuN9YtmXx6X5cmyyhsutGU7UQ4Tvp5dUSiytKsag B7R5uFWJQKKMK877/1g/ieo1zxzwVkGwmdfrVh2GgpX2xTbjgbA5/KGYXOhmRPGeFNJ4wZKjpJXA /eIG9RWBVT8Osanqh2qP9cmF7eaVemjIDPIcfo75POaWdtbeAnwQSGUbOWOeDG3NGgXIUGAh7oen 93naS23Kq9f2ya7DKpVRRThYesuTOhgMT7T3kcw2QmD8FgSpNpccr9Qc0FGt4q/7oHxGcLV/6+mQ Bm8LhI7o2NQY00fbV6LsvZ5CSLWKgnmk2jOmur22EcyK7wtKGhEJziUL+uAEltQj+N/r6RFoaVnw W+sXyZAnR0jnEbe+NnUOISmIbsbl3SHcP2Nzb3kybc6bj76AtaYYVGI4mzM+HjImcGlCGOoWBR8e loODonmvp2Ng5lRDbYH6QCp6o3XKH0yAvbc80R487Mb9jEbSustMSZjgoOPELPdf2mJL6m/GxwYb VVn/8PTfetKy6BvLzsTNaPgemdKGolDfdyF5Q27l7kJeA5+dwiL5B9DT19c/0r2bLhO37J8t2fQC uL8vCbZJQg4LVkbuAYMrn5fNK/7IVNdLJEusK2xxexHrzDhqMZOrZ+WjcY/zwcVedP1SlOVVCO59 Y1zCzMc/vcvkF6u9HU1gEMpAnFFqyBymZnI7X9XAa2mnix913RCj3QLYA/SUtoU7+LVBqjdQU+UL 50i9O4b4OdnBhOoauV22xz3SwqcXoZD6cgjECLUo9SYcRLJ/7I87h4aMH5KJBmMKFmay25VsAHr6 ITx80M7u/xhP//QkX+mjmi9gR7ThSwzNHOzcg4vNSAHo6dcewgLrBFZGq7eY/Fd564WctXto9jRB QlFhP6iruQcvyDIElrV8KMLOxd9JIMQzOYE4krmxYq4xyEzfZRNt0oAvbI89V1WQChhHH4+LGE6O yI9dJPJ1zsNyz9XoPUCkvanbjY11hXZ+7BYXyUXYw7qGRJfFSNYqbk07+5cytbaTQyMrZl22WohJ NT+Wtigw7f3jeynCHvCuHotKVrSlqYcUm8IShClmYqcW0/ETCbUkKM40FLGB3GdIdEpI0Qg1thHD BGKQz8RHeoXxX87I9VEDtCsP9yOLCa8VCbAPReBKoIm8dEgni3mmZC41GWCwW8Q4FD88vc+Tbj24 4AAWEy5fgth+3FBi6FueBN29aYN/8Nlw2zx5bBaMWXaKsA34/sxr1zU6ALIVHeb5t56giTr50k+z WHh26LpMUY5B3uspOF8lvlAA3fN8aTuJkGjX5oxFmnduHZ6WYfXe7VsUFdl7PUGNcUyyiVTjB5aO X/6qnPR3wCabySYM2i5+XerDt3eGfsuTWGP2uceGB/6cUEOcwpTrsWBy7bJc3H6cCXQOIXS3FeV7 PVXK33YzY39eJpHEkKQuzCwD7L3liZdstYJTPrEYHMNoCbwF/YhAEQbvNMX7xPTTldnfFifQD0// radlayKdGqKnbg2nn8MJTGy38f6pm/Xco0fZ+cMCK46xxICe7Getlcb1pDKwYZ/l1P38gOHv3eGr fDRoImmBZ6Dnl1vl2DpOF3JjNwS6P89LwNVklj1mjktFXffKg6rp4W1yVhfMljN1luiq0Q0upFkp XMVHr5XvhFvYBtJcir7IXd3Kn8kMXt6Is/sqzAiWqAp3fALsAXrKe7Zhcqhnjzz9jNXI7uD0LRIJ wn4zgk6cVBb2S1a+QpxIhWSZeI79J6TOyRBcg9g9QE+CkMpEdvyh4UwTInILs/j/WD89TWL5WJZK g/ugPRd6Yr2OFf3lW15j0lZi7MalXBtFIgX0BO94Z2mWLMf5Om+Fu61pIYem8Hu1wvCO/yf/huGM kS81Lf2ZuHZWBxp4/N/g9uVCO81fRYI4OCtUL5Yx5TcxTQInfyvAOVPqGsHa0JRdkCe3n7ZY0bvI xUhVkqtU7RgsHuyCwETOUJGzlZ2tXgPBqO/kg3KDfVMjvr8Grk3FJoF0IzzQ6ie4EHP/LCHHeNxg jwb0xFSxFMGdxmMzk90P/5sI+hzf3rCF5vQPZACEIqvic983TM9oV7zP3nr+tqvH8D9SB/OKO/nU pGEFemDYaxRj+apfvLELPjl24ye0inmiY3pYq+fZa1k/JA7HgfnRGG97l394ep+n8q/O9hBKbjT6 RjCCAlTAs295kgJJ/ySAL2KTQICxh2cjsFkmWqvolDlgeNBg/UCBNA38bz01roxQgeh2N5QxGrdo 7EtZvNeTu+5EylGHyYn5nFFizU8vM03V7y4sVxJSjN9ev2xC7Lu/19PNIPe0suwwwUW1b67w9OJp EfW5EQgkWSvR3hKbe/6M3VueKKoioChy3UWIYqN6obBHqOvpnPt9D9tsZOAlUpstPYne6ymghcr8 Zf5v6akSnIDW2Q8LwN5bnqxCj9RKl8kSDUy3uMZjtx0UziYpCPphoUocXTsItkQpPzz9t55WFGaV 6m/CDlR6l6suHGdsf0QrNdLGtnvSucBAOjWKVAF6onrh8CC5on5k4QvWvUEEEqJq5IoWwk4F2h1L RyjZojGgMtZJPiuo2vmKNcvyWLVOnngiGEbDHrXXnjwsq0OecctNvl4sNXENkavLD/ugpnnkzTqy EZwxkiq88Cyi50YAkiT2KfTuSatejVDvweaGGxmwB+gJrzz1R59ZxqXad4c1WdDI2qRg5arpBE11 ujHZjTIuQn77y80qvm8r/manHJOdBbTYgJ60s+WA5X5ta9zF/h6tK4BKBPRE1ezcf+byGJWl3mb9 GI7joEBUv/u5mRhROcWYSsLhKwigp5NnLSjzMXq8e5iyKQlnpmgip58DWVZl6vrRvJ7twwbfwI7U lgZSPfj1dJ81/RHxu7AZxkFwZug0IMA6/Bzj969JJ4+YY6bkrhHWbmCL60Rg+dFSMtf27nHdnQsJ YmgOxQoijBhX7wS99KBrDovXVjxVyIx/hivGztoXy1k2WJYEgtgbgWTFh6Z5HmVwTZqWAQF64uQK QpMAQxfEojmpTmnYEYS3tl2VvxYZhue5ulG5NiCfaAdeWhw2PVqmM+pYppL399mZErkl4AuYOgsh 48g3R7K1JZ+idDuA5h7DSqXP2rMyStaraSIfpEJiSH3C6W/g+vD0vz1pYs6mztJTi807SZ5odkKp t4ExXKCOYczpN+fLQQcTZb/lSU+1esZ4nOwhdx2XUywYcwCupFk+gXYrxPmSCAQlvFT/33pis18n sPjxTAIc77OAShPR9V5PX3btTn42GBGafNpJLlMwNqDIWz4o2z6T9THo+spZdnPxXk+LUHOJSsSK EHWu3yVnAg4zjA/7M2h/V6xDF4cRlqbf/mM/E9DTlZbt6v4wnlYWvD7uwBZDmYMw0ucZeJBUlH4P H8xIoYz3erJvDqDerpOJ5orE+LZ1+RUMsPeWp4DSSTGUcrGSiGHdap68MgWLCagirqOe/rPM9k0+ 6aCgD08fnv5f8tTLdMihH+N9f5MScmT56PwPT6qciriyqYkP2QdyQ4w4NoXxdIbgL4TjvANQ1l3q 6NIIFPCY4fPzf+ZWZ1P9Efqce9gORfbF6Y45QQYu483hhMwVutysKiohUFMoSRfJJwNu+pos0Z90 9WozrhkGspxhOASnQu1H0QZxdaZwk5bnr+A2q2txvtQvUymHF6v1d0vBBq737ZS7ntgRhnwf9Vd3 2gH0JGJzdh5Rz3YLRohbkHXZ+O1LdEqbn7vMHaL75/uasMpIn8Rvf8GXvhjiTj5Rgx0+XgXIWN2g /pAsTKWo+IkDmZ2ot4VjekFgWyORF5k99vfMmk+aSBGf0sDsmHHCcQdh2kLjOcW2oFSvBoiVpFpK Mci/MTRpnAktSo076iq0ZRLMCNBTrGHxQobIJvnXNbkDetIr62g5Rr6uiaPIk99Ef8nLb1c25foq 9x3+agjOMhHShQK1d3nSJ3hDO5ht29uLJ1AFz+nD19yIn2Eo75D+jFixX/YGM8Yl/44lphFfB85b 70zvrXa92P6cAjK7cKY56GWtHAbYA/TUei4Fk++zuBIsJ2rKfGWxdoR7ofN4O0WY53WgDXVhnv6b nIVjDvyu/S8RLlMWJs4/3lfZyb51opZE9SwfELQX3OVnAPT0CUdidu33QNRq5aYRmXEo0oen/+2p c9UkoJBOZInk+3Z3Ep+spJoXBt9k1zbRz4u8dSQRJq+3PHGlQCMSr/KJXAd20aLv7/l11bM5fyK4 uFvXgmE8+3Ie8V5Pw6BHNRy7k6a9oLKEu0XZ6YC9tzzRODzwzcY9fMdHdDiAtUqXZZbc+EEN7zpJ LSYPSz1mh/VvPenL7f/2ZWw1VRjQjwP7gWT9lidEfPdwRS0JAVKS3sAZKb8o4TtTzzpwUBWrqYyz Lb3q3X/raZH9bFVoc3nc6jsPKAYra8h7PZW3dINAxxuTzsfptXhK/YxCxZCBrEYc3umBDaIK9i2o /vD033raZQsMFh/5zKpiCYmzxtfzAOipMCecPaEIo/f6HMraPjuD1bD3D8fnESQL8NPP6KJVFdLV /d+Ruof2UPhCuVGOG032KHVsP6E1RLFJ5rG30jHUQcUdc84Ao/rqg0XsSDaxZBiQFxUs3UhJRyrk 73quTBuVtiGUMAuI3vUGQ3tR2VQmry/Y/PYBw4/Wr1e66vObVIs4PR5TVlbtJI/B00LCJCsjAfTU DmRu0YNcEo2DvC/fIru2a0DKjqI1hWnfyqlX7WoHW3ABlc1TYcU6AkO7/od7sDFdnT3K6y+F7siM FATClFlgJ/+4Ge06s6zOvK6WeKG59uKp35Id4tcG5JHub+1fx79p+rEYdzA6CGDqeCY/dDoYZKK4 uKBNO63ACzbYJzOX4eYAesLYdmIFXejhGYI+ey5HhO7om+0W6yflHs92LT50I8TUiJpD7mXRunV8 krXTS0xTYlTChnJc5XlCTuKcmWyWQlDmDSXWeGrrniVSR3idMcisMf/UEYig5t0AzADmr6gp7tRX KhJjpmcRgFACwZoWAakP2AP0BP150jZoIaqTkEhIZXlTuj2Ng0fWXhIb3R1KbrXdjh1UmrH8/Go4 6zwkTeNg4WYlAtBTN+YJqiXOhZQT7l48HLQtOKAnLnKnF1xfs+OFuCQd51wI8w9P/9tTmq1I0EAB qjU0zaUMqDkEjfUFC2v0q+ciC4WlGu3xMf9bntZIM0OyDr2INohOL09SZCiKlTtzlCtB2nEO3Bmp 8c5T3+uJfGKD99V5tVFLInD8S2uXNWDvLU+Gn/B3Ec5eJF34eJ2XQsOcbLKWGyVBxDsSSWNbJa5O Pv9bT+7JRf07sWeiTKJmRX7ZYF/e8oTmaJRRSOKqVRQ1vnPyYgWq4a/uHAeEXjlSAMLC3S1h8m89 seiAf61EAAMLn2N1DmGgG3yvp1/u/rSqOEyzfGTbGGAbKmkmlfITgrh0XSRfn5myXKkzPzx9ePq/ 6alwILar8Ft4YtzqMFAUaa/vdvXdC8RA2Qasa+6cJOvGP85X6TWBueIYBpbqlycteZp0bGpXHc3C bkddjSdhPPZPb7lZEmYvsibfXK74YeJg7PPUVThIQbuxc9J8+MBWcqWbzi43QSvvQzi4nyYAoWQy SIXos6WYkB5HHJW0g7GsAC9CvDBG+kc82LssnweZXGD3BwlgD9ATl985q9SBOebJ3QQ+Ta2qINy0 MndUqy7d40/aiEEljKEsP9HyZAcrmZHyr6dQ0rUrgJ7giMPW4uxxjLubxshQJcwGAD0BsewJHwty tuSM8kEdnCW7dIk21IwzeioHnKSAHDWfuwJ6QkxukTbyH6+hsl9+VdrNDdCviNM9QxhacWL+pfJ0 gPboQKZGE1PwW5cnERJI370JjXjxdd9i48zWjnZLJUgS9Au2UlG1dwxrVPysxpfJ7b1Ei1tU956z l5BlCp5YRD6YZL0agUifae9cA5q+JMEBrOrcvCHtGwaMdRqVIRRg7k/WRSm2SEp0qcOm9hsj/EuN KoCeyP5Kwr/GBuQfTFw9kybrxgdP7iDT+H0idfy9NBDCa3+JFcVrC9P9TAkuiW3FGUIMZiuHhnXW 2+noIW4rI74fh1mMBmR6owBpeVe0/Wtg54CkCUcLxmU29LTxc5G/Gt+VzYen93mi95YgsvsLyULV LVHvHzrm+Jank9Kehm8d5MlLccTr4WjZYSIV8jUyYlp5nfjEX/EzLST/racjWO30dWHFgx4C7ko3 QrXl93oK92yF+pO3N+fkFm9/tXBdFvKtVToqIlP3yUhWifCMMv69nhgZ61W818aSz866DEdp54Eb Egll8T9dYDpPJo1bpjydv+VpMPwJ4uwrhXd3ykkanIfjeQEpPKpu09epWoHE4UsYOc73eqLvHXZF Yk9NwiNs+hOOKMAB2HvL0xruqCINP2WdPqqtL8lnqfJBmbB9UePrahtnX9bp9troD0//raf70S+y +t32bBgC/jmxc+oqmIiLIfc/OzX+xBLramU4YgN6cuwMKFPhShkB8zJBuzibF+1t45iYlPPx7PBO W2msnpyjUTIKKv7OnzyHsIFd2rWj36gkaGrshE68SpvfGLpVT2AP5x6GksJA66xL8YUg2E/Lmq+h KPpRW7Npb/rBPZMIiYqsfFIWb5xfptD/+ZPFzxjAHqCnmEyphwDWUKtd62h1Za1lQd/zmwYxkKtB 9dfrumalYC1baX7mVHfYI/jVoGHZHVQOQE8sB5V24UTDRuaFxWvc8PnigJ54RCCY635HMV7GmyJI z4+SFzf8aaHjduhMLmXkrExaKAL0VMlUMt8o1kJ0aHqi9tOMxJrjskF7HMUhUNBUUASXbxn4OHjm Z4U6jKp8wvDTMRQNBl6PbDXVCol3LMiuJKIG36emsGEb60T8GYaCSBIhLi2p4xF6NbXgJ3/U9IOO rk0eaqJUtU3+anzvMfe58TJFqM83BFg7/ORZ0N2mHpvibFmPeIE+1XppJaCFo6XfXh/oKQA9Mc7c nJfU2Sv0z9b1MEZ0Ld65PhJENzxhNJdRl10SGtg0nkt0lt2uyF259JjZjhN8f4Ef4psVQKyD6fue nMgDrnIS3ui89BO3UXYn3vL2ZgpK7NcmWwg5tO9kqMwLe3Fw94en93ki1ZzMPP+aL4ao03wcvO5r /5anh+NC0S7xfHsTnXISYgJ17fiEVmK7NeMkLIoT7IY+EM9/6+kFKAnqOCZrNBnmCvJ6hCDgvZ4Y xknWGaxA4dQhWy5ANdNlWLC34pTQKKUDpReexhHNmd7raY278zdTe/cyBmenvdQ0aIBEq3IvjNy3 VxZg8+gU0F7Ntzz9PqtttGALDFSCN/SzMb6oVCmca7+qNZWWIRP9winWwfVeT7S69mykX4dT66uh SBSa1vUAe295go8Qefiyi6VhauugHj4tgNKOoUkooJzOIzzEknP6KUPow9N/6wmOdAlTcr+iNF5X md5DK7hgz/yxMMtllyiUPXwIu+uV4x//AyqGgoabaPMmBZ7YPCuEWMILi9uCAgnI5y+v2ODtsRmZ /xHCEOPA8KVhKQNK0b8X0YoHSd2LUWDJc4sLeP5lfy98pyR53O0kGb7+KiZ82R5MXERcc0LGqiq1 1097Kj+8+AdRxSBjA76Quf+F+C28cxRgD9CTWQhKZRyty6sPETVCT1DXH7gO0SW4JVjPP8XBtFHV hDGGpcqOpz+LXI6R9nTY0jj/cb5v8iIWd7Uad/3tFPAkPz42MqAnVQJtFZbVGHUcoHveR1B6dkr2 SCePuIu88ggCdIVqjhNATxDobHAiDflyNBG4QhJn0qRP6lTurNUiFr/BoeucpfcFkuVKMaq2zfOA A4gpbbmwGXAi7iGC0iCY50kebE9Amyb7aIBbDW6m/9Bnd+A/0q10DCYeWH+D5fGn51Hpq34MQf/J uh6wysr5sJrTJ81FxxVkiZT4VQP4r/DB3CPOuntZgF3SE/W28Sdgs9NU3kT0AnRAT3UYZ7qn2I+E yNgpPhMjo5OClVFwimvcqjwGc6ux28CGBRFCPYyX5tKPhZG3u8yvtHBE7OCKkwlKtUVyCzqOdOLp F+tzcvXSZzcnOk0NXIhaMfP9fPOxxQuWXtp4v5mUkD88fXj6P+kJffNaF1pSmB2idcXuK9GlDYln ZCOFCX/eIS3Sp8BPmBJveVLnXMRNPSNEpuAoO12pns4pmmO0dOoC7QFWQbG+mCxye68nCpIMZSKu bqiKgaWOHci/q4C9tzxB5QGVMKYfJl4PgTGQ8s12R4HsknAzLeZfgYKz8kb9Qv23nvTWH2Tifx14 ZKYHhMw+nEe95akcFILDtz8/AceNIpjUYgw6DqPB4I4JxnS59sjo+ICX5N96epq0wJbwe7inbnye UdwtsXnLU6rfjlISJnuyOnJMDdRZ4Hy004S/V+J93+92o78/yhucglN+SJDOKWKFeWkp8fU0KHx4 +m89ObRgu27+pBoTEHWPVsMEawD0pJ2DkHsNDlGG9kPADaXGNsiA467yXJtPshNSQZRMkqbfZBPO fHM453vbp4mjnzYdF5bW18epi5NLZjjyVsBPanHc2yVZIl2ccl7wLH7fkCjKz4T5VV2Eaau+hM2v +PGfLpG24xuupPEoxPg8bDHITfOTZG42NogTtGWZF0QfR49kEcMp97B2eYQZJtR7VVju3wN6ylMO kUR98BxFCXCIKsTOnI93k3Ajs5MQAyNP9GHBWkLyAlaM3tlRmrFWx2zvuGsKlcG/MBKh1Wlt3EGZ 796wJxwPMXzYPlFsKReg9OOUJsspGlLGtG2Dve3gLJaW3/VTN0/SWqK2eSihg1nVTWjWznldCNAj MbNbMqAe8xpQGzQA9HSQp53WaQwxPkwCV36NMWB5hskPG6VIfgdO9ch9KYxisQmU++o0rFXLZFsn 0FZiWYyWLuxYS/V6bPVrP5xJ52q3e33kHIb+GIv1ESHMj+hLBS2dFabXGUyVj2Nj65JtfzTLbJxc lHk+15aXEhBGJosxYA/Q01qwfXWaU7asi/mTyzVxA915uEdqYViVBs7t/CETfgAzTWmmK+M+DXw9 MgNYtEu/HaAnIG7KaNcAm0U0vfHFzyaR//j+TnmeggyhS9T6unppAaUK5sPTG57oHSkUVZTMS0Eq UOFqMfiU/a7mZob7zCE7VA6nPimm/+N/1ICe8HeAFdJbbTDkschOSZcWHxeLo/q+RAVBQWwrmGwU ft95rydh3qs0WQGBGFuKpmSoUo0UwN5bntwUCoGq9n5EVcx0myvaXN5I94D34wVss1ssXl+18eSL /VtPchoKKaKhdRiLM10pOKNA/zgvGtBTnVEQd6gzlGcVx7ecOz67e7hl1tqqyj5L9m7TjEBc1dp/ 66nytbcFIcOAfcrZg/VmxdzjvZ5MNJmqguCs0V+YyCMLnTsiaiz5z5mmVm4KeYOmvJsjUT48/bee TPILfhT1NygV3NCQLi1AgAF6Gq29t8j8JCXtEcS+UfqJopEJdeMhPeCnJLu9/ahwbJzfwtMP0YFX H3UdL+D4daMc3t9ceHPmQPue4THR6TdZeVrswckJuodKXkVPk47iTK1b53RXRqyBJeFtfBNOz5ol Yk/LzSGTxEWTS1HmJ6iqPxq8UrC0aFEzH+hL1slKjnluxK9YA+DIV5BKUHHPT4XQiwE9RUar44zQ PR1m8+oMEuy5U7sYRi19Rt0mljHns7qjZxlW4GDgbNIcG4Bd2lkWy8ri+GFYzZDqz0I8aMWAFgzU 78o+Ar/D4Be+1DRZ9KCa+5W30UK5c9T2UGqPro4OyyhUS2VL76o+AK8/HyMn/MkB6cAGZuU+8FCx rPdwaw7RO/EvoCfxP9fh0R1Ol/f792LV2VcUSJ2Txo/C09aF0TXPMwqJ3vL+0tzUx09L3pXf5FCY OFEONM+h+1BRqH7RqQRk48uOZTOl8DTS/dDMUHlhthPgy6I53Nejyk46MTy90c1KyHm9Djxkhx/3 YPjzpa9l18twH7AH6KlACtEhV+aMJxkyqzjjs2MvGbZBAV+bi8VqKrmkwYvCSJNXSL4nDSfR57Ir ORJmIwpAT+mTgoXoga9wCnpyjqydcB2AnjLX8Iach8slcBTLulxwCjI/PP1vT7/nULMGyUQ9qGfS hoHsEAWafmkbUTbtsXWMozCB4gn/4/kE6ElWSF358EXh7NMmW9S9S3nsvJltymSzRXsudSh0whXr /Hs9ITF4KqTKXSkto9kYgDBQtgD23vK08E15mSlv0qqqjZ960PGbAsaS6EV2ssRyHMWsCWopzj/O w3ivp1C31qb+EWrpQ7H0ijWUyL23POkcBBE3RXqQ9iMMSjbNl+cgD5K7jWZqRPoLcWG3jhhK/ltP l1Qit72NF3RkS3I/1pp6ht/riX4iLGw/Rz7Kn4uRwERltsqtXdH6FGfL3VRYZ+5KYWT3w9N/60kG P+ZLNmU7Txv5mEaPsYkmoCfJ9bglc3vb7ipqyTgextERjMi7UlXaWhkPKGGveYMMi9w5ONqQxnm3 xXRkSaPllJ2/IZf8xPX4tmJDEsOXjBcB5Y1hv+mTsJe9iYhDDhkUk+V2kW9dUHRxUncVR7qCpVuw mg1ff71C1Z14o+ASMYBPEGcz+I1z0NuPvSDYyHmGjS/4eSBSaQcxyrs3j65YD2EBepK9naWI0fjO bYyyIANNQNkzcuR+p7Z062xYLS96xnLQHFygGVXGJb9TW82vjr6HL/KybWJ5397QGTUVuBo2IfAp ywgRqMnQxYy2IS3HsWvIBco36sJ3gv5W84SBpINfTC3+weGsdfuFEsPZ+C/8QMDJ6K+dIgj51E+Y qIZ/d48C9wA9YWUIdEER3pXKBxBqHJ2U0XesWI2ed/7FmDY3OBFBJArdU0GCGjrY42vGVMuJR1JI RmbTTGLPlUIT0pyABDkCMagt319cFoRyYE1QDs5AIcTMviMbjwdevLiX0xBx3nMcwluoG0aZqcgU cpleVP6lOwnYA/RkL16hL7NJs2Nk4xCfgLvYeIvDsg9sK9rHIm7isu4RrmdnWjYM9necQjqgI5C1 Gvke0FOwEIk0+CF73wTxC6QqCNYuoCfs6K9E35vIokxOCgUZGn8hfnj6356e9/PHlh1lN+ew9oy7 BAdWKUjxKBKG5Qj3VhTie1Y6NN7yNIoY3dLeNVl0rfqgGa1ZDHbg/hecdqYgBO/WVMhst8DsvZ7U Yn4+4CwbrqJGRGm10G3pAPbe8lRlsXjjLaD2M7lmDOO7EVm9r4V3zl7euh/cEmzGX+x7sn/ryR54 Rsb8CFI2I7AcCPqT4uhbnjZOv0A4H+0h76rOg/8JkG6IoVYZZuteLd5RKcLUNYvy/7eekBwQeFGM 1jVC+LSlnd0iTN/rqV5fZFc9cla9wqnFA3N++FmbkItjq+Ywjg0kEVV7w1X/w9OHp/+bnq4OdmlF AmR/rXtg2x1T/0giVCWb8L3zuJmQmY+HRmDuAvSUyGIebssThQShF4zmfHqxDi4M762ThiMU4wry cKeXzimqQiNxIxTt0N8lPPAHkjmaZFxJyDsR9fvf5sRen+nKOYqdXHZOccNLkO24cvQOBpUge724 HneNTkQg0nTXrgJbDjKhPUssWwwJh6zZXT4XLMAeoCdHCtJzmiZ8ysD1oPHnB+lA/mE3rq3omWvc Ip9seHUHF9dLNz7S0Cfp70lgGluRf1IBPTHvD+jZhGH8MT1HrxGX6bAA9NRBNh39yOf96fhL1ncl +WVoldcxsC7mudxvbYyaE9PPHICejhk6xUD6JkFeL0UOX7PsvWNIgDN9Fbsb0LOYBUsG+Gj5RY4C JrYFcuhcy8lE4Wl97mfW8dKqMLRFBvv9kD01lxi0Sw0YZomOkS69fY6xeckISgyf5ek3iZlj/DwU TwIRzF3LvnJiaDtbKo/jq4E+rUu2CEwlw96hFSRPm+TRD52SRe4XwefHbFmGGh7EPla7AHpKWWxL z88i66dibtNaB4c1Bcftcnllbv1snfwpKKkQQeyV0ARy0yBUGPYiNPtr5XQT820CF9wXeaFMEcGV ByIUPwN/kUcTd0UUCcsCLhsIaLDcNldCr1To6Z2BPQdhjum4D0/v80TLIKnzM1SgA6TqtSqKcmTk LU98YBszSnXTw98KGD0/zwJPl40HgMCLaOSTNgD/gjDDmPm3nlKRkafnoU6tkV4ruWqOvane8qTk C67mmmUx4kYpGFHIS+fhgnKPF1hEE/GdeAfiNOduWVb+AgWVLybgDlEC8ZKqdfy9ni4Qd3e65tiw o5etX4ha49KEZ312xC0Rh1C7xDvS/9b94/1xQE/iCv1PqQGPqRObEmNX5EkbFLLdrlCbXY5qNdkI HOKdQO/1lJDBz5G3y6fcLxXJQkiU2gTYe8vTj1+3jcttfMHtB7UGsatWfTrDORDnRf73mqES1hzh TfEfnv5bT8vgJJXCmnOjHG0S2E/7tnhPveW2v2bjEaYL/bB/fJd5AvTUguMSeVSbzyVksr/7AulG eViFVZAEfeY8g03g9DJnbFqaoCRnu6FixkPkvSl2fMshfLy4PoZp9B25XDZsuEDvOHakLYccF/24 q9bJG8WBbMBSfcozZj/va926xh0+D0ZvT+QiPKe4MCdz4ScB9+sKe8AeoCfGWKkp6HI+Tjs2Y5PY fc8XKHsbZJ0C3P3Aum7So8b0X0vezzDAEg82Rsu9KEi4EAqAnqy6Kfdzxu2UbPtaYDea0KwBPQl9 LnMfuArly3iJTAvlyNtPk65CXASXfM5aJyhCd9sxAfSE4HFDmgbL6eFuXXqhzj/V1NtfPh2xY2He C32HJriWTcZw0FGMQ/XjrBe7DuTl1nxyR29xD4bkYv/5DjkF/M6P9IU8Dy2OhWMBDFOEC82aqlrC KIy2eOOQ1Q/6Oy5agaqxhWfPcUbGNZRvQft2xhGI9hVplUffCLLG5nA4LpucI/9xIU4kMELkHPdR /sIf5u+egJ5UI8DPYp10+zPgJqGwOG/MEZHEFyZNiIdq+LL5Q117YTTaz+TYZFXYZ0PEcM9B7ZNe IFPie7an23PbA45D3XZyzAMGtkkWhSYmpddnw+U7CEwjJjqyw/6wPf4GemlC/5z74el9nvLhx7q/ 4fzYn15rpOD5q9XylqccEShI3nRzMlch8FQp3a2RcR2ZF/l+ufXImJNhUPEax3/r6TsfiI5Rrown KA9vg2YrHuR7PZ3mlXEMxw6xNqcsd62WfLEF76wI/jxwJ8ZoDfkqV6TM9F5PB1nh6zxcZPpxr0wk Eh6ZVNqSjLAuZIhBhPdybTlqWWZveZJNrnKHaM5Y+DtVyzCT8aI37VBeoRHEx+33+tlwVJ8D9r2e dGAdiD3zzm202zIl5isg9wF7b3lKpTSMp+BAbjbxNLWgNQtkgHiqERQinnKUiBrkmFb8Jvrh6cPT /0ueNAVnvtlgwdQnd2ANJvIJ8gJ6oqtGHBgesqZu1o0B/hJ0mRF7VsQOwlheTV+EBqpt4aCe01ZP w4Y3KPoSYCe39WVBwsqjIn4BgjVRD/hhrv56+5c6G7AaTceCgxDmpmWZtmuqb0qs5hjBqbzC9G82 FyeBhr+QLJe7k6+UzKNonOSlZitKVZpUBxFWTOi8JPsoKlkJ+nyUtO0O9J9DNzPw9xNcxQA9FYI5 hywqfYKOomxGwfOnFm/GKFUB+ela4M3TK9ZMcR+cmsP7nWG3VCwqXCMmtjkCLEK23egzOHGF46zV 9Kp41ic5cNXGHbM6BP+z4JvWVFHaGtr6Lsd4p45Aj4by04yJlEFZd4z6/L2I7+YXTwPnKH/6UnAu ei+LzPLKDVXCJbZeAD1JnbbSc0ZtoKIVc8qk3llne9tjm9Bpiql8Oq3/ccKxW1njbcN08kuuIt24 +VLmMW/WrH0Korcopao+KZfWNn+dP0T3znZ+aE/YLIv+q/arKXob0XWt2o0b8E3kOByK5tZQkf7j 7i9mCijvmSstEnUEZcAeoKcJfFZ+e8q+oLhR1ussf5o9A8dbHvcIJte0GQrSXGFbcEqYY6Qi3N4h PM+MdX9u3RpATy0aneUd88d/d9gVD/W4Bi4BPd1fZWwSk0TuUFd7V+jS9ll+ePrfnuq2zI52mr7r /X/s3eNzneEa6OE0tm3baGxbjZ00RhvbNhs2TmObDRs0tm3bOufz6szOZLr3nPOh/8Dvy7pmzVrv +9zPHZ1Buf4UK7aRN0GvjBhf+Bkb4nIjdA/nj/szAT3BRH9cTHCLlrO7mgphjk7D4RixvGazitBX XbO371wnDHuvpy81xgyhaaazDLRU1J/BXxAAe295qrI1iD7qmhzr4VQeW5IbYA9/jNQn8nLEtMKT JDbwBmn8W09r9ChjiXcObrbV6NFtMyVOb3nqSsLiUzH7WC1RWPF5QStiE/2lyNH/imUyfk1NKfzs 1+nfekorUBswGiX4LXo6KqIXEk/3Xk+aCqYNdSlwaSPYVAG/nA9bgxu5H+xLQqj4YcINeSEmrP95 +t96qqdspBrkxjz/UNfRZmV0/sc8Z1/Mi8LWVsbkkvGm7qRS2QPISz2k4fbCnnp8v2gT4hSfB2kf ctZWc8ZseGhBz1A3MGiUGg+n7m6FUKc+W5fmc3KHidtWFNQoxsMnx8SpH/ULt2u053L0K0FfpJHm Sy1FrUrGwaJXxWL9+pFxfCXFZH904owTOk6lhrB1ITk73RlsuIRZgpf0qECNHVoI8Dhzaf3xPNOr bgBLeIIXlKixO1AbY2Eqb3ZvPuZjbIy8lnx2w8p8DRzxY7GjRqyW6i50AVSSSLdN4S/KoC8+4RjF e1Q2qaSqvGuVO4bcReEfkWNf6+iMxk15UisQO9PGQtomZJTQXXCovKcpyS+ruujbDVM/FrKgOtHo pJcGdTnEdaSvD4H9cd4XGij//gREGA8sna17RGremXDX4UuxH0uRI4FXiV+GDix082AXfASdMK8U MSsNeED+zXfK8LPrttTKLVQbZQJHtq3OWZ0VZ7uhHU5LxU9gbAnRm5dOx+yz/Bp4Ovhb1AkTdNh2 qLlW9SVF3be+noakCoC9P54/hU2wWZtKpZXsuTFyOIu/bh58tHBGU9E0VN0ZaUsc1a8hwPjgXxV2 I1Vg9BN1KviP+1V8iUm2TOzGEL3mLwwMXGJsAD2pmM0bbb4utG5XRXhMcA6S//P0nz2JS8cxvoxc x2jnWessyw94s+Wbesp8OjD5yfzR5EbA/PgtT0xeK7WCGgHFpzVzlxAKA9LHYcoidvl4icYRDL6s TGsX7/W0oRSSj0acWSQ/RU1PoVWfBdh7yxN2LFAxJ1LL2U00f5c1RX0CAnuROIMrok2DWqIBsRAD +t966moswiaitQddUnFiQTPnMn/Lk7jkABVj9na6/VCz/fxU66+r8av807v6fGwHFyNR0DTlv/WU ECUAmsseFYZh6mi1r6bv9V5PvogPX/PBM7/xH7nD+7tr6ddC7JKCCYgM23pORZpYEOj98/S/9cTo PVxkh9hLog/NGGj7sMEL6CkIxYB+fXJxzLHKEGNII6vvhpcJN1ca/6Gj7BgsFKg/VdVKSml/gvY+ ugSjc4L/sKoE7NjIMp+tLk6bm/Rn8DJqF6Vdi+KKhpMl+fVLoAfkIr1DI0mfnn3DqECYZlA+V28Y 7+b//XMt5MDfChLFOh0V5wfEypC16YTIeK1/Fxp0meXsnsN6T6tkyMopbjBbRPbHeToukDQ0u4Ue 4wZoHQTNWTE9xRUHfKZO1kathMyCHmb+MD7btavYI3Udc3OajyVgEfhMFZfs4ay3JevU3J2WuF/Y P2C8nl4plVdXgXRQ0y2HV8jgepb0Cf36v7nHW6kO+jUwYhlxmOVLD6LoGalhM7pIz997NaGsH56A 8U/1Kddb/thXJg0kUElobO5P8aqenxRfwrJ+XARVXn+YS9pI782CMAC5YlnR/jvg+FsQEd0LR3E1 HzZw4li3gGzTCsoMjfpF1lrs2kJYJCdEQWGosEAoF5YqizKZxn4dCm544dDp3um+bxiyl5YjLQgW FKMmSRa5EA1gD9DT8We4UmXWPGwn8YDKda5P8SZ26vcQLuzkjwfm5Iq7d7bfZGKstgfSFZ2KvpGb yI6TAno6sboWF97Pt7jbo9fimi5yBPQkYvXNdCb6GuSqEPz6xo31+Z+n/+zJTLdXJzTY6xSz8FuG 0BRWt+ZNxn6IKJerJMP1+BKKlO9bnsLpmu47ShN/cwFd4tJTjNhWWEDsiygK46WOpunhFd5OvNfT HDPZl45vLmVMvRaaUEm4GoC9tzxhmJQtymPNYOlvJQ/B3dc4ZSlmAMGLyLObLw+1wMoV9L7XU9SO L0e+nyFnMypkDEjxM8/xeRRj4VgthyHxsswuNebsW57oXM590IzY+TfqoNO4OLjFx7inmCg4fiBD TGe5NNtOVf6tp+okx1iToY9RvUdx1bxKJJfv9cTa6lcSDOkycGm0QdUwmN+ty74ahcZe37B3mN6y tOjX8c/T/9aTt2SBIWy36QgC0WcNSNFub0BPbDefzEpgS7lvpqeepiYKeVSC2Sgc+WNwwcabvFrF HVh9854SRT4wgkL6yJ7Qwg//fPW8lAQ1PZnJHOhWlka0RyVMNr/TrVPui6TpbPg+PwGSIT0fiCCq 8bOrYvOpp8s4/Hgfl6p5Wp44nO+uT1wp9yztK3FrrCo7sfzpEsx3RN3gTNnm/sOz+kiPke45HNbt E0BPwU9iPPlz4cwJ2FF+NMi/df2tCner9EAPVSBttbHjxPwHW9VfaIPr1qVfyiXq5FTnDOnt6nE5 mA6IO5V9wvciekUxvsMUl/uqqjSykiWPY3srnJFS1oQrBAUbW8u9WnQDJTMy/QqnPunPffzE9UnB xwN8DX1c70M8d7C1oFJ/F24zoKfPDIn5kJnC2QoYeuUZe6pyJ/VJhEeT9TYW4xQv/TperregoDc7 oEw7WApM04pFbS0CKqJTYh1IiFID8d5mchlDDDM3TgyFrFnjvlrhnbJBofj+DXY3vlm3LrNF8F10 D7MWaPR1CZFIrGRgnd/K7PS4AXuAnirLMRKTwoXKIgsFrLxzy1fwSkSxDq4/4z22vArKByXqgD9q EkIKoH5NcvGME5TfuQX0ZHGZIoB/256IXsFQeZrMxwnoiSIwKz3MwF6qm2Rfil7q8eyfp//sCW2r JY03t6SLrN8lA0vC0setH1KYFqH2jn+x4Ikm8DLuLU8QmHR+58/qESOqglUUQCsg9JTULKN1xIFt aU3Ct5ZoH97rSe2KIciF6MMo5ySSvoZ0PS5g7y1PI/Io6xbeaK47caZH7QauEOsNGe3kyn3pZPl1 HZaokBt/6ynvs9F3I+6WKVCvdc5r/iaQtzyN4OSrIpgEkb6If1IB5WPHFxEWHl1wz+JFGHB7qgYj nvlbTwMb4EW6kMLjNYV4aE8dKsvv9UREGivFCBYdr2mAkgXLaYjlT3/OY5DglcbA/mUinysl7Z+n f57+X3qqDZWdEzDlCSE6pqIuQZMj/ZG+bDOLPqotPm0omaWuHQLo6XfbHXalhiV9wA6kctFt9LmV lMtPGGDXDyYbWOrgcaJAplfE4yb8wr03cMDftgmGvz4nVkPdjqalH5MTMiKTPdMpcXSLPP6sLq9j Vvcud1QtY9b4eV4y3w00tZeTWqXc0VwnR7Twq6y5lnz+dywcGD4kYA/QEwrsRefTC2nbb6/wp0sX 31o2N8fKjgR0Ft8ePPd5DfpbXPLWS6b0SfTxIOOegM88wYCemrUtachxbT5hnToeJbB7NQJ6ikOw bvmyXH8n+5qL7ooltLKaSzF+ifqKPY9t5E4Y9/gK6CmeiSFEnuYRPoP0pYSv6XYfrSDupDeNaprg XDvhhYLDFg05kW1Thrl4t5B4q56qzLepLaKAnehrjkhcbbtQNIN/mfyIo5FwqSQCcJHML3LqzBEt lx+aNB62+hRNu9WjmboO6h0NTs/iFlxGZjCXYCqQW9ps5anzHTRR7jJ8KEqmwpA1HsVz3ongZNB3 Oi+F5vWAntZubfQRHMalOsBxREFEaogqO23sXYH8sI8FnarwXOq8cr6SF3z60cwnYsi2lIbOXG0L 9ZS8PBO+r0ZbR6/c2DUnh1naYmorqYrhikAn6/cFrFUVNWJJbcV3z2Y6AUeOSPmfp/d5EkfxW+kJ nUMONwWyArMRSnvLU8gUoTywz4xWFQYLPfKHdohdrIjQ9b3tlKEqzarq4bLZv/VkxfhLTfSEcgIi vgK5kEHj6b2eqvPNum8loH8MJtN0DoPEp2eq0NVCn1KantmgLQlB+iG/1xNcts6C+GBC+5eQ0LMP kFT7C9bwBsyuvIaZzvDwaiiLuW95ynbv/9iuSO02mUjK081atesx0qJxIArElfPNVFWXEVv9vZ4i P4d7eF0Wi7z8OJSX3kHkB+y95SlOlFBA5BdQbE6iCTJzTR4VLz5QViZitx7S3piFQPnpH/vN/3n6 73pSCOvpD7R2L6x+iXj08c3mkPHGmBLCeezUl/LUZwhlj/3jvujsAwpFc+eSnTUpAhIjMaGtGUPK 2GgPpX483K9oMz+4hwpPLiKWZkIRj8wOGMx8b/eM2i7uHz5wCkPRN2w3Gc7nWW8QfxPw8Q20zmH5 iHPA34MX2LQsnJdn+KHkOdIti19QWWUWFaZ7GMLmmjZ+kjUXsAfoSXuIO+zL9RxPMvxJFFRrIIQw U3h7uyYbWdwVELmMWpXfWjRxOKhCcN/omMGH9AQEA0BPLfvxPEtaWHZnAdFkE2r7HICeKIGO7VW7 oL9QqHSTIRh+tjsQdq0vYez9ASkRz2YfTrwC6KmnkRZvBgUqvLjrqMkfPQzMS8FwAhlTeOiK1Lgp BLrEraLlsyqzT3HVIGPnHvqz+CgEqVKVee+XqU8chAw/VoFAtMdQ+irwU1P3HAiuxb143EFl+4k9 ZZiRjradOJBhCVQKoIWvEWzmoamzjbLPEuTRB4EVl4cUoB93JQ8++TfqfWeiCY2i68TNAEuggdH/ pPvH+QKtVcj7ke9UYeS0Ev24KdyRdb8LZnt42FU7kr6yQRA1IqzcniHgG6skhdwN7V4kH6xpB4eU Y4j3RcAnQL+AQEVmeU+thWbLrwkijeUsPEP8ivW85YkTzSZiz1cdUsyHUsn85+mfp/+mJ3IyU5tY SfNsIBRt+t/J0nSY20n5h0T4qIkB1BTVWYIpb3nyfx4akElpIyoTkh49tcbNxGxX68TQj20Z+FGs SdWXxv9eT+7eq3LN8JOvE88mTmsnHoaAvbc8hfIwwODYGxyhziaZkNlXJXRPHkrNHMvSBg0tyH76 foX4t56uz4phzLwIr0gboMjk4B4g3vL0VefnXiseS5pnLvzPcRY9suhTh3GRWHeimL0q6h+uQzh/ 6+k3mAMDE8ZoWIIQx+qroaTGez31Ipg0QtaQbfUPg4WQsYBk9MvCMVvjLvbbOZ4jaXeOavzz9L/1 xAJbCrWwLWur9Gir+0JYcQzoCUjaWO4lQaqjDqh+Mb3ynjxN9KWd0dTwi+ZRaTCwSnLGMjRWpiwm Ck9jISr3ZtbZ/Ip48Hf/67yJfdnf/rf2u8ekro0FCaXEymzCRt2cZjtUTLDUMkE7rytwEXn9H5OV /AWrC/wDKVn8cRBhKyMJO7S9UO+JUEz6kE1CR4MR7qQUa0KXPT6pK39WbYSKiDJ81gD0dJf+ATFQ b2ycfL5sdUFsPBd9w+epw/rjTYj6sEs+ythw2nMMKPl4Q6T+VadGeGKxtLj9T+SqzsGfF6NLnu3x VXngjUB0DsE4jxyD0lSuQOAFHQT897i+bYyWYeIfF534QD8Q2w5Uu0FhNiOisuUwKs4T8xieYWnJ V8xIRt1DX+g0Ano6FpfxLNP61LENkrv+9Yay7Yd7yPd+0jxT4xqRU7g7+Amh0R1OfOmau2gOqTDO w6o9XTr755mIa6xvvyF5NdQxoOlKA0x0PLmuPlKM8WnfJyhUIKY4b4LE6jzutqb0e1cZxaSpvwjb LKDFQbO6Olm5ngH2AD3By5T4P2mkbMHYov1MOj+1U5fskixYBV3vU0Rv8gefzi+M6gu84HRBSySV ar8pxs4G9HREagR8exEoe+HVV3q2x7AE6Kn2HKU5Xlw4Ru81q5U+0wzjn6f/7OkyfMSmYk02bjuC NbmOJT+is/0UyimsEfNJfei5ICZ14i1PM6hubUgVHPqb8dPLIXGCITseUBWfaRSH6Vu2OxVCuLzf 64lExzcE3EbLqiPOiaDeuyYXsPeWJ3BheosHcQbHKeT4U1fr3nKrEKXyiEVYVkotZsXSrun193rS JUlbRgIa1CpwPTr+6i83up9QfbfRDIQIGUmZ06U/9cf7YEBPNOlC8XwTcNEtQPDgMOYkQ2gZ1m5E 8Zrbn0st/TUS1kP/1tM3g9QrQwRLKxWzc0kxGZ7E93oiWw6MrGPKbUCafDVsfT3vyCQgdWMuLuHV NERiHmz5WfDP0//WE4tbJFncSsrtbCPFnpl3PSWgJ9yUhzbMLo9QpZpBX3MmxyCBSvK++lotkV+l KH0Ej6Q9ge5jNQZfLBQbENqb0wrZ7KaoZnQOmnAIG7GkF3trLXiQHXjlt9uE01QWT9aBRpkDrF2w C9MbMPDup4oOIwoEzCY5PHlzqo72hYRQWERrOeSJeFQhtBpqym/hjf27G/kdf9+DscLnTJfoRtOg Soz0swJ6MkH4RNHhIjMR2JfIQpduLwBqzPyRf/BYWqkkkWbmnJe5zI8aFfRnC4Fch3WX05chS2P6 b1EFxWfw36fIy3gufoWb830ztZBBQm0ZFnMk2qQlCNOjRBcEJ/JUFaQQEsX49vu2xqPChzCI3pp8 2TseX7vQJRMnXN9JA2QVeDNoSZyqF9ATI8cDk7+R48lniu7QIY1cHwwg9N5dpsgy8rkXuOePuxB2 WGBpy1n3382P674l+CqrmBPqgyrhHbN5z6sc8iWaq495fuoG258QJ26mg1x1Vi1ZeNzo2hBlGklc YlupqqylMBlBnO3QSuA6OrHxVHMYYQLsAXo6GTq32xlmUeKR8j9HdE5JF5IMIAOGa6prz0Q92tnP ZHlcxp4PEEUtXeHsCNgHKq0E9PR5WPHYVwWYruLpY1JeeqAOoKcfDpuT6ogOdCba0ztyZfsP/zz9 Z0/MSvs5ix1I2V31I3XmQ3meljzt0UEw/frGv4HEikT3h97yhIbz6Qsh1TePoY0WrTmDPc3c2FHT rM8U8PeY1WCzcD0T7/UUxKGPYyFjs/KBvAP8i+xhPGDvLU8K7eDb4vsxV9TlSoizM0Jas7jiQNV6 pbhO8782RZhKCf/W0w+jDXZ//+sUjIONbfpSP/q3PL0KRs8JLefrbBtjYkWOoVXOA80p68tq3OFN AqO7AVOZ/q2nno5orWQEmWBlTY+ysFyc3Pd6ysQvrwoWW5tjksU4ggjVZ0XU6KZUWEXVCdHp9k1E 4mj45+l/6wnbtH5sheJGGzhuKxCLNCsZ0BMU7GxBqjNPWoBSDbQ9fNUWkIuTgXnfJM0Kvol+wHOt hE2D08xIHMRClIMY8wHSiC2tSzHaz1TfOzIJb++RSVRG5tY6XH3n44zhtlHF+5QXFvlTN17ww1CB XQEGjyLVgxKa4gWzRdeHSWwNx64P9zx8QxlHPB+r06/4YrAQl2hSReykMUySX5x0o2n7L49yyy0A PTkTStrUxl9pLriAgFDzKiSjuJLUMjTkzp47G8dzSVBQLj/g4ZqHU+Dyifw2GoNK4tcwcBh9aBuF xaJIbXIUcyDz4SoVHehFEVBF4FMjiVhns4tQIrEWjKbzd6baYGLxcxOnt2+b3sHhStk/H+Twqn2F rjXYRQzivItXCljK0RgKB/T0dcY/bk1+2fwrhc5ZCQHvYbE3kg5ZJt7glYKB2gEJRqBNqmaeuAY2 +w+XfNL51qgIFg3ISUjJ700rBnoaCZlme4XjO1TLxokbIljdPAXhnWgWUy/CCj6sDPjlXGniP1gw GYfLhdA20b9+QNv0mH1xygbsAXoaDcxmAPH8UQQ6oazrC7nAsRF96f/ke5mdTR/thdUvp08pb3Yn rMgmIMJmrsX/qWAa0NOdo/MvGGnTSHCmA3VS4pBJQE9MS6faT9aYMAyePk7t1CY7/zz9Z0+f8D/K 97/AJn03FVjYrOlT/ySsQ/i7yoawn6eC2HXS6I99G4CeroAW4b19UtYLGkqs09ep3Ektke5EgtzW FK37nY9z9X+/11OgZ+Hoh4Xmb7ChFjZz2wvQgL23PNEsrbrhulyoJOp3FPqHXkFLoKkWLAzF+znl HHzz881G+1tPXYavK+3hk/IOmkO1v5sV/rgvGtAT3gOVTh5Sx3XNfm6+kIHfYdgd59cmBHX9iQ/X KyffoCf+1tPGfU5og+9LMv83h22iw+HZ93p64ko56+Djh1FYRfjxkOz8M0my4ugAs6dt1hh8WzlV Yfafp/+tJ6+SYYzt6oBBhLxCeaNfN6iAnngXgkLqyb1WdVEKaFYCDZS0fOpw90XEFGTEwMBe8zoP oUsxGa+Ng6sqadHS5JScbx1hrgiqwSOgfNi/BTzvFKccmRKK10zCjPCxUfWrME3tCBfZysNTkPbI p+J6PRTdoUZC5jDuUxeCjfK68oVPGNytiE1+6orq5I830Yfi0D+9ub4m9Zx79F0KtarAzIPmNwX0 hBjq4DyqIHUsV/9ZJC+RJMryHAU1u+zFikleDjWIhFLi+wRu4+N32xOUQqFmC/FTqCxWqrEcOidq eZpg28uw8buqINVRDUcLvG0S/mFI+F8f50D6A/BoDK9dnIXODZ0cb9oyBHop6D67TMZYM9Wbc35C sRvfg3DpVKrWIWT5+swHBOipX/6+rGN2FqcH43jsdO4Uy+yMoTisMP0L7syaSRGIYhyLkn6VIUR7 wSzKTqyx1+JDk+uUY+GguQcKYxj1nZpD9lN3fsU2McUIdLRyTJJCyAHFrFm1icxP6lVV5c6Er5mC CLjxNdxGK6fbt71qXiVIZIA9QE+t83VodpnJ1/5x2ZsY7B7m2ZVyyUSduc65CmfffNhb9MBymrtD LrYwX09a44cWt88APZVAZA0I4Peu2y1a8hjcNpMBenK+F5bAjT4OPyPfjKxcDtr/5+k/expGX0zr OY98iJa4RxSIN+U5VPj6RLSFMXaZmj+YPtWx8JaneLgdCkmq/aiGdD3CG9xCtzwIlKn4ZlVxri6N zLXp9KD3emIgyHBkC3Ny0M+ZDxVRyLIC7L3lKY4XPcMlK3DR6+62pmJ+Ex/BzzX8krE/VO7x0jF+ rNz3bz09+qd4IOFcwc4g9IMQPYckveWJTS7BobE68qvbStyQlKDd8uXSzuqtT14mR2j1AZz6I9Pf eroBsRM9XcBa6iVRJJkWv559ryenvNPip32byzIE+h7i4hGpJ9uYlpoXLyX5tt8vKW3khf88/W89 XepE+XVrWekd5vXK0MFFWQJ6AnN5LpUSL0/tSsXvskcRWX5Jbb7GZabJ46MZihk/3KIrwBgQ/aTC sTKyS+7vtd3skQCM3ayJNoScOdNXo0KXe020uzLIATJmQ87pD+wTnZRewXTiQPIMrPURxrrYD8aJ oZmKDdb+5w/y6Cpe04wvRFRsaLK9nOtjimy/fdI+eNoH+ySZ6T8ZLkKy/74CH+Es+WM+eLhETo3N bOGkHCqzQ6liH+qzopXKMzDE84Oq9/eADCgaTL6BJAggRa7xLJ0LhEs8gVEg1NYAceL08NCvvIYQ X5lU5jjzpoxuRW/Vfo9FDv7CFsSuzaXyyI8KiZop2D5U85PDjjzhNS0RcTBywZ54rY9EulhSuORT d1oCRR/l0y/EB/SEo5aFfX7wRaKYRMfbcKBa8tiostisgqNNE0jkACO0GASDC0q+X0/mAQx5pYBT nPJOyMc2JQSJb1588bGS7IGplyBdzMktlWJH1Fc+1uRpKRa9UVlncYW291KnfWQsvPnrmrjapNUN D2XRSMgi745rFGDvj/fBeDpmwTfK6Hgn+bbRqwtali7gtKjerdQO1Kc5hNVqWpiRFbHsv1vN6sy/ K4RnO20BenJ0F9JpoLHp4QKZKQyhP/sA6MmvyI62xQZBd9JKh+sXZPbiP0//2VO8ew9yPggCKeYE EzfPyBI4sy2jWNKjCW0l6oJ/qFvqH/N3gJ5WXRDY7dxoL0qF0Ce3MZNBaoVizpauPyyz8is7tAuX ur7XE3Jo570JO9voMDCLBmHIkxdg7y1PuKGdYY7dXwnYpari45qjnJQII3l9l2R6MSz25Xc6Ed3/ 1lPJr4/kWHMV4TmJpGokihJab3nqtTRiAhKCjf71El0DRjqJRNWCrg3sHzGfgEz2uYFABOVvPd07 jZ4CIYYA120KNzKCnam/11OQOmODRajqaGTbBUqAaorUb8GhAhCWbBruAYMA5wE2yH+e/ruejJql ygSx8n8NZ/KnfaGhBqupC0NAsrmQtiaSIOuz79oA9PSy1moY57+ybO6USCpC7iY1NiKwztHBiFbq QCtocCsg+eOyxGc6dHAAh+AzuiP9vKLRvCsw/z77RExc5+QaqozcOMl2qHX+qyelZph5hnGlpd4R DVRfGL41phrlyVF4a1JDhACPTCg+vLYejqwYCcKukcgXyduuHFQSx2BuOFslHRwhVhvBMoiZx8Md fqnlPCVAT4lEOx2vig2qArQs9mZ017pXykUFclnJrrG6P8oYXcyvuwOL2t35u9BEHQ1Wo0UzbqNH YwZHS2SkIBkMCnWy5Glf0FIDetsaPRgIirtXWLgTm9Sr+7zc4MBudRyO6sL5JTJf0skbV2o0KX8L XFSKXBAnxOkexdnWt8dpNWVTULeuAXo6WxUtWhHSxPLFSlnuML8tpPAJTudskmTAhupOM76MW7mz 8uAOlOWUJH60nrXx2DuuuN8WwNELsoo+1dCVDVVi4xX1okM1jNsUzU3DsjH0k9go3pwXtg0V1d2s 1orLvt63E5SD/OXRrA49Uelo7FPNC9gD9OShLLlj5u4BpE0oT75iINi//+Bdk4dtVYG+SuhRNQAm vjrHW/AiWOpdkxHLCtQDFQDoydkLljQW2jpvtK/Ty/sJSB3QU3sFqGFQycFaPsOCi8OU06d/nv6z p5Rf+d4LWlTMcnx1xGntNNt8dnW4oPbM0zD3BPcmJyg2b3n6TSeL5miHdKTjhYoWjaaVATt8+3DH kl1MxzsguL+5/uG9nuBnpLV/6Krw+vd50NYsyd0C9t7yhIeIko4HqrZJXCz4JO8ldoBsH74XaDGX ueIV160g4JT8t56+2zQsUkNs+aF94Rb8Dszm8JanX5XP5y+mBPBbOUCMkh7XOcyn7e4+wc/Ryhw4 BB2NFjZ/62n7CTadEayXV3V77v6r6GXHW55sF9gDJc/xIGoMeuwxHHSAiiU/Z2FywZuxtpuh01ke 1id1POtwsaJHUDEkGINwd0f/8/TP0/9LT1dPUmjAboeCCOIG8kuDNZFSe1Oe/CvtG+mXT8UHx1x/ 7NtAH9jEK4HDsSXmJRSq32IXJpmBKx+1s6+3SoEfl1tgtlcpm6UEdfTZuHLgKeKKIFc46OWKsQTz Le3vDdqL30M/uE9qskIqLwP6ZqDGGV+ODmTK1dlYSl43IdzDht6G2OpXvRSAabeAondcuiiRN/hq AtgD9MR7kZ3yGcwOHByvwBKsLvTYz7aTnC488By5dfCr0YzkYPVvRwZkXCIr/jNLbmTjcn5AT8KG ylAkmfP8Wc119qkYGn/ch4Hv+DRNYaSpQpVafgDJSrmaX10yfroTvHTqFF0TeYf+x/5gDBTIORjt T4K+w3zTId0YRsrpMMlP8Jw/NirXYbjzmrYgHCZpozRCgUV9r+DZb+rp8zTbkArQFsxCnYUVNGNn I0h3e1D6BtP6bkbAzxvaUfXX3eNZiBRArsg/x3mwW6fCn118/6yGCyUg6MHmuvFjJTmu/Ub2Du5b 3XoI22UvoTNlXbF+qalHIimkCj6LIcU4B6CnTpvXBFJx9uvf3epoOhsia72CVEosSF+NDlOVwPGh qCMFmZVuD8LMZGLrgJPGd04NdYsgwjnmRYeFAl4C44HCKc1oBm81KKj8NDtkrJ4ZAmZxBj1FceEm HDZ6ZtDAeImh/3l6nyf9VpjTg2kzSeUj+SYCbZ2ztzxRSnSLD6vQgKAJAS/zRbM9Nywvx75owpEY OZ6rfwVmbfxbT+gk3QJDLtqbVUT4+W0iBJPv9eTFznUIJ2IqI26OvTZbfM6jxhpPZiueHQf2VDYR mk+V/l5PeydA0iy/C8tGjsUUt8fuiOG94k65QxR1MsatCkX3GS7f8gTU6k+ypYd00UApKpKu64Id /8TD3qA4onaqTwyEfAG0/l5Pc7IIHKpnGd7JDB+meR/YcwF7b3ky2eAHsUoPUb1J8Z55el1oaZgi oWdqVMp/9vgVrwLb9cc+oH+e/rue4MoS7IeE+fU7tuEFVRpFpPFLXH218j2qkhfXYWId7dwAPc1y jW1WX6jou30JgRAfbb3nyHreuceHKwtndNO5D0XVSS7R96fMZNCA+E40Mih2fttbvzyhDyTmNhhA tVj/k0re9VY9laHp13XeCFMRrfWIgzYMjfuo+qOC1Lb+s4o+SZ7ATXrkRnIeQSEJIiXWQMgLYA/Q EwV1XuWZ2cMkND+YUCHTU+IgZ+CQujy4jDj41qt23FATybIO9mx5JjCOasBJFcVEOKCnMR2yYa7C JCoh+fPmx/10TUBPSfaVtjKE8LMo1/D5cL2B9mWwqdFJ04aQv5/kCNdYPwcAerrGLrIYrL6W6Nuf sePF74o2iUg/Yq3xMbXg6E4/sziTQxa6COeJXKbHLcXFcoggnfcF5Y4kMgFjD4y9n1UlRzivasFg f1hhNPyaFyVznEeUfAVS+4x8brd9+ZgWe3NJdt8lNDeCNhRI0cYYQr+jZrKrySnIEOTTj+2rEMOP OzqQX9RJeG3L082KXH/YyhGpVgXoKYo0enVTJPLTFedzC+NHYq7uwTs52t+/tWpypn4tUD+EDooF rq7wRPjiprTOrkAWBGZygIjb/XA4VMfBfg6tx3CJLci88A8Bn8LR17Pb5+v7ramq9U3jATRvRHhV Y77vVP+fp/d5spORZQ15rejSrMGTX2tF/+O8CqCn8d7m0C7mMrXW2gqqAptuKRhbCjWmz9yTWVII Wk79BnN/6+m4RQx2Y/tkIxkWyLBz2jPlvZ6meqTlnujrasHPQi/YYA+P74jEbRPJuxvvDZyRFs58 Ft/ribFaggUUBjjEUzf8GGWdZtlis53EEBp8EDsbfIBF1qjkLU/zOz1S62EWFKHqCxhjZsQSP5DC L8d88JEH0nQhH31mS9/rqY79gTZuheWRPvjMQ+67+3fA3lueoCdyqvjlCR5IWCb3iRdXVROhls4l DjbbaeLGGS9O6Qr+efrfeqpFckuuPzNr90evvxlaW+VBYuTRhU2uI0Emdsrh9doSB/QEoodMDGSX kLKrOl/Fh/jw1bsMLAUsEHWMrOVkX0SdglVEtkUhTpCtB8uy9KUFhPWgDRkLup+a9dGKCKE85lgb XlfkRvPLAeZsd+ALnlgJSl1kq5EWkiCpMCeV5o3LzjmQGnb7Uvx8v28/i+nk01OSMmAP0JPqzA/S UUUE9ywHcbfFQGHH2ly03lMc2QozBv02K7ZY56mvrzk5e72MvlO3Ts0QByaAnsD6zmac67xHflQ6 2LMp03YBenp2qBBegmDgjtF26lrCLdkpxCP8VE0SPAfdxus5BEVWB+gp12KzgPw+S6m0yWcQ9Sut pHSLRigEExZzy5YFdTVFr5UJh8DPFSw1DHwjA6YkQqMpxh5DxcN7iIFislvlTgg+qsLawn7d3adF VsNnmUsrJylo5nh8qSLKCbOVoahBDMxEnzlmpSNb4ZBr89yMGAmiAXDXjFkunmznsw7XI11o3NeP KDe+mC5WvSmQgoHtoguPgJ42vbeER1TLRNbobUrdudnRMCMkZ73D4ibSHUceZbQhN5KcHXvoeFD1 j06KDj4mVGW6rUKV3RdH4xHsPZYoZ6yKtDCitYTOvpbIPFrQDcRDJvG5lFEtXOZ9mww1YoS6j7L/ 5+l9nmBKNCX96nKmErl6D/Ve/FTe8sSgizLtuqdCw+0b6vUkHG6O62fCN3bdoI148Hjm+VQz87ee vrSpBs4eJ8xyDRQwN9una7/XE/9BcAGpp9htxLNNk2vKOo8nSv2yrUp9jL7S7FG8KJb9ez3tED14 XqouUbx+xDpKNHdqdLw6Gahvsl+fXXWZklKN9njLk81Uxi6Zfdd9mYbjIAMWXq+eNf4nccfn2vNr 5b1Vp92K93qCtwFF7CQpeMmJKKzoaz5PAuy95UnjyhJxgunauxcGTlHkWtFgSBq/G81K9btuBXIt xcLjH/fT/fP03/WkdFElji6a52HoJWoy3raTuiwg+OAqWXKKwvJqskc9Yg3o6YhFRAR7R9DE+L76 h4XfQRW67uqgu99wAFg72VGK8pzLihvitgx0Z0Xbj9WeSF+vbaWD3CfcM/sl4xBWdm/sy805NWVe LwQ+Kc0jG6Xlnw+Z3ynaFZ7cmUW6Tma5mWOgPGrq/NLC72bsHSDyQGbTNbwBe4Ce5OAwmGkYV4ic 7pdEmphWEsmhCXXQiTz3fd0vOHawDU2vq6qonE7SjjE1dyN59WdWAT3NNDNC1uPoGo/RBdBmL+A/ AXpC8gwTc9oIEXi1YFlg4s6XIJX4sCaLeUIffOLwuTW9Cg7Qk0tX3O14abEEazv7iHHopvX3iN3u /A9ZQ7c2B9mik9g9AZGmjrEleBiErHzuOMXZWyGW4J4NnVAHxmxJhHpsph20HQJf6eoykI26gYSW RysYIXkcwGXVbhefnEsd477CZOgaFNpCVOOBgrYUUXwQeuKesVPy+rwo0281GhV7F8lCOBBWLfSE jEdMykuU/vn4I84fz8dtIg2us094essyfamvQxKzKGspoNw4whrm0uem24sct/yI86TObl0HI7aI 5jCJqEKpzvvzcBLzKXG6VNFQbcJngMYt5/t7Q5nmSlOVNQSDb0q69y/Q+ZbS8xoxqVuqA/95ep+n vDyjx7jS3G25QdIMZXxLqLc8LYgw9f3QngV5pZdyGVRC5DpgPp4dnuHrzxos2FxUrzz7W0+QEFZS vydSOnC4PJIkXKdR3/LUQB0DsQeX5GSb75obQg39e6RdJ9++JokAlhsBnpWwIWn6Y1qMZcAXnqcs KQ1fyQWy93rCuhW8q00aH2n5rH5idWKKGrV4hDWjJOxQKqtEU+RR+cd5FUBPnS+caODlChIzPyIO ZQlRjXNGnfOuS/0R+A10LbeQLvHe68lw1UxvhNAhsRBIzTpsDzUMsPeWpxxp62SaSefAKWqTxRO7 Gu4e8QZQVzapo8NuTUlYv99/7Jf65+m/6yk99M4VTdraUzQtrDruF2bFeTv73VauAF3zua/VNz3k cUBPz992pPl/DpctB6SPtDbjhyL+IrOrjD+HMe0BWntmz3Ur5eTN6BVSl2S4lSiPqaa/v/ClIFJK wlB41R/5LIeBE04y40Uiw3FJhlM5OfKM7Y1giThiKs5Ak2Uf9eO65DnVxh1lSMVYRcysLe9j4FWM Uw1gD9DTWAFRzS+uHLt2rYIekXo+Bq6B9FcleW6030wlA+Ws3mD2aIhrr811hNmknj30BI/LgJ4a 6UHzFU/9q7FZqfsgTa8lAD2FW+I4T4KsJVfeE6qraSx91ng9/VUx2be5n/OrTUfl5gnQE9kpKDf3 mnjSiJVkjFHZ2XjfyXBALjtv2K0Gi86yqTvVQHKafGFrmZNz0XoxKIfZ2i9wEuj6GWTSiPRTGD7N 8jVQMrOg5C+ayJQU6zvh+I+qJNLK6gyju/rhs5ypXyjmjm1oes6t2rTslyVgRCLucgO17uLUsMKk WFoIHVEMO4bL0EvDz2oZQMJ+Uj4SqnxQkgL0BNsN/BxXRiFNpjGcG3LO04JGbhYYk+uhDccnar7/ Uce7xPLb9n6iRQoOuYxkaFVSmWiUbjsoApZrDO5dag5cU+mdvh0dIb10RPgRxpZz/YzfXvqh4eiR afKn2Mb5qQVoiH+e3ucJ7Auvc30twfk20bTMWH/06VueBGsfxKR0uyL0EQ4uKPqKp/m5up9tuEB4 W3tqagctM6P/1tMUDcNR7TBBTKVcs0AWDPrYez2Z1H49u6WlqNLFPEnCLQ/3N+jQJenCjoTFQYjE 62NpinivpyH2NuC5dkck0WPyON8+Mf/ULNFHN4dRVCE/RluLIsc/5hEAPcE/3CTzK9XAZlT2HKbl c3mRo9TZNDMM7xZpRZa3em2xv9eTWsSnny6g6lIHHP7702i4rIC9tzxZjEMqlsnO2dPKi0tUynuP YYNSS6NkquKo3FNZ2jNoQf7z9L/1RIk9k4CwRb4xUrBUbi/EQgLOREwdU5y17kTErgLlgtQK6IkE xUeCJzXwEdXVcmYm8vOIIZOJHJSbXMUPPonV43KV75YJrzDQExZy8vIzr7u2c6m0xt9ophkjVqk4 KlcPGU6EpKMn3DKrYuPv6nShmKcv25LJMW3vvLnWISrVF0XUuo4xJ1Xy8C96dvM1cX1lhiA9AXuA nnzJQJX1O27z9b7oJ1elnd0xtMnzD7GqIh42S+IajJzEypy+ZDcSXy4E6teiLHQ6/fH76ZSd1TpR 8kwA3lMlgzaDcAfQk5QU34HTs3nYvVrEl/Le4n6JLxmUTNKVLnW2ORqK2bXxgJ525HEyLRC4l+1j dGlil5rcK+At9rkNaG0O10P1JfTusD3VTD+fVkX3mIOVwRjPV4tjECoFwj5xtW493c9d7LwO5vXd 0pwb+KZ9KjVfl/Gkw4NZTTv+tJtYhxXJx4bHVl4HzcGqQPiAu7wm0Kloj748wWg7ku4INtgHzV0O Vui5Y39Cmsw7y9YE0tq/mHVO8CXkj+cF+n6Cnt7Iuo6ispRyjBdsDNcYfPff5jPutIAL9EqQo6sI vDYGPL8FcUrv2JwlbnQz6xaMkc1XWDaKRHt8ODBxdjKaIGLNcYUlQ/Q3cIFGDdHMZDg99cVT+6jI RJVlW6D3z9P7PB1lshYlP09Sv4J6iz/T1Lu+5QlCz7b7gNrDtTQShkgeeJZc9ghd2rWvH9L4irR5 1+hF9G89zZDAfZJF+oBJd0OEu6F1ifNeT96+zcE2GCdhGVPxeYY3YRhZrpl4URpSOqoLIdyV5Dhu 7/WUwpVKq9bcmZCx7BtDH6iWVbFX0AiSiC3qd/zKQg6u5PqWJ3dBjpUaS44kYC8LPyoOKPs2j6v9 n58s7VYyYW1IDN1p3+up5ryaC9QiwmrPsGCbuGJ9GrD3lieq/gk41NpAWU1pxkPRnq1tA7Ay8s9R t6LBoQHYMG6ssf88/fP0/5MnbPtnHOodslgsU9tAkbpTZEBPKmwNp+wLqqITn62X1vDyl3x40/1z Q5jjbUWfV1msrgl07WH3xB7ozpWALYPLj6Ms8eCaFvj1M77XYhFeIujIGDu7Kxsruj9akEd32GWa DJg4SSKD+KZHQ3k0eiOeS4b5g2ZPskOsB05v6wS2q3xjmHFdzkWTc4HEYjotmm2UqnYNdVHH2Ag2 KCs4OX4SQ2MZBvTEb/49wbWBrWC0Kjt3/Xx7GP7HIctuEDpYmHKBL38Js+GORMIK7cnUUomTRyCo FNJjulqtB2JauZaac/to5O0c41Trcz9CqtbS0SPnzTnz2Rwz/TcOb+3KORPS2PphOFWYYhep5RVX MTVOttebS1RGm2iREezeTGOx8JJaTaSTLExAT7oPPwkiwirzDhpx/DYqxQbnuow6exNoD7PCsBrq jsryNe+HV806N5irPkI1j21TkUh3hzyh4xEkE5Xk8Hvx0sX+RM+agunG4nR5RvLFR3fUfLBQXEvM PylKSw+GTzpd3y3VwZJDTQjVNwhFJGJe/hUC2AP0BKpJ4zTN89OIWXbcsElMuXlhyta//1puO9oX lSsOckt/ro6AfaeSljARN/P0d9m8HKAnlKW5F5iOjwPAv8IFtdpMBQE9KamchuVkIvueD1gwhaSh 3/3z9J89jfLnBDDVXcACH19Z696CtIN8MfDd/xXClCZ4f93jmPLrLU8bvzxf6D/8yOPwkJahj6HB YCReN00cVJBWsuS01pvNTnuvJ1zv4qxTKFcGYtrQm0X//STA3lueODsIUfiKOsYsTDLFQL8qaRwD Tz8AA9ORzObVl3XerK79rSfxWyapdLOmQepM5KJTg1v9tzyBozMuKBvLAttBCPhQWGZ85SoORA2n muFyxeWjFmUrbftbTw+hSWL7+lFswXma083OO7nv9bRExfTL+5mOr8n56Xamr6D2i58mLQVEW/GS 04e0lwDJvH+e/ree9sj8PB/Geqc/0lbpuupN4AN6ovzyO1/cQ3oF5muuh/72UNxn2wZTkjGbOTOV E+nVunUd/dvEzPpnJ7oXmcaRNEzoL0XgS3jTDq7w0AGCKcj+v+wiaWdQoITPHEfwwD91D0EnnYYc iCIEWbCZNkktFAwcM6cdAl2ItGpV2rMhpU3AL9pEqRnrDyAJ6K1uhf8A8cEfHuCaC+o0f2RqORff /179iADoCf0j8rbFnbBdqebIorpYqJUsB4lJlOPM2V2G6aXgbBO7A22xB5q77x2HtQiEwM3OU4K6 PahBndfTHFKfmgu8KA+Q0s9Atv3Zmh/tAffaPD70Xh6DHXdFN+62T2cBugiYvYMUsYNW/HLybVjl 6yt0uJAYriMRM83XRBCIv83d6C8J/5iXGjHii0kErkvogiNPS6mF6pM/TVNjeJnSq+GDPPAhbcAo 7gZyqAu92vH2mk3oXtJMeWRYp8RR26/82dS3YAY+Dz6JUkIHx3ZcMDpdDeHo3pAZrpK6E+2DzGRk E/p4DE+eIBk8djuO/asWVR2C/kdBJmAP0FNQjusQpehwJxbCRh2aleETy8FNMBf7TpjeOMwO8pdX 08/l0DIEwonEISvimh8cNQ0BPWVRFn3RYHViPtq0UTgHAp4C9GTVdT2BC5PIFSXy0cldMo/wn6f/ 7CnyUF5wQkKNgKEsEYkVgwS9ngRC1TvnZ4bzjKXla4Jp8lue7sG0f7b1OPYmyk9PgHl+DAhvFRWZ LJ0WDAmEC6MBHix4r6dsBe81tWm0BTK0MWxODgEbwN5bnnQjhkiB+45/Glu5JWugfQOdkS8HCrYq a6HqG+hoQPs+8F5PZXCMSKoXcHfoqrJFZ0Yk/GRQJCdT47StqAlt+sq0ivZveargXyBkUUuc+iCS fWltqZtcPFSpJSvQvtwuXTFlTLdN87ee8Jpau90m9+6VTUWkJ2qpVN7r6Uf0V1OPJ236bpRN3ja6 UcWieSikdsIYyEoWWc3f1wLg/zz9bz1x3LEP7zkcRVOAt7mJHq7kAnr6TiRF/7uVveRGawRqkxxo LmV/JYzD3dm87V4oe1JDCr3OJPe7clcTYTe1EeFBHfaOfJDSOlGybI+z3i9L/z5Ut11QAZdP8eD8 O3QbfoVEdF6yE/dcF2DK4lk61qzauN0N2ePrXl1p1lVKwT/nbw/jyF4owYsVeVtuVy3xRxPCL/FD QdMRvPvwSdQY9jALh8AYAT2VjO0ZRXr0f7vV9djFwMIUw22izhO9qojGXAg+Uy5SZqYTY2kSU6z1 Sb2LHr3b4ebdX/Og6ePDpkneMotlnf3UZHzN2/4be7bCYUK83CSic9vxjpoSHIFKwbQwxbRweL6X /2ndi4rgLG1agH5LUSZSf/PCh8P4Cao3CIoIVjuDkg7Q00A0lieFP3FYp+MVM6rdy/D9ADyrisEq i4qCaTMchbeEVj4/9qfawCI2yo/L6StaIEitQg07v/in2FjcAm3nvhG7CaS1t0cIc+DgPuvZnXBm PS9YdKkzKEePYE3jyDOkhmcazbvdeuh0cIfL8/Qui2QC9gA95R1PPEph29uf22Wxc+NERmUVO9N8 n77KOvi5TG5OSzYfOIdt+3LkOCEEFkAAO0yTBugJThJT2VurZCRnfIk2IL79AdCTmWPhBTOZyz7u 6baGmVp92T9P/9mTfIj26mVRif7QfVDY0UJP667bVQMo73c1pgCaK3rBDfK3PMV07l+TYBWrZYmj G8eB9LHf1pC1yk7hUOPrfKPwFAxQfK+nDGGu8o5+K/ALf5mMRbtEZcDeW54w0T2HfloqMSu3Ygvj YX/fLOc/I02UvhA58WRF8f5IyvO3nuZiC0kmkyJ+HriI394gZO2+5Sk6Vx6DdhQib2Oy6PvWoZAz kutzUM3F2tZsCRoViLUEwt966tM6MUKzJ+DA0Z3j87XotXivJ52wzi+VCwyM6thl4X0tp7Q/cxVj GL78cnQ3LDoI7uiO/efp7zyxMT2jQ4rOswmLeCRnJxq255B1TlOrTN5ga22t4LOAuNC670iUeLp7 ANH4ma7+UPQwjpg+Wt0YkClO0eFvUQn20IZl8nBaUvMO8Kusi6FSRs3yipPxqM3um8QDxQq9vipg mYbybONEkOWMbqaFtu8Q6x0MX93egyTPICESHf7SwF65N9RcCV3xg2vfAU26xSyakykZXAii+Aeq KqGQLAGp7QMYSG8u1iCvnSr1iM8HENZ9swt77Gh8Ey/+F13ND4o67Bql0M2Yn5GbLsN1vQsgc7Sj BlvkzaGqbEfOe9yTLb5TZ8RYH2vr2lzuSfAqHOz/OsUEL3VjDCR3yi3uoSfS43I0HZpO0L0izwis 7RSXHRpM3Cx2r9Rjxz74PUjmBdsl2Mkp0dSV4qhzOqGvf7BOlwPoNVfWT362stvE+zM74ran4876 ymFjD4OpZpN/CYS6U914otPH49PSF95z+Ews9ddnla9QV1cIznx8ut2Utdv851HW6WU5Y25m2m29 ztlcq1GDtwneGB4dkpYpQSSC9scd1+47yC56yYTP/KpT15bN/GM7B1yIqWFz4LDucl/4uvjrAL2u AAWNryXFapKcufhIBOysFIC1BitCQqsIjnH/+D6ynKH5VbMxVVTaP8JkiAbYdJKeJhaIpJq4UA3T 8RqfIEvk/tnUcaU50tEhBhiVR2VOu4aaTh83EdJQ6cGR3IWSH3OBfBOsEJ3yu3VTv9C3V+GxC8Ae oFceEZDuSF0UgcVSV3Xhr+DtWnSlUyENSut9gpnqRVgxwi64TIegGRn0w07isMJESTuAXpMScJ1G Ymo5l6+w3aipFtsAv/9+4w8jWhaVoctJ2pnixcRQTX5kgJNlmSN3P10/s6dV+GNedHNe5J4+6k4k y9a3cCr6E2mZtTGBSlhPfmbDb2jwhT2WosqHzLmGsio1MCB5F2gF+m0qbCt0JhSncx+hlMNHewp1 +2GTZtHI1+p7C0j4A6ZG2JA4u7XM+6haudSXJH2XM6s5IR51N/PX6F2aENbucc9/nv55eo+nLzuL NWyiiHk/lwtPAmHrNUIxC6Ao1Pn8l7rNIC82dhRoGiw+cJYY6y32sz5/aOTkETU2ToUHZvHW281g aHD3tnFEjb1EJLqQ2IgrWnAN+w7FrBVpVcEZ24ABba18vXQcBmccD5Ju/bhkMh+QXfTYXP3HPMg1 o6sTMkcQckRBqvBVU0x1d0+z3qUIs3GtpUFMIyvos3Y2TPXisuPMT4a+clodfsMpiQFa/8wQVY9E Dh/FjfihY+DqbEm/5Q3rZwcOs+jQNM2lbOUeTfQ7f58i5MU1alBarSlroh+HSToWx6WF/R1b9T3f dzdT63g+Pw70PB7ilTqmDsHrc67hEJLgmAQywNrMjVPKKplli+0kJ3s3maJimLTOqU47lxXszIar 3MR0AHo9FOlWybGx8/QFCdPTV5VRO3wx/aEHhW1d6eegaZPhpip5pM4go/kxuXV3kwdVNRdsdxMd VV8ILPkUZZHMvAYfKo1WzE4ThSyc1wVSRTiCzTOOE/9cIawiDPOmY6ww9IWpO4ZqHsNtr5DVeYIh 5CZkFLAH6LVM7EH9XtSSDXJFEI+mhKNYgv8QH4G6z0LgCPLODSjAehfzuFaWSTp0X/SX6TdsEjHA z0Mxak03ncl1RJAqqVE0HTsP0KthnwDNly9afQhpXtsYoikkaldp8jnC4ubXthjE2tOO0H/s0x5R UAiBCZJKlcCu0C30dPPG4zlFzWm6IsfJW63GHiO7G1nV1NSpB0PMWHmi7C0TWsv+2XAKfHWC6vpZ y8pzWlVz/LOL21N4EIy1UpgiNuhWP1lbrqYgOlliWMI6M95WdLUYhV2khYyOGZbo0LAYRojo3tVc zUU8Cw+XPk7ggDLe9FAym1RmWN5ghURX59DWH/OoYaZ1lOruMrhgaBRfyfNVs8mPzNbBXhHyw8KC l4Vy1O2Cio5SkrdUJ1r0UxTGVdIklTkCwq7PKPsWUWTcnPpmWLH66XgKuVfUCD5Irlrnngn88/TP 03/TU0e+StbUq7arDfiZdfMeuTigr7L4p2giI8puDnl8bTWnPIPA4Jjz3efSFTM7uonewGUIQF9a 30iiFrT6eWPi2j4mogmChMMhFmsIkT0NZns7Hiov4T/H25UHhe576/Da1qwLVOBuQvYNf90h+FnJ BbIbucnq0bME+1nQjn5u0Czue6vI3Qec4mOIeSdHG9gprjv8wC67bGNhe5WJou+dRqWda21fPwD2 AH1RIzt7PIjrc37AxfzqVMeTGtFl+31Jw8+pZax3yQQNzAIxBeJJxZ4A23bNzYSPx/8joC9QvwLG cTQu8xMc5RMm8RVcQF9nrh3bOXCwGiM6QVhVvC0xmTQeDTLiopDt1iNoScFqXwF9YSJgy0Z5YvEH shPtvdDTxozCQn5Da1TrUyW3xe+yUEp2enoRx4yg1WnhwZX8pRKMGCmO+KoftA1keXiILG6Wh8Lt FiPm6ZtIztdmvsmZlm0GBHwVzfmguvroaeuVpSJr5waGcfXLYF5BPBW8LDrjFgs7hXTHR2FZ3KsD mxxyIVlGDhSGvGamMLmUUSKgcSN7D9AX9e00WK/xz+6fV8RAq2OeG3lO/TpxmBTi3tyeWgVl2pFn 38ORI0/SG+a2hoj5NcygXfiCfH0iv3uZVf0f9u7xO6/njxt90sa2bdtOY9u27cZN48Y2G9t20tg2 GttOznl6rq716+rq/b2fnP4D7+va+/Oama2ZMfo42f6o8Oi4Xa2F6aM/MPRtPhVjnJ+aa9u4UolQ L9ZujEG/Dh39n6c/8wTqWnvofDW+vUUSGWRFU/TLfg+Anq7ZbaWTzL8mMxAGOxzNnw+CxR4x4qOv oZm1o7ldgo5j/q0n13VMz0/P15T99QuYPjw3Mn/qaYYkR7niaQrS4v5elkY9ONVxn8gwpJgr5CvL SlTLzIrVn3oSEPWS/s5qgCj8qOWTTqEBBtk/VTtuBBMJBRVxSMIc+Mt+WYCejv0Q20hP9oIedv2R DKxHuuOvQkzkoHkTx3wN0QcjNZD/1FNqThfTHUurBSGJbL0r/KImYN7vPKkmtmSuWUn5L7tBnSTN Xhj2Vp7w8krHQUPbjnac2xf3//P033rSWM8h79DpEZqhdXctMaPJa9rCz5znpxrhJpFLCa8cdwH0 VEjFntXnymmiGJBXtWTj4/6tQyEHKb6efRMqPEsQE0tspf5ed7qq2Rf29GIHm1LXHTs5fP7r0vdD 1mety6WKcPlHKRT3O8WOHn9bBThJLP7cyhV8trdiJqllR5ymZtetPMGc4b6HZp4UsaDV8S/g5maA eYCeKmrmVHLhe7qgsctfEV0ThosPpsPJN4FEWoGNWqJe809l74u1Wssn9I056QWXA8e/A3oKfshL VHgp90bmg0pJGKB9B/Tkw+1VldPXi9E5F1P/4QJGWUVTDgUoyVxRVSLzA0oDOyqgJ+kf3zVtHG84 jlPrK80PM5wvlrFp4Y2by3E4OuNQZ8bfcRTk5+L7+Gwe6AvSD38YtABj5QroIkkdE9fyb+PotVzf prsFVgDl0reCEX+Q0OdPJn5HZIXiYK9HF5bVsQF5TrlZ0ngnMzQ0qugn/rrsQMDVgZXHNF3t3Yo9 iBRguWpv12ZGWF5Gzw+hY9fkcm0OggXoaRLKwoCzRgfKHqLC2wXkNDSA0AHEiNnMi+x5Tjg5jmps OM5NJi3paU1shv4l+adnUTNsyVNTqHqO5pUPhYL3TXnltRnpwZc+fKHtgh+oKES4NMLNK13RrTUz V1MhwWe5P/95+v96oi8CgSW3+ImvzcPw5WGx/gBensMjE/ztvZbehAyebPPGLfl7K2O6Gu7gzYlK VQLzE+Dz2eYv5Yosh7p0+D2Jccrh4TSP7KeVkqAsdJ8dxxzEFQgncyf28C8VUx+dSj63hyXGtcV9 WwMjCMwbRoHd6QEKj/5wpVYqsER9kFwp8M0zU6CGrx0r3neMzBAHAUV627njc5Kqjq1duqHoiSiC ktT6l3Gf5uJvFKXO9Ie6zOXKKzzUhyh1MealvtbKzm7iJZdx3ya/G+or2k1LkAqCxPYuU4J1bIEK EfceM9MkclF8YvzskTG/3cQjSTNdX4BgW0HBhcV5zIamUtvkfqLhQ1z+Waf4ItQOJUTKzBcBGt54 85JhrgW7taTXeerMT2I9uaVPa6FqGfyNc0D+qoRW1su/WcUJiPs0xrl7pD2PWrxGkS4e1ONIQ7Be 4p0HDd4azpNIimEJ0HchEIvk4VyKvsq5w1WMoUtCU/3rKCpDeD7/Vywk6cH9EQyaoWQLeJmRC3dx xr4L7R696tpLJ189HrgPCbmu1QbIu8vYYPlX6c1UE17sY7IL3/MTt3LGRDREmlCz8RImfGn7ml+g BlCL5lNdAnsT3vBKd1q1sDTXj0Ug4+ExUtViCwB9R95cWfftMzZGp/GVjBzBf4H+wU8dKzakDqUg tNKFbp5mx/FkQBMOJ//DLIGkunsNHLB+ARG1fLkK7ISQSMCkHPgo39IqnI18GBFqO7xwIwK9he7u TBkD7XcEvi5hxYmj3svYAeYB+tYLAQs57bmGuWZe3ww67P3axlBx33RM183ZPCWUjOKkeQODYT3O 69TwouSv5s94Bwno+6ioxgMGvG7FM+esOSfYmRWwv/zhDNyrt1QXIqyV/M6EQQOTel+Pd4i0k6LU gg0mn6zVBthfYiV1Be5s4p230pQ07COBhezZK/HcefSQui0yOVKDId5hWCHQv1D5Qn3AK+7GKl5I RjQxEL762Lls7rgQdqMlvo1uHJpyzIdbK9gNiqBvO97aXo5oRYlnl4CCJBGZUqgi+c/TP09/4wln xb/hUA55IY79JqEdNCUHtli6h+ShhcBLxlq4+nTMjeWqNHz0+DRF/Ft9hqbE0yEYbPEYeR058AQd A5AeMDIz4PPdbtjzIiVtB/2qgpksTdZ7nosE0nSnV4aWXuqCjHQahwomgg8x9xQ5g3XdCxHBNny0 gOdLzKEephlKf8cA2UldqCqumwoFEa0Ada29SEqJevxMNFKAN1J0MRrNlALh5V5OHk4ccgXezwiW +sJbGqzfwfJCRQh3zrnQ+oeExSesT4LLTKvyPOSbL9XhqCm8MvUEC7cdrwauB08bwtSbm09k2Yoe to2hge34jsMaUF+WgFzYChm29UMdh9WEkTajOquDheo5TfaO2Cxt3+85inIebpGLtCUsctO4cb4V kX02KOzlJVYa4ip8Alc0f1e3OraJkYpb7C8qUv3JX3Lg/51DVAiY7Jf5n3ajCIEup8gViAm+eZVu u++8op+pxbjjQQdvX8aXdZPgkI63Hp8TXxybMg98Ol7b93UJ0VnCsLptMaDUBXZxN59JzeUdHIE2 5okvM85OvM35Nff6J8itptHDoz9t7QSSf3RYduuaGMZehRSUsHpN+XEVj3kRCF724PA5/Ht6Euun IsD2BkkkrUaWo+M7jBj7k2ytk3hqp8wYvIW7zjJNNbrvihcbFwss/6bki5Ktha5AH/jH+9mNR6lO /nCwAn1sdfH6xqaBenSP9IAOHzyp+cJ7btOgEvi40KoPrVR5O3q4XwzIV0fFb2I0ID9nH0LleXlA LvAB5gG2t5+wP5O5SPZFHqyM0NvJ28lAcUtvc2jDpT5hY7iDrL6dYXqqjDoTtRCwxZPb8yaEKwP6 wYg4ro3Ja3F+UIvP/MKJBgLY3uAnmdPnJdWlbxfsTCofNPmN0U5LPzpg0EcydRGMOpgTA7a360Ag uXAtCrmxJLAaUA3hcmVVLBJhMqV2wqM71tepkYpKQqfppqrRUsItSK48TXg0S7AyFHUGKqc5sKBJ SNaMaqGruXGICW0Zsg/FwE9PIQrB8wNBQH7uc+t5zp57PPSsTLmdmWb9CFNf2tmOYkDfHf55+ufp Tzwp5k6EUtl7jGavkZaDCE1R6vUQnRJMXfCsePhG8HjcvvvYBm9mragZ6OsZvHHgRwoD9tfb9q2d 4uZ7x4T2U5XVb+8ZbvXsHfqP0fcc9VzJYYETeFAMaY8vHVH0iWaxgn7JeWOA56d3Xzf57IrzGg9q 0yVNLGSaspoaRfJC0N1pWH0Vm+7SGBXXqcFPyzP2dIvJdVpXUkvcgG5iPx6x3bfPf8wMWllmnHcS ZqyXNzuJl3dYfB4ylAlra3G62XX7EnP4mB/O5o79ecwBC7L6YlirIuG8Y+cYsL82i/ZA+tYPlL0a JP9cyXkOmjbcymni9xhJW4hqGbJoWHxEcHcHnGBj9hUn+ZGf+aIuDzxNZY1NHKbTKU1krWG7teTn lvFgL+h++yp9QXIsvj0tI1q9SrpDHurPYtnoCfloJyZuSsbrd6oOqlmTsUuYVD0+TXLhbqxd86mX Pj6MlIu5+vEauh0oppg4DQvhhVKT9ViErtZEYcftujLPUu507U1TkrfAWSYrHV1nsykhpNwDaI/m WQctmy1NwuE1fvxLMmZ0ydnszPizKb+moYzq85j0e66Y2+NrI2ni2ROsuITuEpAfMNYZpqNQ9dhz 2qZl3bpesNPu96sepAOZNZJ10foh0LRAsDzD3kI/iSRQgniQ3Ogqjt4ymnKJWezYqUxuSIQ4TsRt F2c9rz8HPmGu6YWuago5xKh+Y5sK1WY0qqRKjIfG+KrXUoTpw9KZXj24Yi6sYQmRAwsEWbYUYPEJ Zz+rqCRqmCZApDSMbF3tdPrAGVwXwioaDeOhuoyUQtDPWhintg4i9FEIXOvZVQiOpOvNYMZoak4n Xpn/BCQZjKEX0Nvo1qXkz9eY5I62UlZvU3s0ikho1tgtrv2B4clRj7sd/q7c5WDO6oDihdK2R0E0 D45f9q+83WA5p6IVSYXmDBCg9RVP8yMIfsjWlGFeLVpb0LbGBPRWTSeZkZoYJ9Wjjb9o1rrb0/OI UsGFrKnwjVuZE0Y6K4eweiuq33qOn/atv39wHZYKZBHkGRJcmyNqHkr3Vh4DFNAbmuda9giYQfMK 8R76Bdctn5y+SLqQz5mWiv9hsJckK1Fcytaz2VNrsgLzFI2PTLYepmPPmpmLxVqIbwV9hBpCjRkX pgge28/cxwh8f7GOg9WvzTtsOxQvM5OD7Y82PYXLRvYwD9/Rn7z45HObDDnknQH7M9AXNPj2tuGz HLPZePWEUKxwklbGpx9qMZrTgwl7aze5d4wrgoG+jZN1OYnoendsDoDnL2aFbdx9UOpVK5pSwUyM DwOwP3thwXaJHpA3MRS8lTLieZSXeGL3+DFddkLMYCG4NSgqBNifCSjjnEYMhmP0X60UUj4/Zjiq 6c2g2tsXUR29JZj91KNT4d1x8zf4INGGZEXO3bvmKhAEC5O9KIt06Uz/AFoZu7EUNLmKZSy6VG3O YC8z66e8CrM6x1geNCOIKYrgwcp/9b5kvrmAHVZCkjxwdFQtGwUVc5lNPJnLN2K1dV6iusYGdMmJ VLTuqcQWyGcUUPhYBDg+MnKHu9e/Ovw4Y6bJ6/ECYtcyVzi5uYHAn706oeSqggnksXzNnvoaBLzj 2/O+u1wYNvZ1ZFiVb4O/Z6NK6uNN7svMPRM2W2pElwFfljZsg85jeN/mDWeiUR+uysV4JKwY8R1s LVOMEFVXnTr8QiEenO09ahvUvDYvx47dFQwL+fEE4Pi4+F2za5OnHJrrbKc8JW+60R/BqX+9I0Bn YR045egkwBiw/uMFZFLG1XzseGBqj7LtVey2r+cl7Gs3C8wm+13Mio9ebei9cak4BaFHPqaZqx6u sf88/fP0N55QOtXQVvu+IgncPNmVS5OvGORXY4p8eFtFwq+veSeTbFya4GnS3zRPpa383O8Hb1xl eclURGwZmY/VunffY7/H1qFwtAuatMjB61wPnV78Zhef0lYT0ifeM35DqHoZUPsobYTVaV+PsWq4 blq86T3elnhl20MegCXDh6KRjau3vXdQiNHPHPMI/6AigbbjeeuNl2RKEYkT+r3kBlhcXbxZ6SwE pOQr6qwAVhju/dyi5/PbxUFNOCNxgpX52FzUbbG+zJjNzYtw5iacl3eCUPr+BGAeg88mxBes5JJ8 835qC4SSaY7eTa83csY8XhaFPN+6Zp/pwOQWyR/AiifN+DdSB9pA0XPVn2visbm2kPZj3+HCQwD9 mxHw7C6GwZOnXwTkse2WCgP6jyl+zlNGyR540GZnlo1AoyzkEzK/wWLL18KtxSdReOxqcqUCt0qq 8XhwQ3WMiLOl7aIzpEjzqm2L4OPut07YN5VTdHUvWPPIT9bWZXPtIBJexi6MHbFt2ku0rBUfQ3Xy y7F/mdR2oeyAcaNwRmdjn0BEyUBWC/gWqyE0hMAssZ+u7e9Af7hbFNmM2orjeoY56TDJRNPLZvBj 7/z7eusrj91pK0xWmQnow6liyCvbOyOLWkVeU0kdmYRcZ0ztrrXY14u4oJGh6Vg6z3QMjRRkn8zh AUtJx27EPWOJ5XkTmOYFo9R9BMp4c14FqHJ8KFrEU5Aqb6GyvBzhJsyT3lo1cnQH6b6VkBWU+1xW ShS+ZOnGZfZEXhrFENc8rqNAfV9fng7VIAdWOniQ24R77ze8Qbm9TB2DZ369d3U18xFn/6jRf57+ efoTT/+3f39i8pQHaX0bEo6b3NUlQFfgv/ZqcCdq6YzJWjTJ3Sw65/CO/V97rGEdedqG8exDOw3E MvWt2/u/7e1fPf/P1lNwWEsajo+BLa0JqvxxfBVfauEbVesH9HucH3GOztP+T4Td1sLghotPp6tn oku269eA92+3S/DTDU4g+g9SDnoKGydB7SW4FiYz/MSwKinYL2P3nyxT2lLdieXb1cPrHJzIGmgA f/9modPcA5kaooT7BDmF89588F2x+1nZb2I5Or+Nllfi8IcIKh22kRZdz55okHWzFBIrupyRBouY O3fyRNEuRRAja0ouhLwnfBaBLN33z/OTcONEU+sJ4gQansRmmNgNqkPBlBSL2EnNttYUY8vGhJCv gPdvR4J94AxPcBbgKYoIVaSBUvmXK17U0JuCR4oKRbXis2/gT8mWR1dALovcAfIkWg7cRKcMsF+P v/Ex7c4O55N2mMemMv+cceQMmblfTssy6wGzvg1NbgCriNzahG5koTwjvq7Gmc9LA4WDIsIciiM+ OV0aFNsvQv+yV1qtltAYwvlB94t3bDUi6iFZDItx8zUhFXTw+d4UsdCwVF/TTtlopWtu/kQgkjeF iStSopQszd1PLun+aebd6AeFaJ4uJDIyjzVSjC8BEoUCH2x32cGiFKO0tH5YKhLhgszXb7EZ8pY/ WP84zxUQSJ0zP/kMXapsX5MnMvoNBJ0aORaTQnr7o2CSQD7ynTDIOntRYtfOYAk9+lfm8Y1h9JID yNL7l340aTRWY5ay4kUpWPiN5VD2r7kVv1yfS95LobXfGGR82t1qONKLcTZLT8p+xAU/eDzY7mi3 oucGNlGiGg9gD/+sNwSjbjDzu+Mj1w9CRUSiY+Wm/3ARsUrU39qT+RJG8cUX2hlJvC8oBMyy/wvK nbg3xCKrKySiOEdPVtPIrl3D457VyNVcpsi5O+D1OSmTRdIUNWqwlQgVXj9cUkaWtr0rEwmhMa6f BKY53INFzhZ3yWfB1wHKVbHhwNIbzjRlqs9c5KDc7dICiKRy3pTpQC/HHyX907vKAnuuwEJoX837 wUlJ5OFSiN5j6r2H0QzTMcBoMGUENXeq/Gf03tm6Pi5dRth8v86Pq47bJrtUALw+p+CxZxI93yDV AWIsducgTnvt2jJ+1uhIw4xriihycnP3esUahp6ynQlkvX9RzJeBtEkPRjjPScy+PdpjMera7t5v TjWGPeulO2zMiRtO+Abic+07xCwaKFnTxk1hhWalSA1To29/zI1PZMKLrZQCQQCY98v61PLYzO+S dgmbTAI1j1ueIiBtpeEznjjBwWC8KvobHBNnmck6t0oQuFjRIANgJ3RmgO33lmtVccnHLfLj3cOt 373ed8Drk3+e/nn6G0/zDuQwB5iRLSpB3qVwNffSEAXXwxTO8/h1CjlmpgLsdxpFLwZ4AvHoHYIc ruo68WYF64zL7ccTFkpMSE8pbGHXgN+XCEta4fcz25Y19SsHWA4oRXOQtW18fIKfJvk6jrSsqSmP 8r3UlXENjZnLNuxyf1I/2I9cUf56T3xjfSK80vbWcnsuBcJ20GcoHtseieMaFB3GFYuORKF+7nLp Y8I5X0DT9lM1sfql9KfKn/KoB9rzDV8G5uP36/XGqz7235Ma0jIQ6DW8x2pcgXgZTfH7BchZGqbY 2KYhDPl7ki+gNi/gy+j83LxakIc+pkWrT35ZD3CTUcl3gZBaujZOhXFM0TCsHwMcX7xhPEUQ+R2X 26MMB6KT+/YVPvIVuFVHJSx+NmLHyelLPdjca7n3HsCRPFswFtfN0PRuGkm1W5a5euyL8ZMiSsr6 wVME6a7/oR1NoxlS1zb0aacCWRCO0pRUZagqpdPKKmWDHcbZAtSw7i1mu2WvDkaqOkDLxuZduL4+ RBah+h5mand6Pf5c+dNkuHGQ+EUM+zUSpoeldXnLjs5w1DyVrkqbGRptafSol727LFiFKLRcb2uR pIPs1sbt6nu6DyUdysUR71LFbj1tOIyTZF9oWK8gYL06al7kpAqY8f1W/TPN0ul1ZV+OjFpVYmxB 5QmlV1meE470szdqy567Nrl01HNuoz0bn3itUgZBO/hQN4fxpBXn/K5UEcDzV2iY2Huniu0KDDnv Wvme6BQVUoB6Gzve4WUA6yXzwCDz1HATTSlVvcdMuPwTYcNHCDxBVnBXLyXdvIYY3Q39y3NxGuQo bzEld5jzELl2sXfTc9BDmuaJeag42LplGS2dyzWshvyUEJJPGqZ201e9wUgldIWBUGx+jgh7mRLA 9uv9QTk3RG5Hr8cVXpUfu/5kwPvN52dZMJC58wSw7gbzTqNGxmHkG2SvrzKic3yS7Q3g8aUKcF+I y8/rQxAI+VW+Z8xiF8Vxc9j8ZCk8EBpJ8BLFEHIXnTO6eCRl0r8Cc4YiZwfM+2W+2ksz3UWi/H0b w9GTmPAwXDxrsCQ1hD2xu9/JIiirEklDOuWoT/bn1USPSd0gkzt7wPZ7WqiEwtM7fgHuNXO+vrRF 8Mt8jatsPzTK7tIYY6JlSkTfkSzuD0YwN2iIhzY0PL5ci798T1/DM6Ab4+KOSFTxpUscI6mTLsmT ZuALJrYT20FXMZKbnMVnrDkU3P1A/wXLBpvmhhS27u0saCloeBPH6XvHujNHCTC/Ym2cIZcK0cVT 7lgQJa0CM5XDalwPQXZqRZuquXInDF13iraKYEnoj1rgdx3nMWz27B9Qljp71wp4WbjwZsKVyvJd eKqKvThuwQSjAr8BjgdSfWpQp51qMmXZ6jvej6lfTVNQ2XI9BKBDqmM7ZxPEclAY2dJIxqTwI9Mi VDx9jqZUpyHpS4HTVzzTTJgVq+CVmTYQVRsFT2yoVPbhf+5Mf/nn6Z+n/5OeMD7g9aWz+E29UexS tMZ0qWqK4tEOg8kq63vHJodasSfOnJk3apJL0da6pJKqs2/uqIy5puRiAx/wKKmBGg53iwL+v2m4 95I11dqSsYggmHcRMdW8DnkstHodjqfdpYrbfrEOl/e183212LpcZqgpoF15JQea5U+85hCodCfP Sj3QIQwWChXXrC7nx8g8Reo1T4mE3fZgKdKhPo5gFT1JYkR9LpPF6wR7P2ulluFaa+23JCslSfTA DgwrXjqx1ATRJJAxAb3vpv+YnBnj3HCYK7UJDXgyehET90UbFtDxnLaTmF70eJWxIxCuH3OqxXfZ 6QuYomDaCVVIXmvcOaY3upS3GbLhvYlsb3KvaVcXoRAiCnmT+jEGXkqie/lKwZv6TO2pDwuDwBQW +8LTjSKIGcBjGiXmCpgH6F2FYkwq0+WctGIkmoOV5iaLDuwHlyptNqO6Co2uouzCTyGPQFxTuCxY Mj7QekaPGDpA7817nRlxg8c/v8SXBGOZDR4BeieQ1/kRVShvU7ehoq/qVbdC8BZEF3p/ltU7xmgb 2JkcA+hdg+Vic+DBfCscmU/qQ4IeuNKrwYbLjCRB0pSl4EzHdvUTjGC1I5d7C/xClxOrPGl/3H0J Uf3aZy1aGzXxnjuGhgmp1g+1BGj3RHQWOkL0MwxTeshFjQji9Ar9RfTlnCxb7lUzAU70RKwfXtRe lEptTP95+t+eaG5ixJG3TNm/pm3emephMWwkISwVcDjhsrnSEb/ShqD9zhODcpST2yecRZ/T8sDk 9nqJdqxQretxR0vbjbb7qU9Kv6zv86eeYKT1gRe6UEQ8BG0yRgpxyH7nSVBtiI1pNBfxBCq/ZkK0 IvTJDILaZPDCsr8/TA/c9i3wbz2Z7Q+oJf4kw/+uoxJLY2X+9qeeYpGXPqdnWDEcSRObCRiPVc51 GN4WtXFHnXmaK4xlkkH8qafOWaLhKLk33c6gNG4C4eHRN8/82MRiWxH3EuXEH3Vvv6x/D+hJSYLQ lDpjVrL/kzXOY6aQImD9/3n6bz1x3BaCbH6dYgAZTED38PzOyJR3xei4o3yn7k0PGjIEcwboyZ2h WZjyic/+3R+scJkIRRMY17SHLhTUqnE24aPaCcobWlMZ3Yh7tjOK9Vv62U457wwhPRHpze12of6N mS1m07XdWu6xlGYwSRZ9W1MvFuOXJc7NHBTmgiKwzsBBAuCkQnIa4MRKVLZmsPqgGNPykJ58vKcH gnh6hQ9mRzgQAwWvgJ7uUdEZYKcIKjfqpak5QEDAg7864+G/1EYbMGkskBSr6W2YOVBzUhR1+BRz ZnMvd5lQr0QIgH4uSnaM3+VVRZBJ70FTLdimEc6pLz0P05bvVLQ3f+g5RHLG65u+GZNA4CcZQk4p xa8RmD3UHOOg0W6jBcwD9CTwURcp+jgUvPEBwu9okmOy0Hn4prGzhi2vSYJe+EQZFSj/+egpT3qW kzbFIC6L1g7QE8vkrA1ojHdXswbbj+YbW35ATz0emBtDdv430AhAiTwROiG9vsd2SuKvItT6zEJ+ /uLiv3xPh0Bm0D5wpszaqD/6ofzBxnJ1IbHcC6mSKnrxgKXMMVH6IExUqjqynvVjF9+OP+oBFDDn tehKTAUDE7AyGrUqx5DuMggJubhTtq3v8/970xksoGcgF5fYcZfj5ve86fx8gVfX0QHRHXMbGZnC eVudcf7P0//2hEfuipARtpA60icZZQxKK6xsW08oSpWpWxhM6AduSRP0O0/sOiZlnax1JTHkonUB 4tHZI03ApGd1GZ3sEbrnGSCzOH/rSWF2shZziGuKp7NH8QH/Y+LvPKmoBauKlk7ldzp1Bz/HbWmE fpoNALa68HxYskVeobhZ+FtPliGN+rk+1WzJawY3oTnSz3/q6e6lFGcIQy5N6QNjSeV87QAE7JC1 L8GC3QcDLI8m9WX8P/Xk1GpoQlnvles5vTyPgTApfg9TMkiSEo17LXjWcZH+jeJ3nt60BZHiN3i2 Bwa9O5U7MogA6//P03/rids9QAJ+A5dYsliu7AnZDJhFxeFDRuuhPtVBREYhe8UuoKei8o8qV+bm vcJMFqzl9NhtmYP8B+Sk25VmGmkWnYqUq0j4QRW2co+PlkxXpte0MW5035dq37s/ln1zZU+hl1Bi WiyV1/46fJsYMDluxdmzUeQT7jox4YLNAnIJHVeckdTB+ZCGbUkx0vVxvXg/H2Ow2WcP7gLbgip3 6wvnMk0Zbi+gJ7PJRU+5F9TcBbwPz1bQXUz77G0Tew5JkzZWrBQUIqzplXhzlk0k4zKi/MoYPO95 LbFlhMwxcsntXvtGiS+VTmrNeKipodeMIhhT2Q5txtcBEwz8Jp1maBXF1SSgT2tewd2QNQKsA5yg S+RyMHju5ZuAeYCeSJYqmoCh/MKtSoWwjyorDAVhDq1IOVkwkcys8/t874GXz4D5yqxflioQnhQg dlkvAT0FjCLsf4Z8+46hhdG2YwpFAejpzQ6SefBzHId0xoR7K/+w4akqnYjkK/RF/xMT66Kwjjag pwi2VHk387SqPEMwlt0D+cOvTBPMjeoxjnqi7zoT0IrcIrtoBA5tdIr1+iQl+Y97ekVIvnZNE0AJ 2Q3+djBCxr2TovhySk7p4REKSOiz8Jd1H1TNEppeqrioo4RpDqIxGQZ9Pps097s88EZmzo/tC4// 8/S/PUGXXtk5HDhVZg4XafXBGJrnSuE7vTeLaLKDOKRcBPAF/84TuQfdcfTzz44tcHDpxtI6UL59 Ay77hIsELp95JWzm5pk/9YT8oNmBl91rIy1QGxxj6bjJ5cqonWC4Xzl4ySvl+Cg7/jtPYpglXuPn 7QUDz13pLCGOipmO3kiv/BkRz9cSI7bW5GZ/6ymnQAkBLDtRpfb2ww8quM9of+qJMsRrKvxTojU5 0geJiZ+HRYblGM43h9fzhs07RsRQ4nx/6mkKNYyFlMqELlc/VH3cVVyk5yN3bJ9foGfb19hsr55D t995+qY0LUWyF87fGgZhyNeDqAZY/3+e/ltPnLRhh0gHs3nVn1LaSlgxOiGbsF6Tf3K2aiSYN8Ce vPsAejIGCzKMSLlgP1MlsL2gtYNCCdgiNHimxn8s5RhL0HTLwQr5OjCD2btscvkxHixmnYHzUM9t 1FqVGX3UqC8m+M3u+KTPn97ciZOdH3Jaq7VDxypLjIfBSMsISiFWBRlfzoLO+qSQtFUJfdo55ydm BxtoXYF3b930/f3D7u2C8ORUB6Cnx03KRqG+xy4b786FHxZkT1SmKXwVbJtqCSlHvmNkQIfCNPt3 NLvvWYGMZ+gvZ59rylxDIk+mq9s5i7SytgqUvOvnJL+RFIPgaunPE23yvEtYV88FOZ6PmzHsws/Q t03NtDAFzr8PSXuOGvoORIdL9wPmAXrCLDEISb8UQCVDAUWi/NkVtgd5f/ahiLklb+9nhaGDbn5l S3yjo3ZM4U7NGH77xFcQQE/Sq/6untJbyJOM2pTB3EK9gJ5skz9mYEkAhXHOzXSzeGJKGbrvjGeK Gseoi3rol5wRyvwy3/Ucfkc+jYoC1O+9caYEqLSbWYyHGN/tGSjCoAlz6dp/7TTV0rOGpvsAU8IV vlXodp/qPSNP9/zuVTIyhAPJicP88W0Zy47/fMK6/KNDkt8iDI3fzmASdSPDAkSfCNwRF3S2wXh0 y3d7oJ8KzurU2v88/caTRoRU6sOOGqf4JQZ+F3kDKpxd0VwLeiJNowYBbYQbjufvPGmFzzMV+DT8 RELpLVMRC3/wywqtnyJ2LpF0nYgeqYY0+FtPM0gMl2mpYYiLHv5RJs4rv7wPAvTUjHr0LIHiqon9 QU0yFSxN91MLctXibenZl2Ttq5OaBeS/9XTQgDOxAiNF3xzj5dBGJjD3p56UHI0LbQPtZ4j4kLqq KG68On/mwS8Bu7ZrevrosazdXP2ppwt1kT0qR5ctoFhGOp+H6fh+8/VeewsGlSlgNO8qpw+tv/M0 lx/8I4by8y2IXE38AwINPGD9/3n6bz39nBxrlDUv2GZuBfFqr/LpVg+EVoYF6kSGkmRslFI/BAf0 RG4jJG6SxFzTZXK2EYkmoTA0ptQPv5qeC8U5mIm8t8wrzvZpS50ae5IAHX6tAEUnpVv/BMhUgj+J oDfeSbBJvzyAtFsxG6FjFXryOL/nbsLK/4KtL6FlwZOW0anbjXELVQ9XlF/0aYmB105xyyttcNsk Wel4x7AVYmLFFt/OfuIK0NPdAVQXvMNJcgFLWNj8knY8a2gP0hv9+n5p1uXQ9Wv1M9OFMmhr3eq3 ultxE5OVHZ035Yc1cDnVKVI/4OIRkY9N2c1MAQ69/KsneRpnVouy0gPry8px5GXZXOk13aKbr7zP /njHNRVqrvNDGYZTedC4gHmAnsSPf2QUcTLuatCEV2x1UKwere+0jpOPfv3MJg+M8sNmal9gRhwK pS95XXr564YnvhOgJ9uthIaeIayH5Td21J7zyx5ATyWf4R1xyqNIzindc1wdsW+mcs/eq8bG5zrY S5mHnLA0AT3RvbdT8mdFlCSL21zfWwae+ThruC5KfuupKfnaC1SxIrQO/+W6E9X2KOkIRYWfRK1/ a5B3j4RVRfjIJgBuMlGJbw9KCPKAspOtRENmYEThlMUrhPYHF93uhyWKZCQCDX6D8EegKPzBB4fi lSfjd9Ruy3+e/rcn1PWBmydg3s7lunf3DYcrBcYswnOXiIK5h7S18tZXK9jfeXpAMYO/sdSpncY/ L71mlzclMC3WvBIljUnaPX+JIOBR+VtPM8s88gREwIcModKwY5nHL7/zpJF4m6D70FLNC++5ZlO1 6JPhlzhkTeHkFXp19JnJdMj3bz1dskV4jOvMVsBFUzq5X8sw/amnTVbCXZzGuUyZ2OIODTXvJj4U fRCmNBR7XXEYlDuOYLA/9fQdE2TIoqU87XISGd6LXSbSlrbsoGd0fVLmwGEm7mvb9e88Ac8Ucjtz JE5PllgRfxkWQgCs/z9P/62nLJfAiHu8Mvg8W2j3hlzLfrwOXM89NnUlsvI4FdEd/VNAT6Mrbf1t 0fyYFdKm+ytEILPJLV8YCHou2GIsY9gg+39gscqdxtdRmpF/QC+7Ehw5RrY8qLxPa8qAr1HsKnTz ZyXOhDa7Uk4wbFz//Mi9Q7joqZDtQgcXfhfaZYT8jh+5iWbcqI0YwgOdqZ3UdiGPvcZO9lgVO4/U hX+dYMR8p1fNBuhJ4ky27oumIHhxO8LcdxWpvZeTMMsbRG8c6OsffU6ez1oFqXTJrp/dht5aygWp XpaiR7cKFb+zbN1+1lR5C4il8P1RcCy8ktnh8I6CnMuC4oWL7Bu1w+d4UWcQ8Kr1nez2Fejsq3DS VVAxOn7UZrgRJR5gHqAn35BP09HDZUSEE1uSCO7EC+mQvKtTdDJCBl138nwfP6zM1oQpKwuSyqGX gueFrdyXAnoanx7wE4HzkKgH0zbtXtNM/GU9wcZ4mEu2dDPsBu08kqKAGfAzmTcI9qO06mPYMfs4 dF1AT+/0JnHEfJ8tmz7ffhuV7HJ6Msn/1MMzxd2bRhuVzJI3hWHjN5tuy+uLE9nYJhsygW7yxl3e lwnvOl2nTuXknI8BfyWIXSi82JZrnEldgakrSkc53msmt7QUXtB3GypTFyX4KAHJ/mF0xIGaSlV5 o5Lgn6f/7anjBwWDyUy9LV9HTEDIYsgV/5aLpwaT/NGKQHLeC57yyO889bQxv3Nho6jgO8c0hcA0 gm+NHo70U6mc4G7GS9BmEJr/raf07/X8imEZaVCwYq4EK7Kqv/PE8HojmoYNctpCzrfy1uHtRX91 nFFuyO/HY1TxEIxSMvu3niz1jhxMdCgaOH2bFkOkIVr/1JM7PnkTI6F+Iv2YgEFuKqHSN38vGt2t QS0x5O0SxjUz6z/1tOsb52vxnE5Cgrh2v1ju/tzYS/doNYosSekoO/5wAaP4O09UCRSleff4Zrls DPUt5sbpgPX/5+m/9dSyTosHKsKhYV32ZCyUmTu2MV7h9SDJ/Hmg/KjxjkyrG9ATpq7UE4sCxnh8 AT23qbjUPMk22PgzlFTiLtwrz8czsCf712R8IfVbAvy5yA3U6s6xee6Eos97Rzt5HJ8wvvF4zWPt AS0gnu/GtpaAyhgp2SxSnnNEV8+YMyZHVR7wB+6XLdgVEvGdX8xBUPomerTuUe9gCyNVHrmmCMP6 c4ejSJ8BenLgSsdMF/Yap6d8dnEnwNI/QsF4/+oFkr8nW/KalidoVrYqUr3wo18mJpFmFPR7ppGH fgPEaiQ7kwfcUAxqS7c7X4INI7BIaiQc0uS7nNOaBuIRwcADa8N4uq6mgbTSxyLZwbauq08/2ORr tg6xCXiBAfMAPbkVNNT0Hqmvw1Q2rMz2ypLRcXJ+IMOVSJE4uNawuPDzNAg+0DIVTbLtVsgEzWwL 9gP09O2ydbNVZs7CwIIozfQTGsIvzwuIYIVMwKPtYeDddtxUT2vzyHmzdnIN5Jz4auP6L5tBAD3t 2mlRIfe8ErMxc4pma688nLSqtCDy00KPx0aDrTmo55uZybRzyrvA9usGYWPxa+7j3Dt2m/3I3/WF Jj2VizQwyoW/kl7CSXO+xeryVJYjbHTLcoi6MqSv0zWAupRHul69JMLM6yLuQEqDkYwxlVWu+Ofp f3v6UfO+jAL8OWzdtjl57qddSha4YxG5RW4RhiIElHxGAPfvPIG+Cwx1ICqdTcqIFb+NBAsfk2c4 JwVBxZlW8gBpoXxV/1NPnzGAI5tiTm8Sij3r10sg5MT3KCNFThBPWwpwSJtGd09+5ykZS22sq3Y3 iuZm1ORnvljPkZFwkhlba2QcNsdPhvHDw7/19CUhAR1mok8napyk0QkpUepPPTXKw/mShzhzQ1iB 6XBhNOFkbaf0aLoqUGLXSPGmQbdA/aknY4JTBMwQ0dphUSFdqfwSu+hEZwulZsmA3fDCfPyAgo3f ecrkkBnMsXhxVMpRCW3HQNYCrP8/T/+tpw9+hEH+a1aENPDTsZLX76vKLf5IVB69VCyrPgFmfLVk gJ6q7APL4y7VJaWGPxuEo7VwMG+yuDOWiGJ1xszWLHWmhWhKvNO1Oc8sI1GcQ5ypaISDa/tJalJE j1jis5X5klCujrjKFFhWx4pldgZIIN1GkxiTRNq+W/Z7UfMt5g8/EvhYgvwY5fEjig3n7B/QWfHz EA6gA5fN/6YuW83+82d+uwOgJzVspl6tOA/Kes2apJ4w+cCi3Q4z+mGlT2R7qe3CFyeMZJvaGklw O0bpowT8bOTNFjJA3RXNIt+D6ckionjpE7oGiaq+GpCLXxzZjR1X62WygXIggTq0od949G2pc6C9 rfgRHIiL2ENiCxN8hxx54zwGzAP09GgNv8NSw5dODZav52812kf4Bh5TFNWsE8LZGchtn74ZYlj+ IzZrBrHlIG/NvFANHdBTK/CbIoTIUtvHqVSRsAhCfEBP44JcvjW8coNhJLcFtLkkTrjE8GOrLiL7 LlFL55xR3355f1dGcLLbYQvCXEpmCB6smlO9saPxNeq6xRoVdZ+qG+IHF0YblL6jMRlIR4fHTQ59 VMaeniUBjUf2Zb1uCuTTQblPyI07tLH5YHyv/wLege/UNLvrKXIsQqYDPHmBSwzqQJsGcCiq9Dgx aMprXmb10iP8P0//25Po3KUqqx2n46Bk4kh8lUjOaJ49XqXBgs6BNOj3g54Y2t95GvnkWuA0Tly3 ZvRVONg+oJRdThkbosgdNHXNbsy9+mrhbz299WLZkqHoAO/eB2nxb50B/85TVpHTBy5EofOsZx5S BWC5oCVHS/qgRTmubZWgARYxN/a/9TRR4i72zmSMt2Az8VGKomPmTz3lMpKfcYesXiN8akyXffU9 Dofw4KUSI9/f7/eAem7LNP5TT735IFufQj+2o+KDaFetJCiUYBtmp/dH3/N/gZhEuMRV/Z2nQf93 IbeR91RRUPTpBjW3d8D6//P033pStnpf6Bq724E6/94FPtglQqEPvo+PbzvprTgZL+FmlAnoCV9Q m8YVrYbE8qbzqVpIxvmu81bzfi0XO/Daj5kLHEM1YyUM9ZkJBSQgc4+UUIRXeUNfbF7z1VxAwM7Z hTn8uG8vKAvBOWRjvrSzl5ObMifcRhYR2lS3T+kSSOFBsPuI39n+QqKVZPL7dMOmn9+HmoeW9fi5 9tZE7RxZ1e3J9m5uQE/8W5LIbw4mgkAkc7wIwdm863AOYRW99cFflPNN/INkYUrD+/0d3zz2p2BJ 4IVZtoPf3JGFXOyCw88g0kT7cwXn5Y2zTCjDG3EssL+qfHZdyaBY66sWXhTN2c9sZBbKcW/+lOjR QNj3qNF7f0uca6epA5gH6OlqGG/KhSrozRgdWs6QXjxw8COG7/u+fg+sqsANi/8YPdwNAlEOoy78 Tcm1ZENO0vwv++3UwtCYo2U9lZautFd/8oIA9DQo48X/zYbvcnzj3V8gKCnD6TP3D8eTq+WMftir xi6wXzz5B63CMbyizsxe20++uTa8bNfFGbyBfYJIqHOTh70fFHhwfsZMKBgtSlbX1kepyYnnaVHF 75N6hq1Kx82ojLtPGwxMuPZ+td/muVMU2nluNdYp4fahoXcKtLvx9pnVHSnywuqE0WLYS/UfxMSp 9W8k/Ofpf3sSJBjlkuEPE0peIiyswukX55L0WzuYaKgkSGUAn2Z0I/6dJy/Dt81MY2cNa2wv5dpH JQtKi03cdFe8pEK2fQlvNirPv/XkT3LlaESAJfqAmlsTb5pp/TtP62jYAZ/iQ3GsmyMj1WrwU894 JyFRuk7FX6LjmBX773H/1lMB64YvUy0Xsk/wkujtKDjIn3oyZCimUmjz898cU0xZZoesADt4eHlk 5mkiX9Caib/++PinnuqzbFA6LakTHC0QMr5rX2CE+6TYyNdLtiGY6YL6p61G/s5TJAtaC/KHknWr GdacE/pvq4D1/+fpv/U0x9bWlVAE7HaYM+FB1VUUj59J9wprHqkmpWdMUrduagLoKYqdBaM1dvDJ YVQ/jvQTLow5FQMSR3rR7PEWlkGgGdLsdby0mCWeXIcr8cIZ6Dmhb8eyZ8IMwQSYkC126X1nvmF3 tRnnicJAR6+YKk+Nt7A4yU+aquJB8ZUJyw+PYOKwLsVXTyzY3kVCvqhRVbr+7TNEKit753A7HjdK VCNp0fiOgJ7mOD+20VgUbnphtvG3tPife7/Ys4KhKajitkrWuTVA67jOtrZ38FtyWKZufAuxLAhe 1rpY1y1Aw7ICwjlgykh7lebUMHejhvCI7QpIgEEuctJw0uZXBAHahOnQUn/x1KYJu4ungvkWiZOm bzYH5EfGA5gH6Ak1Wt24eiE424R470uJU+lEWbj8vhuVuEv9qMmZoD82xKqOXbaulp+Ewrp0zgpK OgWgpwSuTWWaeLHWyjfF+MbQK39AT+peqXFK6FtRQHjVdTugupOK21Z6KrgFx4fzxXlIs99mAT09 q3I2Psrg96mO8kB0E8ecRkNlEoZPVYxg8adudiZ2HHkzRazxafIFRHpisXSNtMuO8e6xdwzGTcQd 04t0EOXInqSg+9KRyLxoSln0drQxH5yUCcH5haj8eOONXAkpM+Cp6rkgZWNp+D40zd1D7yyR+c/T //aEaKvONZDlLqEoLnMXqJ31sKwTB+ch/r1GlTr+GrWaG/d3ngqUVNrxYFa2UvEYGfqRTeontszq wvyu5tjgcTVLrnvX/taTXpVmKEu7NnSPf57QygYJ1e88QYBE8SpulA3fIHtGSVBI215fqMv3d7QB S8l4yDwxkUn8rSf7JXZI1RUcUSEU63j5bT3EP/XUpT/WCRGWuNvvvXPkQKq30stsSrk9jTQdqyrF UNum+PSnnkTVvx9LKeWS94pyDOPRcwJf6J2//5yo0JQrqFWHZoLH/p0npv1LxsJ9AwZwInFmV5n4 CsD6//P033oa9a4759cSFXfF8Wo7V59Nv2/pDNEhODSl1rZ3K1oPmwD0JEOx6vkClPmeWk4YFYHS 2IRmRRLLoTfrWMgvXk/1cnmXiFLDb9/mBmEVmK9zC2MMLT5phMsqWBe9XK/OB9w6SPdJ5VOXfv78 EBKEazhM7kQ4q4vRmRfswjpQqBh1aTWdTk0ADAYso68CYkP6ykYqS5THC6k+0JasCI7j1eGNLVUx oKdn6YXpFQexfHBD5jsbXDtqjUYzWaqKb8OcbzvwxBfk33weGYC7GwQQOetGWS+8mXwulYG22L4u YTbhvyClM1c/VGGhuwgqE66gKFVVp9pWIWEiGSjTwX9rwvr2MqYTVwtjfgfT0HNRd5a+rVn2CLYk DJgH6Cna11c3s/jIOm31vh+Cvbrkg2eFeM0RWs2BtyGklmt/qZSyHQPlz3G9t5B9Nfvg3nxAT+63 qqyfV8Qvn51FJjIIDcABPRW/jT9dfvnCDvl4jenuo7+I9vWnVv0kLZ3M+zp5g6P1T0BPoDLhqx+M HGkYYubtnGQPjSoFd0DESraXsg/XDvkxMnfk6SLs187nIJOQ1qrOnij6qxSdbt8pPhijQsF5Aw1O AFkLjEF/yhpPm4Huh3Kxf1Bn81gVyzm0EwuPYMov5O5Ff61yyDcAK5WOuSxQ4Iqz/ufpN54O2anU Ti/cnGr68zBek0D5I+JfULHFT2D6++nwGmaouQA9od08q0wJ7bcXhxtvGKtIhZDukQRR8tvAdmy4 RsBRSeBOIFom5qGvgzN8UR1VhRr/5fppSdEaqOn6xqGi9UpJzZ04EtBTv08G17L8odWkMkSNTZDL 0ZmzeLmxhwWNth+q6A+FdVlAT5JFDfITzFjdgxQK1tYQ8wnaCJpZPHGJJSpBoLAooCaVliiym46Y sFRbytIemC/fqJ4rpjJz4v2yPW2jjS6TOh2Cimctnx22ppy7Ik94Nca72juC+bR70q4dpujtVSjP MHDYP4aLrbFvuqgdGhulsSMNrtg3ph6SNH+vMM+aYBGULXznW48nLpX4LitLONTVDQ/oyfvRYby9 C0M6eYdtsoQSZGfEnRAcekP/q1n70Wy9gINB4tiY9ZQ0izf8RjszGJfrNIFkPVktU3eIZG8QtbC4 akn/rBR5FN7MsC9q9IN5fFZLVOdtmuOh04TEzESLUcaszLQ54qblzUImacHyE/dk2mm6E8v3bKIS g1WTtqHBEJZQQE/6I2E5oazOH1UGDBtjfKtUlj15Ursz0AgneeQcai3YDQHrv89h4+Zpd7od90zM BImNAq8/kh22j55KzbrdJXwwm1sVwjWDBiZV55x2EUtUCzN788/Tn3nyMpWeRnu2h9vahuQw4sC3 /VNPPzJIpZZeLzGbA+B3CbwGv9K8fE3eQTfONQzx9TW26R38U0+3dIHeNsjDXM+W4tbRNwWKyXCu Q1HjPKot86C1ctso5b/z1DD5sRLYMGIuDh7yU+EUkkVmDueLohKPUE6FKKQ+sfzKn3pyLCtjw8YJ WFZRCIBo/1qrDpj3O08pUr5iLDxhDKlFvEtuuv4iTE5WEs2Dqc9Vw2KvegFWv6yv8jtPew7f7Su+ 2wVgaSIZIjCnC5wrROnNb7B31m6edTLQoRP+ztN0Yzfbyxz1HEfFimNo4pQ3AQFthzmZLARi+YJ7 M5eF+j9P/zz98/TP0z9P///0NGQzBePLjx1DY7N4hSughNPs/URjgvmeKGIYZGZvC74K6ClIKE+Q by1AxbNFIY8QYTuG+OPTzsUJHQSWTbSZ9K5Yo+W2j2hEU5Spjmn0DGxKcQqgJ1HKIUiO609q2wvB ZGScyna/rE9XOC+mqxzq/nx403HqKVhFoUKYhU3Bl9n03fv7KbtYOKAn8i7IrDasqTcWN/oWMnCc GMMvVqbj5b6V/Ii+mRZqa90RCnu4vZ/yaPmDBSM2x4ZOwAgajkdCVtQqUvDaDsfF/CZk3jtHFZtG YC9fHAWFgx1hgMKY1GqB6k6ianhiJwtL1NweGGb20Dix1Ahe400Fqz8uOnuFxNh+EpKVYbWEA/4o uwb1NM4WW1GI4V29yEhF+st6sXTBbZbVPHz6mPk67QJYAhQ/DMi0b2nWB5jIeuv2KTxmCIY234xu naDZv4xsZcPdPMHLyRnqbO6+wuX/7E0KW2rworRSTBTv3A6lyZdKI4we2V3pIxXQfR/kJj7tvBc5 8qxzbD5tFXbu4EQUnSBc3h9yR2mkx5nJtpIHU0y6TysE9KTe2G1BwwJRWvp0dMQbyf82lcVAcKDy siss6YIEl92fBVj/2S8Zkp4FAkfTy+xXjZW9QjrerotLiSNFXqJJMaF2mhLgUds1SfQfMx5TIpI5 vrkY/fP0z9P/SU+t1FI95pFP495OdmMqLW0xqF/kF6WzczUpgQz1Tq0CfhnvAD1572XTNbN2I9AA lRP0GAB1oIWQ+yDYrvmk8DQRpcrK/DLf/E89XWNqu8tlBXRhIiHRTseoovzOk4jH+BVXpOWGjhPy gPmzvcp1ALJBy1ye4Gq2os72WO/b33raV4rZKYyUrbVGu2B/o75F/1NPNkSoEdg4EwInRjofM9E5 kGRYiQ2dTvDgdXrWRUeyvpb/qadnyOTvP0RRhYHI7nZL55gORftDtBolcfS1cFVpLkwGvv/OU7Sj LxSYWap8rjPtjIMHEThg/f95+m89UVweD45pSCjGLnGDaKWqDXPajarkxC9CV3KjSxu3StwDenqG Mil6h/VxjlJWEl20Ud5pWMGJJ4bcq9OsGX9PXAtjCbie7arqWuF+2bKOM2W8zUgvRE7Ik6tP9GR7 2dKZ8kQWUZgCSq7OpIZ5bz4G5Y7DPNPQX6X9dgvnEYCBsUaPsjdzVBTjhW3pLF0hPg1091wFjXuH QywdW3VjOhAlBj/4y/u7dKmFcw+UGZXKG3cLZGDIMGHl0ZxvY0BODQUjT4PzHvF99cvmsIeh/OzK 0Kc+VyA4HWeQSBZzOgYc2+rxHGPk2WB3u1VNInh7xHwwlbznWggK5SUurOLtm063UILbsbb7H5k6 wC6B95td2eFJrq0bywDzAD1RkkjNtWUQcjBbGZJuiSI3jADviBuvGpM24stWb0+JT3m52qeBfbTa MJ6RV9JiLf9lPy7czHyLwnIZkpcYx1NEbrYkQE8X6a6mXRrJteLCjpo+YXuHXN6a7/RyMUYMrpPv +c5Xv6xPp4SNWddMo+w5BlERJPaVIF7RS1dDrn+WZIvG6JLQDj1PqhO7VVl0BVUHmzcCl/GaHbMS T5cwiI1yXqDyE8PTrTYu5NjHRdTE82Vp75+b0GGjzhjgcDuU7m+P6TUTaLV4bl5srhhx7t+xFi7R N8ppiDb/efrfnvCQMeZx0Z3bERbhlOJmomgtSIuTjSkZdZY7XEW1Z+BTf+cpmoGwLL1pdROq2k8T kRWRPWq7P680ucOdGf4R2P8q5v5vPa0jCq2E2JiHGNzouAx++qnxO080ynU8XHYISnM1BRa46yyw 2l9yYq2FpIYCzb4USVhhB/6tJ4VBoTwsDAktr715kGqF+dY/9SSZ6IbJizPTwAe8rzgoz0zXEiJ5 q+pAY3FryJTS3YBp8qee4GbzxPqnqt/V3EoXPljkAl+c0iYajlOlH5+yL9q36+b9zpNiAoGq7qdi hAuJKytMSOVUwPr/8/TfeiIXgy5Y2Y1NcQqMpqsJbHyuJLPoS2dcsIxZuL+VkC2VBvRkIhK0YQzt iyC/DkI8aAkiswIlXgOpFRBM8bDFLdc8VlygitvuGpJgvVfkp2cWCOlzrTy2CRNWhgWnRKrf5JHz 3MDZof40z6c9fwKsLZaBro4XykCALMa0nqeoUtRKF6VnqoYzoERLCJWmpT4ETuRKWAI+qlXaJf1D vj/76y0uAQagJxoQ/7gY2OiScxeNF+DuTwzxeoLqYQLgtYk9T4JPd4kHlUaweJcjeNKa9ZhNKVCl J8YP5EH1UG5jUfjd6leyYb26RIXGSY/gx36Z+FyTKDjAdS7hNcE4BuzzxKOCqMT6oP4HKUPZeMIF mslMGfbTLo6AeYCelIaM3XuEb7NCyTiaVf2TPtvTqi0HyInKIp/GiKYiDnBQ/j/s3WVTXkvULmog uLu7u0Nwd3fX4B5cg7s7BHd3AsHd3d3dIbgFzv56nlW1U6n1rr1P1eEP3DzV4+rRXZPZPS1WgmYc ghf7Anmih6tZuQA9hfQhJWuLqVU0MpuAKQ1icwF66qr/0XCvAxHiT3KSYLc6pvdG24ssp53n3SSw A2/vkJoO6KkM6v5UnTbOBYXjWwacze45tB7JjyHGRdgxa3x1/W/Ix5mUFxkxTB5SNvJuv5rXvexy LYWbLsEgZohwJ+3bHiIPOCUbDOKFiA4USx/VRy1gvbVFifxcjLG0lVYSlR2j2A2QUK3HO09mpKH6 V7dfYPkmPjx9ePrw9OHp/6uefJdHG/mZEaJ3Yk4r0Fwx1v7WU0ArmuNGbugjNFenweDisXii+w+v hDtMXUmwruy0omjwv/W0iNL/sLxZ2IlFnD5FDPKji3/oLZtx5bkAYfsY3oLoLehPnrKvIETuaOGw d+V929Trr/zjaqGPFMAPsaRF3A9kop4a/9bTpucAL6WR2Dn5/mdDY1dcK8C8P3kKrac7GR8d0XdL 7JnuOclg543/AnpAkoC2pzSHx5qIsvRvPdnQeUz0eR2hoSeh/Izmba74kyd2S+pCfLdDsI2KT3KF hXZEQ2Y6slwHSIH0Ph3zMym4LB+e/ltPMEZj+Wf1lsq31oxCZElgpGjj8rIdLt7rC0yMrF70l4GA ngbGrn+Oym2dfnJZS5MapprABdknKF3ppWZYPF7vW3kOud1IaupywEYG1vXhu0u0/sf5Oxh4NQk0 sRQDFaFgJTD1IxNAT6t0LDbJUuONiSsbqgw1InDENRCvmjQTnvOsyXvja3xcgJ6ePqFaebMzT3Jb gdVqsvyyfA2IrgirXUkpHpg59U1NlJlyqOl8nxRkNoSCGD6y/QrH0HYUGSDUn0w8tL5Ayoim78LK 2i8+TnVMuThapMGwC5oFlMk2dlL0sCQu3oW+FeVBVvTkCd/EdScz1gzShRc0QUyBg1hWVM6VSdKZ kMyJXfgW/gojECUtGBfc+4uggV0D0JM6268a1nlXmpwtwex4KpJcsEXhzDtzpTcpaij83oSxu4iJ WWzzQHtbbsOrr61x+B7Ip0jqS8q5R0x7VH7LXR7Bh+qw43hIS4vJF+VCQxzYnbwwy3W2WdZLwYTP GIubcSCB69MV9Xaoolg7kDByONQooyIy241MakqP8Gp2CyMO6YCefiTozN/j2qPBqlcF1SvyYUHk GCqRg2gJdAldBMzfXYsC1n9b2At9cTyRPzaZ1MvMq338K9nuN9HqQhYrCq2oe9R53Qy6zwlAg5sv q1+mk5TnEwQ+PP2dp8/aCnACIOjl4r82pAKFt9z+1pNSU/SQtyh20+bFWgrZMBMHm4/8lfnn5Hua jQR1SA7b/b/15OFtZiybxu3/OUUyQdE0QFBlr1NywMfRJwjxB0yswDvenzwx/jjCX67t/4W8n/N+ NHZOHnEsg/CDADNybXQadSoqo/xvPSFWENIH7MvTuGc8XyA9yMwB5v3JU9vNL3UKuu/5wDqHPkG9 EzxOS57mG6Cr+Pqvgn5dlwWhf+vJ0JidkpHpxUWr+YdzY0g7R+CzWw6+SiFlf/7IJGMDBMGfPCWK +aQFZCSjbOLbymVCE66CD7UoD/U3UcCSJasJjtryf3j6bz11pu7I5+hJ4K8V09xsngogSOxY1xGm 9iFEZ+fZg14JWwF6Wiju6NE+h6YQolmuX8UrNa6FYvHsC9fGWjQsGuNvH4HoAxI30NvmKXvhmHeg Hy+5AvSEMd7LSqG+ioQSVemkIFJhAOiJ7D3ecchdLgd/9wAmAeUe1AwmO6G7szEvY4rxlowxZQbQ 03oOkUcif3DoAqfbp9Ri1cbwHJjW70sYVP4nzKmZ1mJiDTp0s+acXXKb6/R858KgiBgKSs7p0g2B rpjshiK/DaY8uVXOvSztTWaymPYhFghH+hfA68AISsum85top6YKNUV024EcvYSerbXYMxCVyQ6f p07pZvw5LItYwmWT11291YmyG/CqGfb88AfxD7LR//G9+/su8nd6panRmiBK9oUh0yadtRQStTR0 CPKgx/iyje73g506sW6Zrp40PvNPi5uDTw2/EeMQrkRJ6BXtwpO7pnM8dYmgUvCAe3H4hOW/2J6e W43PzHnSpB72WrypSHYb9ncoFWp9ayF0wPTXSZcDilCg8AbX4HNQwZFiCbrmsypVB/RUMTfsox3V fAM/JnJWhPlJhn6O1DCgkKkX9C3AKGs32Ayw/qWSTXYaocyymLf44j6G87tLSzNhnGhDy/lKEBd0 usU1+4u/FT439B0o4HNUyoqneX94+jtP6koW9nonun2KEcVBqsf8Gn/r6Vs2tQtr1ajIC68/BQMR GcHUNEpLMUxkPFQ0OonRYRvY33qKMI2wxrvCq3pTPEQJOx8d8Z7Y9SMrN70K9+1gD4CKJf6Tp/LS Bjn5Vm5/wrZo416WkNCo1I3z3thMQYa5zZoYtqSIv/WkbBsE6uex72aPu7iAGmWzC5j3J0/vdDYl j1dVzLvlfDWkwqXBwocSxXDzBauWxM4+R1W0PP/WE6bv6c7FOb2pIg9YikTTbtufPA3Ne9Kyi6GK JTw4bQza7fso/6CWzLnbCygdVI8yet/N/PD033q68EUEgcjyH7RETVnNr+/ySor2sZmpxiNC0AaS QEhpHAT01E4pf1N2hM0AJa9fyvmSO5PqaiJgHm92GW2TQQsamIoLA2EgzRWggOGFpzSd27mOCOgJ W8xH20qgpNl7VKZrt2qMFdCTUM9djAmhE/eywgOoHSfoi+KXS+B7Zmvct7MizRI/9q5/npdCcEzm jmHseL1FMFLHTnLep/Efx8LyOKvouZk/Oik0kETyuRM9BZHkqjTIR+OC8aV2aXyooJHt7Zz7gXML tyWbmsMIq+mhZ9tDpa2OBHqd/1meCmo68pRJhuAocACEJ+NaBH6oPFU37bMfm3+KbbjLLAbFVNKR hQ/kI6emSqgrK7F/ZNDsfr/CICJBXNsBO6AnFcSwxF3qBuzOMqBtFNbw+0kIQ0rRC57C6rTf4Dc5 DwG8Zq+v9UwUpPDdYdXYRCo3zN/bdYLkAsU3OtDAwBbg3rmoRw3sjVdVJ/Rr+AXMQA7AhWpRL2Bb mqOFocIJ8WV7Eg5d42/TKU6oBJ014Ba/NpHmyIH78V1G9+xJneVTqgwCehq+lJ+jHEKRUQ5B27+9 nW9yLtak5yoREb6OtJDV6vpGDFj/KJBDIpFfdi6qk2ZFd+zSftzT12jmPcvlugiKqn7CP9qgTnjB kMgJGE85sCr1u1E3Pjz9nSf284OkredEilLf74GILaEVf+upZHvX0sUXESnfaLMRSedBvcRBbXEr VbG0efcCZaCAIuhvPc3b6M+VtSiEtC6gX0Q1qjnK8ySbbzI0ztLacX3zUvEr+JOn8FAbPX3Cm10k u093tMgoBYFgN1WgrIo+WPtGOWy0yOR/60nAkDYLEej1ZwfLe6AJbKEUYN6fPIW1nfvBtP8+6taz 0TXAKM9kiXoM4cJfUrtehIA6F10e/beerlBKes6C8ZFHNhee7JriDv/kSRrpuUfvC8Tv2T5bxHpM eliBFH0vjY73koKcXOca+F7GD0//radzu+0oJxY+lFPCNY7dhm7P4uRvbblIA/lhSfYB6to5yICe RHMI7CtSv+/pl8YX20izolHWUtOfRkqa0XSz69wTJnsAvX6JHO+KNtyYQaUdK0TcAPQ0acVxeMTq 3HjXeNFu1u65AOip8SUSffX562wHLPvcUHdlWEW+rASBmiqcMcuS2Ofct++Anjxxj23zCRLmL7/Y ZDS8FRLyyXV3oW/2KzYLdsD7wppELulvo7DPBhmI+qoDB34nHy2oNEnYD5TiSOE4r0tn41Fv03k8 oeNflIrVkSZzYN5zETs1Tj7Onkp+2svINcQQQYYcneuMoVNZ937UhvWxtB2Y67513wo/d4k3mUH5 pY/RENItzVCy1NiljQRvLyEPcQboySHNmjkuwF3c6vq3qwBrop7VJzQJeVvRCdYCeZ6wsrFvsfU8 J9gIJr/2lDtp3SeaxQ3HTA0qFfaHodjSRX7VPlX5QkBSGZLAUWyVtnNWGYLL51d66mIu5yhqaERS Vjy7LFKBVNkUZqPNS8MEn1tE4qFYXC8yxbI30L4Wn5+wo6/6/OP5+G8qysEuiOayEj+ydlJpzN8g sT0dgXeO4QJl3ls2neqA9XdWI3SZhfZZigczNa6DOJExLdbGugEpd2kRxBs3I56QeW7QRkCCkoFH cIxApc5befjw9OHpf9JTBOUqV3a58gX45ieQswS8inAMecJrRMbzQtjEGEa+RZs/earNNp6pssEW CxwrSn4REQEr6wSn3wtzBEHSPD+AONBK+reesqIa2WS5v1zRYC4tfmO4ZvmTJ0OStwYHPxPeWUmF Fycaoa5YlB/k3jcQp/fc3VOduti5/9YTmSrZ5tr6PuqSyPVXx5hIgb/11Hqd+EhjImkKg5leEl9m KJvrJP9ozDtnuYU8YQ3zufj2bz2FLEEcshJZ5ZKYiuqRqv0ST1wBbeEnqC2O6ffWPcTc2/iTJ+5N BwelDkkv+lJg0kmTXXDA+n94+neeeLvDtH6PU3JlIlWdlsH4/WRoA99QDsOU9B8X9dr2v7zf+4QU XMpoH4YukQhTqy7ffY74kNvXfL/5qliFr5xOcJrpDvUjZlcCaC+z4LDIwac4JdfrdBjN7eGyY7Ue V7NvdiuAc1q+oDcxgXkwe9efJTdRi+woxaeh1goS0+q6wJ0fL8jco/7pWgrifYdqCHt6hSsvQg7m V78fEao9ZJ9JAPadf0YLB9hn8KRqrYYzmksf9Fx9+/WGGcx6fFqBT+6HsCrJ2t8WYBRnRjiCTtS1 hJz179oiDk8i9or5RRNVaPJaNcB+akvuKR+yDdJYyrVxUZfIxrhK56I+Qz29k+ZEJ+2sezbKXsnm WVQUHwZOJVvvs3QBuQ1M8hEKv2E+bIlwwwDmaWf9xKdMLn9IvpHc7W3mcCPVeVvIQWivmFiolPR6 YH3pT02eKOBKQtb81eYPmf0rBUs9UEljwgwtPfc0c2SEXQXQB7UUq2ujdjKmLR/pYTP67AigjwsW 3WZx4l74m2WIPSfK9KaJtQE9+W/LHsd8FD2btaOGgD4eHbzJjO7Px1Y8Qda1iVk2rzcgER34R82g qIXV5QqtGolWgN9/yvredME75l7lnR8vxClWBrhYBc0da1nhZlyQXnvZhtYi070boO5Z3BZqxc3Z 0Uf/TpVMav5ypKAHewVTgZ8Ob/raGn9ZIhhiCo8eLf4lQqxY+U6Gsm3a2PrMPbucIEBi/peVjHF6 x+xPrIp/PE9ygjNJ8XctUCOF7hO1xmhYNfA/11yhGMdaHhqUVMo+OWbn/ayi1JOPD8IFlHM9qAnK +aTDpPP1fu0LjYTUyoz7VI1xnKz042Ibahm0ZmwkRsEx00vj6eWwdr57FU/uGlH5xQQspWBF3kUS wy1bQgeqb5D6vbWNoFfrebp9m/4KvA5gv6HuWLigsgWJKRaqAN3vWPYTWX0fanyt0Sa/iJlZfwGF b00Dyy+ZPdZAl1XexirFpOpUU/Tn9VKWy4E6DP4pnKp454A4HDXOrsDQn5PKt2w+20P25Zknb2UO rhA4tdsyAyuSqeyr2iywrimQYRxrAd0VYB5gv9kkvxMnThtY4s/frotN5PX9XDBVwmXtfrWLkLfx 4CVEQVD/8O1BOf2eUY8rCg87JhbQU02Rl5AHDMN6VKukyrrxMTegJ/hVox74eEjuTKGR5K9zP3c/ PH14+htPNfqqoQSHuiLmT84a4wQDbxV0XRLf2xoj0xjcEb9FKpj9DJvu183IuVq8lQnM94vr2dXG WAamEF9Pvd2H0j8E2a/ecLdXi56W/YwULpV9ssN/lBPuiUB7DxmsiTo9aVnM2Wsfydf8ndt5nSNI mYqHfwb0iS+2bWeGQoaxFQsjzcC3DAYs6bfWTViy0XktK2lMM+DvfV8i558cvMkybi/WyeDmbAP0 70vBxM0294vNyW0DdGCQEEsjA3EJhriU34dBqeQXrtxBfUcTX76To5Pswo6UuVI2cOj9D9f+96GV e6CCxeeg8tpi5AftNxlfd8pFBZ1Gqutw9E1t1aSeCmPoAw4hWBY4esZeFJuAYrQ+mMqVvaZo5vuh cKF3S8ornmj0eku3htXdQZMfXgY/GAv0Mg3b/DmlwnYV5muctgYsEQ/TiWhixQmumK6cXfe4prSS gQ8khYMdcQmzhyqPFETzPYM6jNI40trpqB8C1m5J4l3JJgWL+uOr2PrgVXXNiyN9fJ2Bw6YkAX9f R3iRZulgS+ICZILtCTfUOs9w78W6ALtznetZiRr4Z23yjZUwA5bFDhPrJCRCKyOmGwYYbwJUCxkV 4vVsKbJt0Qh4BLmQE++9+ga5C22tszFrM0iu44ttXWCfLrfwYHnRzOrO2hsI/Pwb/5iFt9LY+O3v IKUSOiseyW2d8sSNGpKiZv2lc5cWy5dm27kDtOgnpZY2hMaCzeZ8nu4+blGcwW35zqB8uVec1tIa I4nDiD1RIeHioTyzGR5m510YDGi35P6L7ioSeh2WVaECQ3Ov3JKniXjUXxt9KFf7/cN2+KJ9bZw0 ipltfBMuA5Mf12xQw0NU7BvWGGqLYqx0FqwNWQDnJy+Hx+vzG/z6ay0QLl1+FWg5w7DzdW9u9TE6 t2+6sH+SRUbhLyKgMRG9zeUnxSMD3e4Hj1tSePHtgur1H09gB0HAap/XGOWT3WH5wUYw9wJdTlVh qw1Qus55dT2EkAjoIS4ap11ZzmG3YleQiaVq0Q0B8wDnpx8E3yo/61dkibCkDXcUEPDvwvDPj6Ag h/ygflsmMWn4j70pJwmM0CQq9rBgFQ3XaoDeP3dWPXrKO4r28XH+MHZAvAf0rp1kE339fkrUH8kO BprMi8wmRCJ9lC6kOhmG4J/ZgvCP/eX+DW67QZAdUjZvYJvxg45B4bI+baj3Ye0Ef+Gu+LF+Pz1U eEiN63sFB+emZF4xJdK/9USV3uwE4s0QGAK/XXJ6H20K6Amw3zcPBPSGD1J+VZs6wZGGVk9qp8Xs kQlE0ZihYRo+fVdFEJNjIDtqwre4xzNl/kap4//h6cPT/01P23Vb5JBW0MjjV/mf+t8Ixhw1+WEu VhloCrRq8PJYUNrPDG0qiVgzItLZCwRxcOF+gOOANj5FjGk0wp7ZsObfMjWYDCB+q7AbQnNvdqil v8V57Q56V1MpYOSkkwBqLcIN964Xh2jDptJmQlTautG8LDt6X67XUY7MTLFFbHw3VBIOgmahl4vA 65UlNbXj3ptjBpHgO0P4cobB8preuBKHbSZGiu9BXkkqZqINjYqHgEy6YR9R7D4rkFzQB0ZBjSAa prDW1Lr0iQOlBS8y+zm5KocnQ6lDKDtgm4EJY+34++H6t7hWPm/dOqKwWF64mGdnGNTsBkYP6lG9 YhhnQ2w+PO5oSAPTV8RQuOa7RuJymvctrKq5Hc0RFmXcik65cbPF5W2DfvVvYOPHeF5MeQtbigyl bTGGq/FhfegWq3dgM0W1jsvIML1rxNDdblTTgu3gtIDeB1+1g9MkkE3yUUzZ4LTsKWdbFO1FmQm7 Ya0Et3UfZ1RU/WE8+IyrTgLZt4/0dFozAb0/DTBYAH/GnMhC3jsRN+6jA/QuWaEt1qhepixcsfwA AjYvi3ivSIyjs8lUCumfFuhT1gro/U7zrR0W0XzMg+PnxffO+0FpOnpkG+a1acgN9vD6k99fQGYC erMGlDeiYWSC5erfPO0Q1SUIcm7xvU4qGzed9fnobTDKI/0tvYmR+jGGMr3UHmhqJzVnhWGapOMe Le+Fmxj7kb7Q3b0zLXlFh34je7+vjYPeYt3vSZyg0xi4rSSjG0kjSG3J0pcBHVJnbdzYMwL0jlc+ V+AUosUgJTl9wqtXDY0gfJgcRE6K0RwiTBAKWw4hyfye3IuxPR4cOZ4r0Ve27BeY7tRKn3//lOkN ftmC09N/MwPPbErWxx4/34V1P9uVRwwOPDrOUy8NFnZZhD3sjz/WlgHXkVDT4tn1g8bxmmfC3hyh 2KppoAkiSrhDnP8f9+O8C7jPO7mscnz6CeXHiq2EJ0/Se55PsAKfYSFySS1CewxY/yxsrac0qn5M qfwey9KyEa1taMUd0DPTaTMLJq64qGoKb1DO37CNhULG16ujGY2dqR+ePjz9G08uxb9B/BHNur0M 0BE0TxWXfkyEy6FstxJpmAYpfW8VjB1+T5CpdpJ20cUnaM7Ugl65+7mKBmQSvWRcKoignHW7TUPT J0TcqZuJ43+sF554c5/4va0utE+iZ+KWSO1XQP3T+1VlkOC4BgZ099G+Lba6W0xFkY8EBqcEH9+Q fCAkrUKLuk1EzZFkUtbIs+70yFvtJ3xKkDYX4p/hlWL86j4b75eYxJzlC+96SA3YkNJWeg8L32uL 17aQW5m8zQ4Oz5NNlXcetE/pRJJXPfQ3ou8FzQDzGL23IP2xU8sKzPtpLBDLZuoEQYc++TetkmM5 bEx3munvNNqq/jxypUWyJQnFrFwJYedInUn4PKZpQ18BZq0mAgToP0j48IE7JS4Ypalo2qqqTA3Q f6wWtNfP2laGddboYh7KAs/foMORxn0L5YRs7cCf6uDmmlyoIaxS6twfXdEcohJs6V77hUOOtlyx 7p7VupY8V3+6QTTvh6/C6VnBNiu10GozwBCRsQ8s70Rx3UW/9RbS3SoYZPYpngeJEBW10dPWAhuY 2D1wuCbYWyRPxhiiRcYsW9Lxq0XTHY35jIsLtglXt51MiYl1JIN1sPJ7Tr/M6V57t2cbczo1BriN t8qDo1tZDPvf8cNmz0t8HTKBA9bQm79KCB4dnomn98zA1PyO4p1luPI6ZXnS5tqgHlasyhVACceC UO1YzyQoO2zDaNZ4X+RfFKvPA6NHsYPloKhPI91Q4lyQddUV7QkEa4ZZ7fFtcs33O6ZHpedXgZdk VY8z59Oxfg2mXtgpyDX60xpIzZmuGTnPuBA2hJkMpRyRpe7s7P+/ewLiKaEiueUTQj5BghTFr9D8 r/++pkX1laP7QwD+CqPwVF7wzP9pr/+nPVZGQnSm/E6sHn3VhHBhfjn8r72pqFZ/kWli0ccBB2aX s4Wn+Kjn/2w9CTO5Pk/z9i1Fokji1dWY+OpCjGFYI+nyhRr5gvJhPUXvoJAy8OZHzWth+rt+kndY BtwPKWWKvSMvKUD06m/SMJctlvSyPDeoJeVg1xVQUmcZWJ7KFR0UpPeMG9+yDfHPNNoS/9v1YEbP /XIf7k3VGbYYFsSBOgxwP+QrEdNfNYBx1Rxg4b0by55iiF4FCqcDPnSmzZNnMDaCF+9c5CKYgN2v NVUKRKZv+Phv14ObjSK8DssTpxcMY2hV7x02wHoB7ofMgyKo3YgH+b81qLq+Jqad0NVFUo0GWHV/ trjkbTFe2yV6tTIswwlPGMktbdm0ogD62/VAHgVYtBfaLb37JAToUVjskCbObkuUuN8/ukifcbrj Mvsfz6Pr9hKqHhIcqkpwQSnJYz8bUFsnc+GIgunlwc7ifsnKA6z/364HH54+PP0bT6i7bqeIFzVI LpeESqsylna3xbvZIN1Y7AiNoNzcDrsamZ6U+dX1CEmg2tYUyxWIXZgS91HLYE2EJ0hpF2S9/Xue JKs7xU5xLIF12V9tQto2EQpsPhuSNw05Ruyd1kSAd3FsIdpo+g6os5tkc1rOicxaCgSm4/nhWsXB dV9c5YCKA/q0y9CZ4+FZJDTRQqkL3choAwGJiGuTA8kTijizKLfdgQysK6iW7HK+u3fHRLV3Iw4B 9HmPA/cy6BAqNHBP9LLdHwwP6FPw/eJ+jHD24ejQjD7IwLZVwamH3UwRy/iYAU2gAjHODtDnIU4q A+LPAGn30MGSH4+qejz1EQ7R2jzgZV3cWvUSAiPHKIPEoSvdyM9zD4aJt1xZwUvzXSa1A80k/pB0 Ge1kUSqYLV4uXO23ECSLaF4PdVp54onKuH14E8KyyFxsKKiMx9tfh89jzh/0fh0uU7ce0lVfDoXs fJ2uHzIg3e5yqNiPyAZycORKBuZQ5WriKZ9eBPSJFP2jnH2fUbW/EokObtXUJS6QvCjpxiiMs6y2 wBItk6zdXnNsIU89u55HrHBSYz5NbIASywojXKMN2r/QeOf5u4UMNUYUm+6lmPyw1pRuPELpy0Ff E5ryqHipM0F8s1SX/zvQIm/HY3/Q6WOgYQbHd/suuEGIOt8xA7ZwYicCmkhAn7FdmuEekDT66rSf IuRX5RQCsakh4ZkiLbWdzAQ/cRTXAtYf+2gEBnGMKUuLxSodwppXxaDonKrDgophq/dIB+9t75zU 0TgK/egRnx4kbsdjsmzuw9P/21Oy6RKW7ySykUvWo1pqlrKupj6CxNzuV06WF+mduXWkvPTCJAuz 2subFYHN/TJ+XyXA//d1BQaC9Yp9DTTKX2xGfQu7GJ6NV0LdlkPUsYJJkKZqWsn/dh7hxqOoNT9Y 2kule/OP74liHxYc79o7r406QqGIlFfoicZTdC82ukUreXQWYCEtx/2uvR+i4bZVbLXYJ6HmCJlE 72sGqxVID1i6aYOx582gBocM/nkdjl0Nr4iTOE1y+ll7PNEQaOl3MhLvlMxQ4BwUH7pmbbEDehVs UtESCfjhmwxVSrhJv5zGU2jxV8K8Mv7QA71vQtJ7QoKGTk706HRdE2s5v8Rsol0+a3BHzOGG0PX2 Cx3BDMtkb1AwMCdomJX/ZpoSZyX+rhrbthw3HUEeNQ4rSv+F4WK9F3iRtf4e5R1IfYLWrm1HikQX sca264wc8H0TfntJhV/owKBp430iPa2SM9XpkZRAeorhSDwxOXAj7Acb++mvoaST6UKHyF7mdL43 gOP16lYy8VqwOWwBKcxI2Axl/5D3C90ufPTnWFwl90ZIOi3geOWOmy3Di2gHFXV07AkZd6EVyEWC +V9Hmj1OuM6i1GyA17l3tiTP2+jyPBkF5f3sXnjmfYnD4GIYtKzz6UFCI50HHC9s8fm9PCsiYn1G tOJFZbSziABu4qNSy59rctfgIoP0jnvQ4dW2HqU6j7qseoTxW22NpDHJdyDSaebQ/edGR2AsNLQY s23tWbNALEVbD/psrP3cuepmzPw0XPZ4K4gJBsVUbPVLR0gcz+ro5bOQKyD8gP0KmK/ZViqd+9it 2GvLQo7osJDFz9yE1XzH1rJqMxtcu8dDn6ztUxz+OT8YKlnvgJeW3t2UR8W7NkVdYPlza1kdKtBO fo2Mdqd3qeXOG8vXijiXHI1sj+g4OjrLlArUxAJlGOv7rcU08xusyo23ZFcu2Ni3ABtlhbPEASFe yNSA0GDAfkUhlbJHa9BWKG5YzhE8vin2yOlTEv0mtXlUTRUCdkjw6zSGsOrkuEnQkCq+jn8y+VnF t4wS2wVh2z7umhtxnXwuxu+lhdsS95Cp/8Jv/SoJoimF5w67aOhJjHHZryYucYCgaUqxi1TkQKP6 olM5miAfMA+wXy3vYZyeqv9g4/25aoEdZZN1sdZG/Chtjph2bdDRLKbKzMAm3/lIRM320OlLwTZV pg/oa7YJpuIuWt0zbOib/UGwIzJgv1q3fwsKxQubpFxJG84zm8boM8+zezVq71bvkWLSZ98OAOxX sD+734v4IUqDu5b8neHUcbe/+Q4NR66UtLQnQZyZbQ15LrIdIDY3Sr5/GYkfqMJXVOCrF/86gs7m VzCXTm7vdJOjkNGASKBBDkHm31bFJkQs59aLzJO7WyYOMYaNC9kg8OHpw9O/8RQIgjncYkGytXMv z3VfUh52ryukDunYeXN+4A3mszYsR+yLFDLg7eJ/zPhkOFJftgL4PCMuM6HOi89OaHOSSMuYW3/p +jactBXXSMAChWG1CvyUOqA54NL9+3dGmhCCxAaZOSHA8UnBnEYAq6qLdOwbiAeWukDHK1cfYA6M 2+RGkCPp+57eBtjPT1RAF8yYR8h0ZQkeZp4jtzJmowgRFm5beFhX4dLc4JHQNe3OL+rsQfIVxZ3b BFPoO4DLAvSX+0cjJfkeeJlOmQH7uZiydRehlWGOVAOo8wA33uvwBCb1IV9x8rJ/9qpeAOIWS2sL pLc1HQwNvGJ5ZGwiD+Ggm2J60+FrLW/fu4e3Mnjn17AFj2OBDmOSrM/2ui7b4Tcic45xe5uwlF9C r1EX3imQtSWMplCNsX0yfhUWkxIC1pPLNxXaMrQAMcXoUJAlBI2kwgDV1N1ulnqsFHWw+6ARJXKC ZZ6lxP4x4g2KB9KDm29T6PniJJFUptVFudTo+5KLTctJ6vra5G4BCBe2SZqU2ZnxLNs3hISqltwi YbbcwSsqvLNuq8dgI3dnlO0EfQRsvKpNg4VaXwbEqNWUvS8H7jzWGpCrhJY08rCbiVUbduiA/eFs +6vdLwRpdB+bAU5x8oxKOLDajqraNV1YcggoXCbPl1mwGCXrnFsqZKAVJc8E4Imc0z4MZMKQ2y9D 5mUjyFhpak8g6XC+r+6tPYlPVhZxIKEKB1xk31xnXtyIdbMHdBmTQPOBON1ODaR42DbZzoU1IIpj zORLbwNFq3i+CcbJOgP2B7f2EbeH1sq1HRLTosbhplleEIGJ1XI1/r3vsrSKyWuxSu1CpDDhIhUW NH6QlYSm2mWJrwzHIQXPtpZOQB7CTckX7NMLj5heGFZ15UO8Ms6JJcBkWkvXj4cVB4+N7wjesS6m CeMTvzmcHkXuxZfRwzQA8wD7gzvLZw6TiobIGWz9OeIOYWk7V7an34RAEq4MNeirxtGg5eL6GcDA CUGht9zMG760/7hPebuwatDTlHqUkXpCftXuCAmwPwzi6plNjHbhvw4bbPYkhJd/ePrfe9IExvhf O0pmWoLwKE0J/Zoowf6mBu1GIJGSh29P8VVT4H/ypI3zi897wP2os5zn9wQY/EESDPhFLHcO1idO Kn8UeY3Gv/V0jmLyPMzKC96QplYywTSRB5j3J0+BT2gqiIy7GQcGsAUpX9tx8hV/5OyTP0L6lY6t 5hofif9bT7nQ2NV0jYc8DIpyTTbXDr5/8uTyOTNifYXa4YW5GyvE347bwWAIWZMC1Df7iVf1eVKi +d96kgSzXkHXW5IKMnizOzEXW/+Tp6378yNwMD+hBRqQqaeBBwM6xFsTMqyIFRfuCZbumalWwjFk pg10eprdJLYSV5JsrQ9P/60nb4L647J64NcInNe7Oi/QEkBPkLB9lUD1c1c5Shn1TAmauik+SnR7 rV1uKdYXz5eUg6wGNcI/inHLOpisq1Sg0SNDexCX5vfwRm0+WYqKg8YIpap47aygBYLIC8a9+1UQ MI8LMcP63Ell5ccoiK/Y1CzpNu42E/VLj7N9v3HIA3u4Dv+qEGvzIxmam7Ljm5DhIlXYEQiTArfc +4k/zivbm9E7C6CngMuOw/dGFOH2KFYMESX79H1xq6GmT6oExgL86DVbZ/pcDIQ8i2/zMb/Z61Ke rkoHouJoZgMUv4kZr42jyj1vX6h94pxXoHkyTixGU7FazhSgrzpnwXyRw/bGViFK6lR8905IfM5+ ce5RwDsuZalxuDHB7SYO480EM/TpdcHHWZMC9BSmCxKNoVq2wm9VV6DM8zRlMBJSQPBa0inQO0YM +kqxxqMb9IuUY3C39/zystOrKXuadfBq25+HEIgaYf/dgIaTjzJSL+1EaEreL5t4SfW9R+8IXvCe WBPqS8O1o6feJ83ViX002krHxLeSZ1HhhQkQwLx/3D/SouqY2tQM27pCoxrjY/aJFm0+vxR1enw6 dGqJWcIpuj8qKW97ydLkexe/rNo8dCCgpwLE+PyfIdfmcdvVOTuGilSAnrhdPWe3L/SZ00BuGrC+ R6h+ePrw9D/pyaC++8wGBMTr0y8d4WxQ0ZM/edqayYR2E1ZPB5fp611w7bC+yrFGILotaHQ4UKfJ 8umk+LeeIuwYphpOO3Xv9Kq8TMsYJP/WE0Gae95hwZz+WvVSkMgzgkfS8jL09OW3I2wHwR/pioaU f+upWTV5DhjoTTi5z3lfpPI+b8qXjSNhk6xqmxCfrorxJflPnubp7czMXepFE9qx8BThLlvp70qF emZAoRxh5Vci2nXf/tZTZfgzcgtbasA65fW4v2PXd8C8P3liBNmWjAaTsKbFuZ7wd8T5qR1JMPhF NxIOJyfx3EOyS/7D03/rqUjP8vqgxjH2ub9ngxZOU6H0qchSdTjaAEK7lK6j6V1Pm99YxEmzByYz wP9xElLdA/D8xdzGBrwy/hX6Zl44f0wP18OesA6cVDsw74HXT+51N5h2a23BgguLDZobNJz8+3Dj rBpKSV/LhAhL2dyVyYrC9YFYpzEGSOGLU66FX2jkpwnCiU6gqw8P4d9bNFH6VQtcPhPTQrJAbJ4d EYS9EG/0h0NhSzXWU/JJCFeS08XqjEJyAJ7fLPCtZjQqfoZW/XkyduwqTSDAHacw1HI0IcOjPadC QrsB/BLDnKm2HskIc5vtf+d0kS0FfGjtHVI2z8xoSscjn3M05ut6sxa2e/PYu2nULhZFLTcXbM4R Avvz60+oIFcy0rdqj+GA2qxY0NvemcJfzpPO1y+T2jseNCzWCydTpTNkgN4TMV4zJ0N+I/sbVKcL YXpp/AS6Bl8HVrQgoQ0pRRfeExyV8kavtBV1ONPgy2aSeCT6x/c1Z4U+VReRHh1bJBgrapMLAHoP J2IPwhYwBVYTdscz7xvvzCB7HPAf3m640k6+IKVxqgX0bsPVnrsKZbl6ifs5+dkX5+RGwnL1e51v B/2vPOziWCJnXD5cBWHLvFwZxE3YHkwtxXVMmLFERbakUTduYelruaQauzpwDPEztkgpcYOzIlfK hEpB3VqJICdEU9yur2aNbt5IVc/fur29XG3JnWN4snuxlRdW+PWFIa3Nf6fFfj1+SgqfJkeaCD/d w9Vf3Sjc/g7o/WYRVtyUlLW3F5Q7wbpKLe8GCU3F5OiXMQpdF7fU25xlmo85n8uoe3shXouQKdwZ H0n2DGzQmCgRf3W9SavD+DkUEqmrbCNPH3+ojgTS01pdr3THGU8RpOV2mBIhfWKdUkkTtOTXyrnT vutzffVuD6DLFTXBivx3bp0g4SfaKuN/9M9Ur3aGs2QPd+lSui+gak5e/LXxEaGg6ytCQqCyXQ65 xID1J+Lzgr5bOP11W+Pmu4LH40r1onEaGetYucfXM/pphKAjDQOjw2E3qShkOWKjWoDl+MPTh6d/ 40nMQpFJqfES/a0Y0Z7L+ribTyX3aD91ma40VYMT3PvIc9n4x30EGbqRCpQMzqeuF+2qQ+iCV5wR VfDM+XWc0HQEcVrJ7h1IHlN4Ey/M2Jhp1wS4rB6MR5DLH8i3qiW9DZmhjL/e0pS7TVG+B5Y3XUNs hZ81t3C4cp2pFKZQNam/Z9IlXnb1kCAq83tL8IQraw3tTcNCbjipOUdwgojkosBZbniKHH+Jjw0p 6lKtzNooIwmT5C3zZOfjTJctBjrtoS3oYw/2H58YBie9XUAX3KK1FtNUuErwES4pAjUnGFUnuX5z PQsC8ayj+04Rgz85uG1Bai284wA996UvmpDZ8ovLM2ry5+CqDUuXSQ4PDBPT8VDodP4SZTMq+8qn NrduekpxBLQVqOevQp1f7B/zwZN7hDX656D5zw4UkiMQF8pspCPn6ydzsyfjPMISI7zAJDhd2HUr 6HAs2Kx5FLEQSnprSiLooQJ9JPhCbxqTJk5QDPQB56MFknn+zHRO7ne3FTEVs3S1pRs0LwV6Znw0 joqBy1QaBEi+t5PpgCv0uVkKMMGTNGfA+Qi5NIhnuChcYLQ4jfZbUzgLcD5aEWR8HUknR7VPB033 WPk5nPh9jwTcg5HOkWqXsi2VbxlwPhYifA0wXBArVrX1Ifx0G1JwSwqB4owwbePUQJ03I3COI4xI Rcw9EKboL65bChxJ8KlBX2kGGPK0Zv2iMef2PfDQ4HAbHr3BSvgtYZQuscPP6QFxziqz2uzTWxXb tWSEeRhgPSCDLj39V/KFRnBD13+sW9gD+gKcj4SqDWGujXC/eI1CsF7wKBzawgTwMuxx2dVFuH9S AxHI6biZxCR+0re5PUbdkDrzWQf0lTP2zGh3lsGvGriaFx0xS1zfh6StZuPfHug43bmfNEb6hcJ6 3LVd2TbnYPPARhUf1TZXtQCV37bw21ouXucuKwrgfMTRFPh62EJVw3VkfWsnot0SU/eV8BQP9MCS w8pYw+VuCLD+wZZe25180rObTbOCOHU+LRdK0Z9WPgGXHVAL3xt/LqtzPxS49ur1IuftGhbCLpZc //D0d57WaWapDOcufIdtZ/ScoRWRXWhXuQNpBIen9FA9lmOKlQA9PY2JjEZvW+3UHqXxo7fHNhPI yCot+tOUpvNeqHK3br3HY/OYQ45VwgnCCYDVkBmis3WzPnR58BwWOlIYCeUn6+/WFjnF4t5KNjNQ zNSKDKQ0PqBsk6DvKGWlbxpfTaObCZLNMnBef3PUF8r0s7qqHLp289+DbCKYGh25hBnZPogH9GQE 7u16VnXEBwP8u24Xeyy+mZsZYuXHl+BtKr3PF8ayQVq8WlWDIrZvk8uHV7rC/nIFGFL8519aop9c Wo08nK+GG4+IByqKBlUVA+akbc6tfyDru9vRqhAHRhfEEyr+Jm9EKY8Sbg8hCz4ip/QQV9XbAcwD 9GQgmFnXg0HL2+AvFMEUQyWlWjJ1HBmpvC9fqOge+CTBoUtmYTEcK2pVcgVJb0chyg7oCY1sejcF e6fPNZR2hrWTgw3Q00+FkGypDJZskjelZxQUR6+WiKZAuRg0WBDqb9lEwaE1gJ5q26vn7eOpHRBq zpJlgvZECJo5mVi+97eLU1cNiGHeey2qNrSwvcKy7l157H/RmBispo6k3wfBL6TF3Oib8OfhS0O2 uDMdNNObNWbdkjjXIL7CLSvQ0o+fsj5jL2vhCQMe2nAURwbST9Nh0RP6LWWH9uHpf+/piTBeHJUl XKtlFLSyvOtOximCbTQTHk4uwrChzg7SHy8OhNqtMAxTluXRIWZKotYb8LxmDCoyHfYggn7IAH1c CmfDfP4O706O1owh7VoawSa4j3KVHHwzNsTKPm8Tjpd/PD08r2/g0LB7MrPdxk0DYaqlYXtzuWEV OcVI5LyFCXx2iZFLqPKT+7aahlj8yqRYiYQgLmbz19pRSlLVRcwyH8N3bMA8wPe9iBjBwgaHf6uh x1zDq0AeRvaXhVn0QTYxR1e9g9/N81KEnak9JrGCvp1UdfXMTnLRAXr/1bOf4+1h2asGl+bWW7AE DOg94rqAEZX6R5T+Ip/Ige30+9kjwYYGSH36dHHcAlOjSALg/Q4qj+U/oD0ycWBbbep/x2AGJ5lA NoVpiKfbdpTVhqeAyDInT1lBF/0y6cmPT2NBs5eXwT5QRfeXzF8oedvjMZlLEEg4N2npd+H3y4Ok AsZHijzpxfemF6dWlJY7L+0dZdHDrpoJS4/03b6k86Ux4SAcFbn2bLFon26TWzFtdMZ1r3hxLd7P 0B9iNezHjGsRLRgEPP+rUhEmI7b0gMd5TTdus1e9rnOZ18g4qI0SohfitLCAm14J8+sE9qHoTFpy LWothxH/m0Qnq5xl3p2sYLQxmNx14rSgZkgWNb06qcwexgUB4pEq26Jdl48sCojHji2UG7+aWKeG So4SNXiGFj3yt8QusA9PH57+jScuugwwONR224HLrRackSPjDNLUOULSfC9EyIZjHoGZM8D+eax6 0Qz/c0C0Plzs3LZOCs0aKCogY32SiJQAmoINjfDyZKueP5lm3/PcRB39ipVb5m89JamudzDHpJ0j 8NYRwWHgtwPmAa7Hz/06yp8hf3DtfOcjyy38ViJglzQToKamjsPmF8iVMF9sxj9tubmKvy9I+wsy 640K9t96Wvvp6m+sWRK2f1uJXqeHuQ64Hmdg6iRl0Y0cK7OnzKPREMXzd4/1gK6XLCcvNYCVLT2C WdWDoHBZzukrnfzSYXUzMPq3nkwES19TdfpGpV1IultcxX0BPQGux6Duc9SHNm61k9NMnxQRCcIu 5L6atrLylXkotGFO7LHQOmfLlfC0p4wGDEVLjddoiX54+vD0f9NTLFb+um6UNEoeGVPw91LFMVYY y9+zsgmzfrFDwhPljlSAnuQtjLFrLIQgGbym+LU6MErqumgXJoXNUCGry2da/MYaa968pQoTuI0a 2Y1LwU66T2+dNClfWEjTlahKrmT1YdtaGlvVKk+TFjUXBwv4CroWCDXex4b7XwwXGGlSJ3A58nlI 6D535gto4PqbBiUNfccGzAP0xNDu6OvqqKWTidsUT6BiWrMieIdyY8SKaQPsqqSGBk74PuKoZvAb wxILUxWxD0/nGtDTLp9Lz/JI82daiFGgJdZTMUBPLn5QI29Ola/m0iD0AhHdt8v3dbKU7tcjkoP7 1qAxO/j/eL/lzrT7hMV6MkI0y7rKrfDZNMcGi+P0WMN7GblbZ5mClfcAofDlq8eN9LfTWL88p0Ap jMFOL1odcdwgb7rTOm9/OGL5WriKQnrMNE9eSpHl4a+5eLknIg4xqY/j6zRwnZCI4ZibJrOJb2JQ wZfiq5HM+jCf8Dk6JpQpNJJAIX3Tjv0kUWUQiy2+SS4JMgDpya8AejpUWoLC4bbeAS675q6i3uIz w+MsdZVgp5IL/xpG1ciToJhophnYo10GkxKNXPa202l/gc7bPL4AvycWmHfNao2e1szhjxFkjD61 BL4vussmo5micYeFO7q/RII7OAj/a/7D09958m187Iqm9rRVT756nIsy3/yTpwNPlWfEQcyuOFYG qEcWJH0xPQXDcQ6C7lVCdfy7r6PW/9ZT2OSJPObRmtQ50SisZIdrw996WkBdODIrjz3T+2zY6r9T 8U2MSi+rw29U35sF1iKZd0vybz11Wep0PaTgp4ua9KwOcsd0VHSy2xhNXTEIJdesR3l//sf3UAA9 IbwOIeY4EkNMg1vooyJuBfg8OPKDtE7H/+YmZiyAWF/5W0+058UWGe6wmzmVoMZK3eRLgHl/8iQF l4mqEuadChGkjtJd7rYyCy3yNCmOr9EF4Yi+jgJk9eHpv/V0IPvUBucjhGclLgWDNmO9hz4r08zu IWHikr0utZvM2gvoyR/mYtcJ6H2lqI/KtxxiKj9uT636hgR14o4wzhad/Um9UoME+Vk/F4FI56T1 lHpCWx403CBImqRiu+G3+6VCsGj7p60HpbVNF5D33656mfJFrGN6X6TEeFFJ9JKozzzIO/pZ/POR r2BUctLmLJW/dsAD5gF6QgCxGIkVZUlj+Tna/9Mnc9UWkowpri1f8RXICp647Ss+dYCVMBxZLpl+ KRVNwnLhPKCnPLqyK7ZHwa+dcMsJKSPbsoCejMg3BYCGH0r3HH9tlXwTfwdXcYb1rqhcZ8LSyeBg X/zH/mlzro8krx1BiivjNcCcyqVlthHbzQi8AbKS4jkligpxgncg5cskH1jU231MUJm5sLdnrQr6 D8Jjv6HgnZgHTydezMJhs0O1E8tionI5F/egkaowQ460WVV4+IwS8KbKgAlMDfGA06PlabGaK6wh hnIV9gKgxa7uJEdi/91TmWOI8cxOWdYJL/5S9Db8goAryFxAT3P9DK5ASdbADd3mxmbq4cJrdCLj ni8F3lU1jF3xO8jkErj3hHIng3xIw8zK9pioyoLf60/hdeKmQxF+SvyQQ/1hz6APPMiyyjNVeD0u UOnrXHycRBUira3iWNNRKNU0L/Ph6e88FeUHtO2sY90Dp+1fx3i32f7Jk+gQJ5iIuttSAGg0N7xf Y2c4aICmf+pzY8T2D2LIWOqAf+tpkPPkGgF7zkOTyZh9VLUn4U+etJNHVdVH8TIwDU2gEUmocn/I tSjSSGxpuRQfANmAmSAVgSqCkvpCS8UNuGNPQPqU/60nn+WZpFh8O1qogiY3FKbH4xozXmlPyJLo 9a4zcTzUWqU/eYoWk1k+BAURNuyBLnkFs8W6uLsRCeu/UdW57aOwuaL3+VtPj0fhJCKxKLWG27ks guJUzYB5f/KEw5rrGpFBKhke0pEQafi/hup3nldrJo1ecD1p2BifYPKHp//W0w33r1Z18uB8zUKg J5fMrbCuk5XqlEFi47l8zvpRyPd9QE/nDLYXWTuKIZQm+MPTONrUeme19na+Kl7c3Ti5V4aevZ8q Mk7yIiwlooki2cxmhsP7vvr6NWRAL93bfici/w0iN6gAw1ISwTvBYeo+5wCDQmo7MCnJXLONprsy mehbQpWblEdX88TYTDljm9tdQjpGC5gH6Cl0LgCTi1KMSvFYmH1f9kIg+jbYQloh/wAsg1MLita5 T/9AqVVPcMr10/XswbLGkR2gJ+W6l4ZvGNlYp+QSzLC1OaKAnjKSsPZs3Gk61aKysyA9SJjIqzO5 KlFO+MOK0pFnx/a0AD0R9RbYeOfr0BVR5m48Wowos/ag7lAQmAkwbyJodfat5YIvMjw27czO5Bx+ Mz95N/VyVUGd4BUgG3KwCZUO8nkBB39L5Yu/t6XeS58NNtE6jg6rOLqFK/fCulryJn7O2s4utogl aw1dzMqyl5HFthKia9DVU8EaGNyTOMihzJmfmNe6w5YzZGyIX+RA7yimjOQF9OQ45IU52U5tTQA6 P1J0jBZrADueR4Yudly+Vk+le5b7s+xFXA6x58c1tVTreY8p8e8bzEG1TxWUCvSFR8WfL5RxSPcP RSOGrafJ00mhlwbDW4eQ3lGcZO+mPQzzPnvo5XJ8ePo7T1dbCv4ZZCEjpCfVky0ar3F/8sRl7c7R qygRgXnYkFb9BJy5lg5ueTduM2Zx1lD4ilUl/W89uWHx8U0oTnyHGvGCpPCPFvhbT6CVTyXWOSih bMZ+3A6QPx6ugz8JMzs3DBh6+z9muUcs/q0nvhaek7JFBvAiO0xniFoe5917ZBulpS17xn6yTadt AaQ/eRqkSgeXWiJ3pB+/O+Bw5JWgtDgS3xrm3iE6nBp5fNrf+FtPrzz9pXpfm5dKmwxtjJRbKgHz /uTpt2YImi0E79cuQ5dwKm8TrlEliJM7JZIjge7sSv3qxn/c3/Ph6X/Wk0BNSNOD9V5h6iXvKOF3 Yom39cBAd+s0aH2G7RbjaOQnQE+TVSM/dYt2EvoKSzREl+iJpJ28b8g1C3oer7uFj4LE5fE3Qyet HXiQOW/r0gxzqmu+GcgSO1OUXWflBgh8xrN+GTmTRFRJXciWaarUAiGWyzBtpm9Kc5N7Mx3KqtEp 1lTyXLSKsbPeuG51RiFS33JZAswD9GTsmaOI1Ll3RFxhL9fBomRD9+Iscmal5OLlyVGAwHIZ7Nu+ XS1YGVGm+DzY7Ff8+o/7VldboJpwFuFFvZcQuPk/Q1QAero/RI87aWQKjvlC+UwIfDbFzheV+hsS G13p0gPziaXxDdDTzw0MCegHhsK1zwLWXachKQhyeGAW3gUGbGhf3AcQ38gu55JmJlKMHn7N73V0 6qSNcIzjLLwLg6WLqDfvsZi3gmG9R7d2FmukVQ8XbeONXAxTv/Waw0hhaMlkMI4kyHb91MRqzuSo 566aewv2jjtOXEf4ARYlCH+Pqn3sG1Fhqc42eNkYTG3tWSKtbV6wt1mlDOgJPn9xhpGY5sY3BGyq yS5ci4ESUxc3D0T+Wek5iX2041mUIFouQJFtni3l8NkaEuin5lpHWJx6i36fQlwi1b6BkLxatQ98 FiFV4eoddPsUqosC1KF4yxe0OCPysyOJ673iD09/50kLaCDAidbBSOWcq4DKUuIf9+0AekqNZMZQ eFU187pYkkuYEu/H3kfjGI4KvMMT1lUU6SYu+reebvyerrbesuvTRUMQQpqtMv/WU5WYHhqTBfqQ 68H6YFbqsx4+D5H4GNe2tnRrXxVqz1TD33pKBKUDRShevjfgKPgCpf9pCbSON5ReZX6CLsJKnTSp IvFPnjaqh6O2bbWtwlGY61RaWqVGBe9fDAlrX2J02Thj1vmG/taT4ZAUvQo/kxVK9eQFwwKZK2De nzzhT5Pn61MymBbS8R3AmYy7U5r66yHcQuvUX03wLIKaYnx4+m89VUFJhe5JwIQQ2QW3KKED2cx5 pm2iU2fOtI/p0h7NvIwDemoEDvtN6sm82HRPZtb2iTlEZ8UaPRJvwdoMbOg6J0VDx7may9YvWHxV sq8Y/lI86WXRFkIKNPkQRWuEqvJGNQXSspPO+yWt3yMGl/ROYC/PSCNaWi2Tc51zejVfDgFsgvtX CgRGUsB2D5PxjvW1nmofYB6gp36erYu+WmUdIBc/uy0STjU3W2c/S+btDfEiLqI5jQwzNoYOw5Sr PGVom0zSZd/TE0BPMRECDnwiFvBVRQJ5CYdHYYCerlA0XA8+O5ME7/XL6HQ8AEs14AP9fMBJmsZR a1+/EZ8B9NSrDj30deN7J6hCVipO9Kp+fCDdKuHnB9vOYuAO/Ulsuoqx2PI8uJT2LAhIzp9AhWlP 2FguiugqdaSC8QnILSipXZN8Bub7G/XD5zP+Waoj0fpB8DvD1AfFXlBJ201GX8JTQaOV8vfZiYzP yKW8NWCcv6iEVnzr4Q+8JH839Qjvvp93ROAvXdlXZHNYZkXo64wA9ET8zp6IDx8Nx0tLodLq5kbl DVXsuFtIYCnQEl9wlmFsI6KPlLCdyCO27Zg5BvsCY4GPtB6YeLDfuzuYJrsMZJ1xTDafkTBFYHYp 9aS3Hw9+77n4Wc1XIET8JsLmf+2SX1Q/PP2dJ/GMBelIcbdmyYwahO/5W9l/8mRQA82OeapGjjjy /7D3lz11tl0Dtou7Q3F3d3e34oXi7u5upUChQFvc3d3d3YpbcXd3l3d9nk0uQvrcedda4Q/sn7aZ nMk8xhgZMpFbylccAaVWHNMj0iIhcTNfiYCF/9XTZtJcUOWy8qJodlFBVeMpwVs9JY6b2iPdiizx snaWqw8A+25WGqtJ2KxhS1/n9iQI/h55q6fIacWzAF/7w0XQNdt+fgx5pKEztXXbzgM0vybUbiSe vNc8kdaYJGzbziw69sdvJMw/W8s7VUaXqmm2l6jItpnhaAe91RMBThrn7YpKPdj19xiOG4g5wN5r nrzlJXu73MCzfoLePmICJ5/JwTvSKNm7gG+II1+w54+gvXt69/Tu6f93PKH7U/+IEJXCwbfLTaWj hRtjplDLFiX19yF6yKKCdYn5656hJguGhaNCd0H4HNIX0CuU8gMXVfFis0Z7h98cdjsykREisLLd n52oP+yNNvUEjnuMmkqp970wgYOCjRI8+qOzwQ0X8W9/FaDjrQ9AszLq6YmEcCm4U2itgZwwulJY 8EzSDKD0DBColzq965NohzCmbQbsAXr6aLl82q+WA8TXYyTRradYmmPDrHof/0Id6py175ell+Qr 68PD30kU2/55A8UGLl8d0NNSe4AQBawqiAGr1jbaklnAX/Pp88x5Kwd6Yd5MiC1VL+EcV0L+4tbE 6OBhopg+4UwXf+2T89BpdlNh6GtxE2SahLgeMSIdOAqiKq2oZCpeoPP+7tELlHzZiqBnBbE2eoKK 9CF/54phLFXng1mYzRMUpiVdEYHFfbfpMDvFTstoHRG4RZYqqMO+Kz3I9xTDn7g1zzoeHSA/BpiP UngxY9MWA4g4a8Q6zd0hXyI8eIzzIlabNBiYUBF3u/iEmVvvEbkfmWgcYwE93VpwapGZX+Fc3Yfj 2Do2AlcsTpzzVaMaK8Og9W884CJ757DAihtaSBvSxjjiKPZuqQeQuHhtZ65wyEapCEbFGLnabO7c MX7RlZJ5YHS29jAGdYm18Rj5AFelNtBJrGyg+u7pvz3dphAbAkWqZ2/wo5E4Lg3bZSvnKS/+KBpw Tzfb3LgbvHrNU36f4jaPPhSR+1H7ocv8nNE6KGk0DvSPOwEXlb2oRd2mf/U0yOzFRtylniEV5pKX hSMU+1ZP5Q6+SMorrT+el/EsTqCBpYojy1jbcYlhQjxmgpOlpq/e6qmsybkV1Oaw6CRC9VIjFrsf SqVpWcBqSxGK01iqx1sd5jVPn1G86k/V1teIUqvUpHMnSnTMhBtmum268RUJFp9XCqXe6mk7q05p 4M6KSRMG8vfDjUURYO81T2S1Y+LhgomrYpd06VlrlUMZ+042WxF6i1j+k7QFcIER757+t54cOL+a Amskb5iGDZl//5YReZNhgYWTJjLhjoYHSkuXywnoCRScepo4Gs+as/vXqrL6AhNPeskqnoNYSwcz P9cm13TtWeHaD9T0J5O8MTiafJ3EAMiEpZ/KMtEpF0vTJVYavz0HZQmfcyMxj2c1f8w1Zeh59zfc CBU2KIKQbjm7NsEiV7SVJv7UCtYhxLG5WNm5mQMF7AF66hQamsjR0a1JvDCR+3I/uKBu0TsAOqIc nhpoQUCQShgYk8YN8RLlCn2hTnLZR58NDugpSWVtEiJVFlMpW64kClccEdDTrAgLvR9siC8uxCLf RWYmdUrrhn124+8rRqOnTxxTB8GAnppGIR7h2WGhsxhumB2PBLfIY4Gz+PsiWex557Gq1rTBgjVv DdKgB3fcvPwzwyGb1YlawEKIm4VbEL+wPY0FnWExK6BoCB2wzDJ15Kfbyeo/GTFNHEEPDig5hOUg x3zoZ2a8AWk4k1iX8iQYeAETFrsHA9LPcLk6te7kDvqkxV2NxtZ4Nrw6s6lvjF43IqGY4wjoSYtd LTjOsa6Und2yWRIG9pxJzTC34hJ3SCxX99es+nbppnrmxxZ+CdK4wMzig+YOUVwyGx7ztDjUzHH/ cN4F6g4qs8kTJFk/xE1QnaCpkIVz+X6DWyBkBfhWY2kXaBxSi3dP757+Lz3RT30NKttCKYGuFQHF WcN6PNTkH2aQHr7O1DHAN2TpEwP01JyzX6q/FBK6HH5QKk80O3+xEXFZQB8XDu5gH9SH6YijVsX3 PAwpA3+O2bJBUgyJNMwzj0/VQ26kp6Ai1RLCsxi7T9XHKsnIANPXA095/yVXVWu+CqOOK5xZ8pHX /vnU7uVzuPJgzDitUME2XVaxvDtgD9BTqlqpeqkXxyXRam6qO5QKna4QmZQBsOHhCbBgS2n6CGeH UADWI3z3qX313kPkx+thQE8sA/iqAbJcVMvWs0mxboUsgJ5oii7Tzbb2PszCz5UtVyLRnvVfg6oL 7wP/8XoSkfTdtQL0tIBpNqZffBd/zEWht4cRmmFJe/Yh4AOn8wEOD4/vSor6vho/vWMG7kvYj1br 5obrbpVo5N/YWkBkLzH3WPG9deSNa4SCgdNocpuXBeHUTMVVbWyJlSUg0z8PFbblIs07S9CsvGNh Y79E4piBCSr6kaUJCQSP3mxdwWnepnZW+OvD57YKGge6COisQsnAryZO7AN6Gndf0zruHkUE/xrS giPOnuU0H1b0JC62FnzLrejuU3GnKZmwqXfmcB6/eSQL+ZJxNFtN1eVzOU7B2YVki9HoJbzP9bRO h9VcIW22VIrQGvytfpY3UY8bmqY4uDFRao9V793T2zzNymnfPUXcEvrYMT4sTtCev+aJf8dTyqXZ g0deemXKsY8H8yGtxjZlArb+is8EBkPCSOhfPTlmmN2CX93FfVIOuMwrOON9q6e+ar9lKzZtkRJy FEWue04qPko3rWxjZGoisF190OGl9Ld6GorITJ4JZo/TGy/cxkqPSvqZe3bRId4b2l9zJJYnC6b4 mif/Ppta2DVCLqccQeSxGHnPcWbpZvfVDMI170EGgwNSmbd6EtPN2S1zkjSNyAZlXrSUSgLsveaJ 9LJV1RTmkqSdl+ZBYq2e+XfvBfmQgn9cRc+IvrlYDcO7p/+tJysw2JnEJ44H06C+L/Jn6daNeyqr Qott2GcR+gp5IQ06gJ74HQ8+ULP5GpeNWRfxu13ZwG3EXNz/ofu5CSvOYxFmUIXHRiSVv8vB9A1G f1GY26eDfVcrA/i0DDcPjLfsl0EPdomhVBMczVQO1Cnz+amkcuwP5oAwiOSdxY+F34Y+MDmTzDXI jzy1+mDWafazEpccGgP2AD2FDDdzsLmluQYEVEQX7qb49AayAtUhrRKa4zti4dRpIq8m7WuvJ7nH 0LEDP55oWokBeiqbOv6RZx3X3uYesqKHDOYN6Om8dND7U8ccTvF3oVvpS6NHvmYx+iczmXAQvLC+ 5xXbLkBPjbzz9J/vQZb2lQ6r2KbdW6cgxsgsb/B8bU+rA9U8Z8C76ivk8hq1Cp4zFTg7d/zZC5cC pnumWVU2NPC5JGAOzSVEQXes7eG+RzVlbWV2bw0YJU/vuI/YTxrQK76YZMdjch9z3cF8W8jT2XEv aO/k7joGjsvz/EKlYyfKMiDL/SjXJjBXFQ0HZI7ZxhNVi88RBejpBWnZbyTbzqSQf7GTc+Scuiqf pDv6RDyStgTdWw0h6Ie8vr7OFSLY6S3sQ3nBPF+VgR/VeIn1M/ikdJRYd/CZUqjEpVdwQrILfDES 5loYsoSPJ01irwMbMxITP39Gk57Ju6e3eZpgwzph++EhdH2uoQra4fH0mifLoX5iaL7crb4Wb+PV gY7KeMWHGjiyIrdgM1aETO92o3/1RFUd3U9HM4bZqSp76ALs0f5WTy93cQynF8ffbQPyRvidyZu7 0kmx+jwmSy6CsWMXQYq+vtUTtvuyfQz3/G53JiZhFgIse6Cj+v0IFHFNC0dD6+N2Qc9rnhwdkb3I cH9sOkd1PzDOiWPJ9H5EMxfsLe3H/R4cpzeK8FZP22zjaMAwFEBrKmQGDI40qIC91zxFFIq97Onu c+wJWgU+rqwfyzQeaPd4l4M0uT2xJtvA4b97evf0/02ezDdLAnDOrlz3a8HbjbvcYAE9fb81LxUV qCJGTiD9Ew8s6odnPJkIg2oSKfPzxB49ZcoZjdWVDXWehJDgkfgr49WKah9S3++zXGFLTNAbfrpm d3vCtYEi0x6y5gXiVmNUV+KIlKIXeaZttw1Fq0QBxQrH7A8ynM9/vhjdvMy4mkmk07uc8nZfico7 P2FGnmgGHf4iS84r/ko5+31I59AwTniraQXQ06TrV32NvSCgiD3ISJmSGTQI2cfaSOgNXggxzZSx ji0y1rGjl0+9OSOWbLON2ivT4/hWt9n4xQOuCMGMhNKmo3c9kkaCUgtsPhswnM8b61QM6DLGV/by FzIhHkWXA3uNz3lutFff52MOrDJmZ/b7mcucCipPVG9Rn6Skjkh7Vilr//I00fLNMjGCKg+K46pO X/42zCPNHw3rOs0EZbUnHG/eqLnfgpscs45yDZyUCcNiJWD3XjMigaGd3RVR6hnWDMstoMZ5IBBM rBtWgqo2xxHXRbPHhdES0l71j1g/uMspSRsrZYyxe3gug0/NWo+gBac7YA/Qk/cqpwqvCu9M38LK N8+bQOGrEV6E8HljFHkWLERagk8U/IE+hyeTAXuOncHflgYTlgE9HS72eErfotAPU5YaIhDYVgB6 GvNLt4O/TyNR/QLLbN9djPzu6b89sU34GfX4W8aMOWD+XoJzUjDLZqSZRTAMdyqtThqF7ut+zRO6 qiOR0lMVeLyhoXmFbuAZke/W+nMMn3GEMx2y7XCcwFs9sWPJc/7y8XSg6UM0LI94EQfsveYJXjsL je+b714GRFNV/PQ4hPrIS1w2oTYYqGpSjfPHib/2F7zVU2vf2q5J7YevZEVzkCAKlaGveRLF/eJA Jg+Memq0UAZ5X2UIoshpRLqU+ysS0W0vFlvq5F89mWN/wmBZfpTlj3A7Ha2QTHurJyO6hcXMCt+k gWOP/VZTJpHHnt9f9nHEayG4Hzy/8Znkvnt69/T/pqeeY4hW+YwKzeyy0wKGxAYmAunZelUGIPXm fhOYetS9v+bvvkEWwq4Vt3e7CZOE8oPQEVPgAOGxXZvAiDaF5XYFa5xgfKqjw85PisAHs39uQYch kdl2fJBhwz4KNbbdUFL3Tjo5++Pdwj0hgDzXWF4Fwfkh3035JE9pFjmJ0v5x+Xd7NVawAyXRsppA IpRuYDIQUiFgD9ATeAymP4EoVagWUErXRqpj0j74Sn+DkyhCJpNk/PYwJRYfRJHAODsPG/eByCKw ImonoCcq5BnTJPCCERErVblswuAwQE+rtdrbyb+EsjyUR8iwelARYixIT7pANzLTdoy1J6/Fkf+6 /yt7vkC+i+xe5IgzaTubSdSVUpCjFoEzdovyXeJKLTPty+MH/Sfw9bglbWLCJ2vMMg82zHoq+a2r ju38YNSBcnh2K9q8qQpjRCW/i0670YxWWlGx72bf07ipWss8cL+e/+ykFkrzXcuWEgUHv9Pub5Xx Zv2oOtZFUtkwYuUYTYheN+T2AZSr3mG0LwAxJEPd4yOgp6/tKAEGisLpIPChPbJDKEI2cuvw8wK4 T+HYjpGjF3U0S6uUnF28rtMOCulaJZfQn/N/XmL9GWlbILN8BHOEQ5/7pViVj9UkztWF92E1/Cka uTfeG1t+YwbKnGO4zVlg4vLd09s8GYZtN5IcFw3oiQV+3SZR+Ov/FkBPqrooh+wM2IK3MDpdQxUq EZrjy3BmNL4fFErAGsk4HUr+1VPJ7THS8ehtT2F0yRAHOIbka548Di18+uaCZjRzj53zfpeB3nZK HPuF2n2xBipFXCti1iKJ9Ss983RBibduiJcUlpl/qyfZ2Y93n6934u0dl02VrrkhxHCLuD42gDJ5 N+NdStYk4b/myVLN+Eb2W2oPDh3UbN4a9W+Ppmfpai6Tji4iDPbhL3cdb/V0lf2J3gRyRbFa28d5 BsUmBbD3midJYviQtvQyPlqZmCd70jYaEmd63lrp8cRf1RQ2twnHwO+e/reenlFxjmzQBQ6/RXqb /4IB6WiGZVUkhcf9dbIPnGMnwJME6ElZqt/lx6AXiKK2D5ARgoY1vXOUrTpz3CS8FU2fYv4AwcdA C/v0+fbhQtpR9Qk3ZBBsjCXn8z5a15WPrAPTR4+gdhSj7O5UFbZS3z4Yw5Z0D45mWxqgrRgsQ+p3 gZ5/1sWKr/eQ/Rp+ihzaMLBxm9A2AdgD9FRw/zL6cJpUh8evBdSl+ssvabNgz7FGpXwo8J5wl3QZ xqkNz0DX7VsMk0ceL2b64/xf+w7RRgJ7OLeynKWYQHakYjwAPUlMfsdn4HaHL+kOt6ASIVWVuHk0 4N3pEyVC+WQ4G27dDuhplp8nuJGAWgVOx6PTyHjLqkfnrmREOVeZJ0C5hxgtT1DspVeRWXOqaxYY VFPTnUcP/qDSbX9yIBulwk07PMH1MX4YupxA3E/Od0iS5SZwHUpbBUJQUmAASH852lpWFPphELcK skhqhuKXnM8ZYZIbydVpNuY+v62FXsbaD86WirlU3nOudAKaUHi33XUhwz+dgJ6C8RWzKmlE+niX MvUKwb92uF4zhegvgBNQP1/E1GDC0DFP4azOFwS+QMNQDEb9rO1CtVKp/jr68WC/JpI6osew/7xS ebabfKNCke12caOgH1b8BaZOONSFU0zIbK7j7AHs3dPbPOmREeburLpvlEET4a3Js4+/5gkscsF0 sod7x5H7CqJAojaWov9qalvOQ8lbym2r+Jc72r96auHQB62m3oTJIqaLKziKLHurpyhJU8GcyaVn ChPtctyU8RKBsABxH359o8n2ORQY7p7Ot3rqL+eNQYN6/gOBbr6bbl6myuDlJbg1LwUlfyIr2z8e K/Kap5YOc+MZakfLve/Vn2Gax2l1+ghw4lujNpfn4fO/ydIMv9XTgvIuuNzY1+bCj5mMYAefIQB7 r3l6Ok+FMiaLUnIUGJVT4InLhCFusYfkkDuwFXXtLhKf4Xv39O7p3dO7p/9/9eQR1wh7aWrN9nUq B+s6yK0X0JMEkTvRWS9JSV/XxyAyuR9MiFvVUa03G2lldIl2g931VqhnSf2MI52diU2O1UMO6FLX l8PWjRDOiEYJzPeT9YFeKskLEaDajMzh6ROsXjiDzYKBCoQ/8smWcdhUCn0+SFaV+6S6xBFEzHCf NsMC7w+dHhCmuKc5apocAvU8daX2aNyaZEKRnKC3eZiNd+1E2YIAelJHpnvYCjihbrAc0X+42hq5 v1r5hvN15Ket5RAsQ2rANstaArdaL3KPF3/FWeK92bRrD7oIOlgXfWV4S24xjekLwf6QpK46LXa1 j8H41SzQQYjELUtR1++rNu5P6TwtBqBIFJ4nnziDYeQYCQ1ah2P5fvYI9daD2e5Fj3M4exDttQN6 YlBIOiCKBfMLNe0A6v98P7vA6A33MC0w94WwRPRu/TiV+8Su/Oh7ShYXh4SPTpnmlsCke6vJJFLL oJOukwQ49fjg50aBMZeCgcMbFcctBLLS+0cWkzWnTGKmA7mKZoMMg3zebKdQENTTmelinZIpSgXA HqCnWzSKIJkfKb8Kw2TVDwW7wtgI/AhnkmcYSkUu977sKQY7JG1uSfG/CDgMhVcgIzBnAHpSPmgh IaqamznDMz0XAfnWC+hp2L5JH2qKffNmwEWopwDb9d3Tf3vCxgvrHxjs5oZA7/7dr8X1hzA9VFwh 8jN+myXD4/js0dhrngoehjyAmOQ4mtvSR63WSvTg2G8MgB6Jzksbu2NudCdo3+ppBUmYuECMLuNT RVNo5LhZGmDvNU8rdnGjTdfq+Wpha7E3xhkIPSBlc6bnHFgMkTTudmc/If7VUwvGWtaH7Yrjn5Nf RkLliJZf8ySCxhirPnpI02CfvWFIwPbJJrCWptLPiHYdhqwVvHIY6V896UryhSr6gYZsIVH1xxN5 n7/VE5ckHCnNGJRS7FmKO/OFmRgSBUKaqorPvgoVsOaCn17Zu6d3T/9vetoPQJvbJmr9gSxTuKGu +WkukUJ8TmAuHP2+WR1hdKyzHtCTYoN9qe4j+ZOtfm1s4D39YphNl/jmD1UP0A2yiEQk6VT1Q72i OlnGZMkuvkuL+yn/VcIoqO+DfoOjoXFw+rDtKXPEgcrpYciT9YiCv8Po1JrhH3YIrj9hfQMKNpCh P76/NpuMKX7snzNGtcHQUEqq5APsAXqCR9i5oaZOLM41VpKrr6AzHfIyIl6447oU2TQWIgFZYRU0 yS2Awl3S6zf9hdWbO3kJ6OnArk/TdHpy7AGnQqf10BwD0FMleNv24kW/uu9vlh2XtAQkA6lKZH25 GMmXATIzl5PrH4Ce0LTJJqLZ3bWUezFwGX8+3PqPVPuYXOssT49PEamYxKEZG+PHz0hzHOyHJNUU bDnmI1QekwnWh4ufOChgfwrPzoK8TdU0L9dOWo6eUdbjIfpcf+/J/9uYsuMXhmjx6ayW9BNniaFE w4O2NvdWsX4+ZwPlyNAWCpjBS5dEjklSbJJvXUTarz56y+wFNA0OFeFgO0BPv5sw+9FbW1Rw4rL7 /X4kxvCMD5Utw0A/d0BHjpF+9Qsh6t9JK3Bnu/pkw0oJUdDEJhsfNTuNXBqT2hZBeZiQNgcnk/U7 AJIgNgdu41uUQRrv/iFyoRX0pzUJ5baSxODiwndPb/NU2FipYwDlrRYc1/dH5kpy5DVPnXj8Hoxf LQVKLQk4JCMSThQSncsFqjvgw+uor8FYFAn+1VPdTGc/4wyn/FUhaqb9ZpDWWz0deQ2UEpanRK/d uwPrlcCC4h9doIUBg3sqlgzqGjCTdr3VE3/HWCt5AyFR11MyY65Vmh1YMoVQdLj9QuD4QsgA6gjk a54afj06XY8TrQfAjH6tcLEsltBeKIh0TqU/4r6OfW4kjnyrp8nNES3H1PldA90f1shsBXKAvdc8 WfpCMeFLkVo7OS5pi2d30sfDKXZ8h47mxQnAqETLW/9rPvjd0/+tp2DjaO/beIwv9H8i12A0A6zb 0RPn4HMUdYj+P79qu+GpFkBPPL/rHu/54wsj3T4P1vZCzGxLR+1we+XT0CWD1oUsV6aOBuKRBgvb tvrU9/aqmaYE3h5RHfXNzm5J6iLciKNpen5MWcZkfT5rW3JKMJ/MR/TA3VGK0Tu3WMa9J8rUlV2z dlodGChwoR8ia5+xgVWF6wTsAXoC9s6k4r7EzS9qSqIwfIQEdeXZbpDGZKukkWjOaRBuKc0E52x4 ULURjqrr48+jWo0G9NRrMmmItC8krl9D0ntvthUN6InICu/DUd1IS3LiLrHKB8texszPxrHDR6xW UPVJFBzVqYCeNmm11BRNlgy5cYA0oQXqqzVOQXhNCrv3rUa5OQ9+6jzglGjv4IAAQ/mklxvOL5TJ F1XaAo8Qckmszuke4wziVYb639rM6FzpL/mEyZfALu7cLQMHtn63GQJaiGED4RXWP7VQfA5SD8At 7sAynldLyqhnWVVeOvz8CbobrUsseBdf39ni94he1W57+sEUFaYjK6Cnz3SEVoyZIMxT8XoI7YaB Bhl0tLRU8eDCzkv8+Hs8Fno/46vibzYaommpf8IXU3F6gIfaE6eX4xsGqIvIJ3CqojciawFLwcH7 L1JxjAuNSgEXTDwUA7fiRfWwT1H3wg9gvXv6b0/hu5ztMyLZZlRQaZsuBQfrRed6Ab7jZUopK0xY Pb02LK95sg7zloo1tFAHdWLj6pMm9T91g5qa9fHGl1M9ypvDEq79V08rlMQEy2PVRcP29jlK/KUO b/X03birqcADIqWFvSsHhlaXcpdpn5k/X7x/ke2DrDyCculbPRWvoYrNLLgjtwzlfTxJSR2zRrej q/+W8JGExIusM+Bw4zVPyGqz28fE8yedI0fgDIL6XKkz+j8OMditnKfGcYjSOhLf6gnW7rgsBp3H C7rf74LyIIUPsPeap0IixI6YKht+OMU7yvg4aDanPoGmOFyNEkkQbErBY/u/9me+e/q/9URmCZLE n0uCeri6C6s70KkETVhMdF/HQkyNy8Tekxn017zUkTDkLZ3dSV4rtZHPjg5Tjx1/o3wIzKMuFu7F j0hbGGnzi4wPJtg7PCdNokV8rjQFkh2Vc0RVpTGszYeCVV50RmvGaj6fmKRQuvqzf2OrimDJCzL4 eCusH+7Gf4OcQw6mSQa1IYujRKPpMSWCd6nWNgTsAXpKlP8EEZLMKOrx49DgdL71Qn8ScYe1CHxg M5VMD4UlAux8zfaM1YH7k27Lo3cRZ/hf+8ehF3LPe+qCUI47ub1dmboG/rovlWSjFhoFjRAhqw0s ZN0dRLB9VtziuxNXnHNTxbJsSg7o6RN6CkOC+j0fJBTh3NfRk9LuM78gqG+34U6EaLrj0fyIvHvd AQ3IX/xXPvLBrzFazvbJgkw4oERQfAd142CYxN6IUYscOYpbb6GzTYvEGZlHRko8uEtmPwXtnKnX nQt/ue0ITlThrnCMGdbeli3ZAGfOJxz0KQbSgogZv9FfyicPV/9T4Xann7OyPyAPmevPgwHoiWIn AXyCw09N3BOLSQA5zcNMf8qiAgx7wNsMbZNYvYm8OP7nehTG2EQXm4A757ZjEWHzot+mBf2tZPwI D+SwlylK/YcrDBKNb6u7X0OhzAwEBUMfKVZiJFF0L6CuYI5ap989vc1TT60ItUHhTrW1tJBFhVD3 2mueRtQlPnfxrWC5aejsDamJoYiOz6aSirSPFokVq865oEf+q6fWcVtc4H6zKeLGTvtGHOiRt3py ++LOgpgGW3EOuqTkRelLilJxq0olOn/tMuT6WVES3PmtnogQfdxxufobq6UQiGakT8XQfPR9vE+l UJeGUBNtfgT+NW8O6Ekt4r5wRJ3JqNrSyp2Qhx6q8B6WaRO4/NTM0k01VN8G/a2eyKMQisVL82cj ZpHoN2hV9gF7r3kiqSIsl+YTdKxSP+hPs/GuXhpBAa5A8Xb7YvxJ/6XmafLd0//Wk5xR0biq4XZR s0B5YavxhsqoN4iQ+bQYTcIendiP7xt/3dtQVwOfTHbbqOPjCpEz/zADLNzUUS2DN0cX3PxiXWy+ 1SORL3dW3iy+USWi2uIkE7LZonP9opZRML6yPa7zsVV0+OfJQlDvJkibBtn+iXs8D8sLWtbhz0+G C5YS1obwNiv1FyWJKlhwidYuyUKKu9xoh4A9QE+zfNO3NB033z6r8AYxQ8RwX7JinC1GfzweWS28 CfXPZeRsHiT78fnrDHyN4A8jaIQnQE+5jWIfd/bWeaq/eT30pdpL/TV/V8mSNxXwSKlLEtbRENl5 rAsd2MAYRaXryh2mHTWhGA/oCdhcQSYkqqtKJz8SlhEGpXPpE7FRbqPoFLRT3aCDU0K8std8wuwx zI1UeT4L//iVsqSLkrEoA5T91MifLkbu8LvKDFescR572+jUvgrNGcFIuaU0R7XAKDxFD31CIh8p +kDEGGAd1RGUkCI1iKdQqiANM3sPtWj0jsDKluD8ylJm1PWcb+YwjnDbbY19oBuQlICe1imdBjRS F23jYS7dQikGCbNhsE8FA2rq2lTvnbWcJHbGXAwPcwc1L/EtvFkEPwTQTTx5Lgo3wTHMLUIsL1Dm 4aoUWko0oDOOzd3zLsmnLW3oMXsArVjiVHJllafvXBDtvHt69/R/6anzMyQ5UuKjiR/pXsx6czhf DqdNoHyF2sG0NpPgQPQVxWueuFKqxrWYOMOU19mjxdOt0BQla6xM+6Afe4OYbnVcsT3e6omlanRB tGzJcBEx8M8534s9YO81T3CKtsuELd/Bo1urghmFv38Ba9FooPou8r22w/vJ7766+V89ETSA15Lt lvJRokKdQRWzzb/mSWu1TzRNsXaW8admAx12NaIoCg/D7xd09DSieGdluC/f/9UTUUXEFl5wQx/W 7cq5tHoK31s94T98fak/VzFw+q21H8JnoDT2Sah+jwLUWsFfmxYx2cr43dP/2JPLBfBUm05PJubn JbfokWhAT2HJnoP0i1Ou/RzGjIvSsjKzGtpDSg/jwZoXta5yTcFsDyYSDFQwwWVX4kpjXFtM0M4t uUQT94ufvAzs/MGzPWOER1EJwEXTjCnuITc6bbnFGYRysRFGwplgJswWUjj2x/y08szb0vVHfBFu wxEmUeN4Y7UF9A4unRQyaW+2pEi+5SUUIjVlnPuBYY/5rruqXgJ6mtZQs5pWc5sh45sS7MkeKTqS SygnEkIyhdmU+YxOc/uL8OJOa50NaA3N+Vti24TUb3I0cgLj2HEjvbTRzXa747wRkvBb1sx2kkTh eTKLvIyxqBcxaIm5TkU+X6xgIZ9pbNASXpf5TI8akAsWWp0bhW9xmSyTSBgHMRufsli9RJk5AD0N xag3pGr8oa3snBDU9g+g+eHBR6fvyWheagQElp3l5c5SEJFaoY8/jFIVvAgsvqn5FQS0tqR2cSR6 yt2SYz+3C+3ah04N/cGhKJq5w6dH22D2dKO0co3B3QfGPOKWWsuwFkizqxg3K666ph5bgeniBrAH 6Km+TjmMPeaPqRXHEAEThGUDKH18g3nGV7THiT9V0WImkxHyobzevvO2QwkQHufrpe2AnuSiDYtZ gpDa8c77H2TgfnwD9ESyKmsuHih6FEPuq73bOSPw7um/PXHroEd+SZ9mjjPbxJBRpvJm47H7urUV gLMtMqh/VTEz+JqnywOC6MHYQtyOcGEocxrRMPo0ZlJFYSylhuEJh/6HVM+3eqqQG+Olfq74rLuS SanNzq8G2HvNU2xU5X3EGXevV6qiBlcUOc1zDnhG9U2yxpeJM0Z8aVHDf/XU0cG66WPRz6QqDOVM eabl8JqnhihboH4+Upq4jOgP5ayzNcnnoksUDfKSQ4faeLeaAr3/6slNddy+qo00wWss2lEIhLbi rZ5ODJMYpvO9siQFDKwg4d34Oeh3+KtQsW6BunZdaKgRT949/W89iTrZraWmfneQyoRYfTbr/Ov9 ePgxhl7lJBwovOxcCUg3c7jI1bB1H+GdY+hvA7uOTEPLX3xynX1ivFLV0BMm5auJBGzh+jntahTD STQtIxe+BDD4DPFcAcqeBX8yy5mu2dIxmWJa7mJ2o6S7eX4vCh04yKt7W5amjzHUWNOAgwD3VoID 88alneGJHc96RvlXw9uz93PSzs7s5fHL4eVn/0Zx+Ot+MJehVks6Bz7dx3JGKQuZOjHoP4g+ywI9 FxkyLQxdtP7aNvf8Zk/M4d4kAXgkDAhQNpCVT30Hfvr4R8u+goPUmsyHmlUfKJ2Jqaz72RR8IEoG ok8q3CR7aEwhM7rVPxpX99G6HKTsdy+wRTSzUnfOoIwNkWdnM3kESukioF8JbP5sBvRE8dvsnhMS WaUBTU6BA/f77AGbWEBweyKjQhyxzLWhmqQRTU77TWRxAehOZUAzqtuJkrGHYINdPGTpI0yq8y1a l2ox2WQyAUz8NhilfIAPUpQ4zX26PxnR15x48Z/LBN9VLhDcgAeuqJ3QVpw/oZrsiQD2AD1lDnCX cgc33bh2VN2RFdmHCaWi6g4WDq8tRVl/UKpMlOzoQAjwb5HygPothH0Hy6j9170yaWskaGLfilaT WaEKXeMGQE/+gXOuJrJPxDzyIUetsEHj757+2xNKbXtGdLZyq+WY8o0sOa/+hgMTlP5AijibtEBc V+Nux2ueguqdSHWTGxhd2w6xVSDKzU+tIHadx3OUhxRAgXYipD3f6gmm6TwSjfOQg6Ny/cSVuaYI sPeaJ2UlzTDikggyUg1XKz/cfhmE6u8cmiz+qzrO2WvLOaUub/XEGBqGFpxiT6xvoMUkOSU9YnSc 2Jg1kmiMDyxiE3YK0/2aJ/IKPp96Ud6cJqB44E7mwUlsNy75HnLZDC2OICNLFmK3f/XEWVPzIAPV 9JkdnvHy7Cqt8K2ePD1hwTLg5Ucr4+gaFRI0Y77TbFBzM64q1OxJDOKsRB69e/rfero9ytFWjz32 rbVWwmKr8xUH9BTKjc/SbSmBTbmvwc28rxp3YUv4LXJ3VHzMs0ZIVbB2l40wR+riC/WxettT5/kt j0lfuJlykBzh9VglMa4b6XyqZGk/uDSSeuXpcv2v2wByN6ijXwSzKC7PzMEhjx/1DmwGCHsM1vQa 0soYWasXKFbcICyAe4wfux7DP22Sdr00QxL6SZpVdKlRbBvI1eLwItEBehLDmr2cxyhz5ywfa2xi 0yQwzNG6eo5msCz8fuu8gFhX21h976/6e3BA4m564k6BD7b/qslfJKRMxDn9APGOMY4ylQ7RL8o+ VXePcz2RdgpqTrpZ/hy5+9JAXw+oonMAS/hjGAoWIUrQAhJhd1+uvyekV+G4LFknToUXqw8dhxCX KKCnXait+OGmvu1zZhQJd0NynNnaRYxilT7pgAyDp4ZZK06cVIcI9h1oudRjmFWpaLkrFQkSqm/M dU1PnJM4XcStmtGzrPS6wU6EAn8ce0M8fOynS6TU8e+LchQvu8Jj/TbLKifponCBRr6oDfycoBSH HQXsAXpKhxlA57SSDN2yJwxmUrlTbUgJdor8ziwV/sh2j5MOdKgiFeEMzHwSmEBHyiKYqQsJ6KlR NanJRC/gJ4YtwedE3sKPgJ4ebpnCNLtv5nQo7n8wTcwFvHv6b08ax2FGUR2w5iLGwJD7JxQ0tehE AUOfRaOgbE2902aaT17zpLMiXJtBlbdFbJphwKlHEKsn4u5lxkJXokN9SLFys87wVk/UfXmFYCQY Kd0wuJHAny87AXuveVo9fonQeiGdHJaW/DRBlbwqrB8fOgj7yzK5sCZHdRoZ5F89WaPH2XFQsDZl MB4u57v6Zr7mSeqJ5wm77SNX6ZMjDZlXsXlXslU3LOmjoxobj3DbIxX9v3rSMFAU7/MXsigfAN25 2RPOf6un/lTds60lP1KLUjPVr0iI8vAMIiU6RdYP3wI6mVOM2GLePf1vPfUkT/jbBpycpBeeuC82 vdAAelIKccj1tTWSoLtubiHLOsYnHtp0kCVaDcrV5MP3p/ISwJcftLcaRUnqDRruMTazHTUqvG86 /uyIpvvF3PzymU1FuZKoWrXFGCnCm2luR2yEYEfRv1hpyyTe9ID2PqAK7cNAYYyuxLPjg7/8qD2o CPCS4yIxqwYwiR07pD3XdKBngdJwHCiZo58QXK46hT47hDmgJ0sQ2W7X2A4kaOf4yOTQ2IIYrZbv mZ9Onx3qVHRNT+RQ4z4Xi2JoYJ9KgGbFdfHLh2qAiZifNO5iSmU3xucyJuh1gDDaEhBJG9ozkNhf 5YwCVcpglgGLdq7I3v8ovQMZoTPAjI62RrNUMgfZa6pzqt+OGzs6wjEVE1ds3K55LM5DBPQ02L8X s4lZAeNVaKGZo12BmVzIb9BN24KdhqzsFC9uRQa+6QzhOJEHkfLRwtiVUdr+ZEQnb6a7W3jcdh5x wnNyrS5SaJR3ExZ7AMrPet2bvRIM8UbaE2nqeFlQENmcvAWKH0FZ+rPaVUyu+OUjdCyzAmAP0NP5 g4y76m42Q9rnj/fI1oPX2aPLM/ALUDT58+Qj5P6J3yjQZ9WNkaRUiVe2GlDcgikBPSXLeNuYoKzJ pLVu3FgPeLMCejrGICFXEV5kKcmrIlROStV59/TfnkCaacq56BBqC6JvFdpaHi+5cBJAEqvAtsui dEqtjAVIXvMEc+ChHr3nHK4B/UNrQ7/uwJP4ogfh80K5DoVUmxeecdNbPWHYMiWFM9wqdMYFGxsV m3UA9l7ztM7+1QVUsLeN6dic+t6TRpwvhTRFpEV79EjYv7Cwam3mXz19Mo7yWwPLrB3iESqU+4pc 85onlCnxYhRd5vifzFCwlodpx4Z4Oba2uhxdgx+zb3TnQjn/1ZPhzZiQ5C/wfhcDWSbah6HNt3qa 3ywUUtbAIG/DQgQe2oLEMXIflC9ckkje3r30Ac13aHj39L/1lExnFLNsEgcETOcKzB9PwwjoSSaf o0diRxGJBMp/wGI0t0MlOPSxYw2bd+t7Izzdy3yfeG7T+a6/eXT4WnNJFOuEt8itPUMH4t4LBOHd ZAzdlYaZQ4P16uQZbxYxRC9EEvmgQNlTJBbPdvy+vQ6Sm2VelYN+XSC7IKvSMt3Phad5ag6ryJIG hZNTL+kBz93FGU/CDyDD6M+KWhGNn++6k8dfiAE9dYY1lZNhBjqTFVX9mhSYzLashJ1FDwkZp4Pk yhFm7+xsh5tio0VFtSWKz5CWQtOG4WuQMxpSGdh+miC9vh0+DeqrVz/Yoh+oCcHwajI7h4zO/dAT iwvRYbQAAtpbvsBcYWHJEMhyca2widkRaGVE3hwRlArbfgiK4PFVn61iKB0M0NOXExU/pF4/DjEy rEVaUS1kLJlUDX6JSDXTSrbkKsIZzL07NbgzpXohrWJ+36o4phoqIqBMjaI9l49khzAXn9xo+25n k240Qkrk00ax2C1eSma9U7q+st1Res+aRqetzrMkufHfhrP67IO41Br7T7kPAvYAPYGY+lDCNpSo EL0IGRwaYycaUkym2OA5sN/BWSt9rLH8xbLiLryZ6QdiFWzh0769SwboidWzIP9HwzBaBi4SjOsX DAFAT3W5ZG6WBO6WLwg73p1mwr3vnv7bE9yMNniYtSQyvOs2Ar8pxLmV7oyydbth14825RkwIcbq 1zxtC38OdVSc2dtXgsDvcsaDc+KnEnd0qDo4OCeMCfq28Putnmwa2A9lF1C1awqodD7QhakB9l7z NCRqoKJGZw/qkxUum+GmwjM+5e/RRUrE6gRasCDBRqD/r57We10W6DXtMPypz11MDXKbX/Oknkmi FKvC01Lxdc96Y3LC05TIpQZllAtMp2pPDDaUseJfPeEO1AsCORjgEYPg5KOehC+/1ZOIF8/HP7O7 BVY3Q7ZjPIqzJxYuGf3J9Cw6BWDBZXypQu+e/reeuPhqdoGlY1frK/DzgJ0UZAE9QYLd+y3b8ZYX eGsVwOUmzd5lwsHUuwYnBS33Ftynm+RMyENU1kqD4yZuXt97nmy3oYpy7y/iemcImQLrNHpUGDzH jXqaMizsm4RKyfvDWC1QtWDaRUZTmhFOlWkz4jLmzjygap6kStG4yY1M8Rxp17P9HOkXi+LdFFBO /eGKsneMuSv/DRdaQu0u0oE96Uhf8q/3TxzOx6XroZ1PeIwEVkm/NK9/c37yyDOGvRyAvtXs7PCt J6lANF5GZRj9uUnACW3y23l5RCEr6Mcyudw2Xd96i2DRLxqiDqM+hBmqDccynlDyCDl8W6a5MtuA C/xlkpS6iRemr5b0ijBge+XalUSTciyp4x+40cPKlBFkarFaqTKWAD2tnYbJ2IFEwrrbdiXMek7B rWBc2hHx9+5Wm2nMjpH4xBKyx/MLZhCJx/nka7XHKcrK6DTZJ8+A8ViEVSauQh6A5FTuS83v57eb QTWYW+WkpN95B9PVkZrEsqenaEpop/JE3OmH/pQfpznllBGEV4chBOwBevq86TDLVuFPu9jnnoQS MhSI2LxBER1rarTjYFKLzku3XQtccaxQIbxV2sC3I7QyqwvoKVXudl0j9Psn+ZKcMl83VHJAT7ae trkRA2jB8SsoRlEbvxLePf23p7OuBKSW7F3M0w2eYvPWYiGSSx6mCYZib/xf6XG3aMW3r3lCuB+K JR1yVkk2LfkEoV6Vjmd7v4IzPT1A4vqFagZWe+CtnizwVW58Sfb7y6hdCJOab/wAe695IuxSzLgi vqkl7MOfRbqcv+ZeVE00MCTiohBOrqu1kPT9V086gUs/v+5jmPeQWX1KY8xkfc3Tt/SAh26uuYZz pHJGxmU0C9Otuus21H2UyHrWuk01l51/9YTDUKKpQxRM2GONFIDPU7byVk8cv2B9k7ov5ipCRV0n X77PMltjUsvTFHlnxKf7cG+EW717+r/19IBNVpBIhD5WPQF/5x5uLiKCj8BiNY9NLXqEMHZ1gQ8O 6KlZdTOPc2Sk3dwwqHeCfF+FXrZgrWqi7bgKxAsbemNJer3Gq5RYJDk7e8jXvf/PMkSOebv6UL2B xn5g1h0R91VNloOjBUvTH1zHYOGQrw9nsgduc7YpdcH1chqRwvh3YC8r0llwvQSBoaFnlQkmu4dU C2SDMUHXurQYf2Tx+cmfUwyn1mvo79nrzEcs2g4sWwIAPZ2wX8wnbtUTQ5dqI1aSe7turCjSwWvW Ibb5ry+2CY0Nr5QFtqew3J2gIaUaRXGDeiTIbw4tJWjFoGueVMDaWesGCUwPaLQTmqLGc3yQsGVE AlcWij/Arv4FD6PvCRWP9VI3lSQp7D4K62M9HjdWZPs58vwF+iy1L0Tv+9TCgSnpDqCn2Fuj2I4L LT0U7+voyU0jpKbQVezxneLpU02wovw/P3Poxho4twjCj+I5VqMxsC2/ts8twOJd+2LDiYLasflH 2bOh7JCJ7GM5rVi2XIvjlcfkoz7GduaupP+mo1vvLfFx60/IKPD5gyZsGge51P5lYBywB+hJZnto JB2fhkIWqhgf/SJCXyeptfe4mqb0MM2GWi8qqL+vB/WqgqjBqrIzV4lqjOzrX+99UfUnwIr3UBsV 9apoJ+CeAT01o1lThT0rt30pZ6/EgYsBfvf0355qbBu8hUgONpUwwug/gmbSZYv3Y2r7MejOC33s 86G/+PGaJ1YMLDAnGfCaaT4GIWpUiw8aSLCfUlLK708Pp86f2VE83uqpaQG/T9NbWEZ55ftMF8W5 IWDvNU/DR2N1CrWtUxmjjU/+CNFUrnckj1sPqrazqykmqdcZaP/qiWFmdDVi6HBCRtdwKyDiKeI1 T8osRLxGvz8jeVgbUlmkzCcE1uAvICgTDCVMiMO9fJUg/VdPVkL0AxyI+BzBll8u9kn3q1/z9Nzz Yb/GKMx14ZNiBo+bpwmTaN4okF2ljIubEDEdnqOVYoK6N+K5NhdiIRAf/Usn67un/60n1wtpIaOb GDnQ8ly/Kykd0788taU4iiKzNKrcCARpJrgywVjO7sCNKwgFqoMyqg5CECHqHt3FEv/xMdL4yLuJ aT+aUk+ucE54we7eQldLFWa1/LhrvL+hkZOB+EdDj7TB9qLEBw42aSswsFPT8hT227HyhWE3xYDx H+KhfaN5WW8eRx4Jf42QNmf+SjXpL1D5uXI3eJSseBjiqwsQlcUXjZZGgJ70j0IWPFbl4XFCninw g9A8/hQNLYaZ73538hxj3pSdKFjMY+wKGOrlkiRJQ+WN1PiTF1Ns6q+Uc58yl0Mx/czEEz8KYe3o it+yPGkwWjNePfewkZ5YOHsskLsgY8c3FCxgPgYBxRFxRHC/jbfTtKiYdrljSoYWT8ueVonzXOmO KvnXPWodj23VqyX+TDh0wj+T3Ec7Pvy6C7KS2MA66fpfGkWMZ2ZMCsYzkXpPNyi/Q1gyeILoRNFL ADHZ9pP5W8iYzmyRWXkWmclgTZ/xP33JaT9HccIttpPr3OLGPORdaKmXkh2cwJeeUN4IeD7C5rbF PR8A7AF6Uv8KfIrj26P2E55HVzzSBoFcmJ8jCb4/YpBCgDx+ygUXg6082owgUIykeO+o6izrr/+D nZZSO1aHMXbBZ8F7mAPtJgE9qXtXtT47TCotVckd6/SCiL97+m9P3TVze5PxIwmCOIhfbQQtFrno fz4SdUGoLxPy2SpZDAW+5mlEMRibUdZrpoAcoocPGR/sU32hx2pyXDHW96p06kKiN3tKQzSV+I6p eJjyXCrLW6iSCth7zVNVupO6LHTtxA824V7jFkEkxEK3Ry+CCcOpeHw5vLk8h3/1VCNi4fKrW/qU WBJajjrmUvQ1Tw3LauQr9RTT02hHqyxxNJ+83IjaohRMu7+iiKNmag1e/6un6YjqNFDEqZLtYovw YJgOwrd6WheULrmd/3G2tuWuCLZ4WXL6EIpDBQkhx4Fulz8QCVz+7ul/68k9gQ500le/xmLtt+a6 U9kfQE9+rk6fTqpEpFgQITZBiXmGzX9kTvWVjnfHZbomKuCL2WR/E7WbABmP0tDELu1LnPQRHRQF mZY8dEc2/jrcZJYtgstYwyLnUMiG2MZa6baJE2s0mYsgL5+yvasTQGVJHCwqoERE+GFFo5tSdsc2 9eNNCoEoUHYZSVi9VCdKJjZ+lXb6+h1yUcEHMPCxsskRN9+/9vUM5rSX5C7F7VCf6T91hTqMWmDA wFDNSBYXu29REY7CKzB1ZCDSYt3cRJPHeYSF/eLbVg/krfKU/BBQaUthB7GtkAY/Gf3xQY7s9myM LHTxY+Jz1xdscSbM01QQ31SRGc7bICClHTdg5j4YZrpKqFCCLb4Qze67cLg6WTCGMMku41VATwel yOio6fDB5r71UO4paQsIt+fZMNzyjfgGjJ4lS+Qcj9XYBAvscoc26qmO7K1b+eeqS9a5EPt+Z7BO YI/PBRhHvgbJLJKUu9bBRD0YhAxFFKRBrZ1ePkMfe12cYg13fwqK86vULsAkU/OR/zwfFBkB7AF6 ehGIhGx+dFKDtybF+226E/irs44tsoHbPAtY6vDFaS7od5jmfIhir2wIqrgNEIHYT0BPOPoSAbSl 0OAss2R62MepsICeWj+OQU7SDzQOozyP+qxMELx7+m9PGCUOJtzBsrNdifcO1xQaMhyg86ZHQybb jUKDQ0IyLwqveWKmdFvLDWCS6FNrwVPq1hCe3CndDyzJ7zLdqvgxHW16+1ZPEok+WfBlUcxgFKia 6tguMIC91zxRRn6GWWasbPrjzrnI9LPx6XQnC5bfUwpUfEFXeUZiz/lfPf1wU7m8tfNvGtx3wlHS t2x4zVPrcOXw8J9+W/F2oHovjA36tbbUHj3L4qCRYSCLn8SEBf/q6YR8gsszwh7ZVmMn2v7lif6t ngoRw2p7We9uRJ1OjU+RN4v3pN1TjhNZ7Q3wJi4Sf89uvHv633pSG8u8GN8SbjRtZeuGEMH/6/sJ nMdQogkvpWjwRQyT5yciR+apHIlMumJk1tYzN5PYYjqtLZdvfHfUNYpNTxb5RbzCw47iJ/eoNpfn opA/FhEuuKVZZfuHUYYLD2ROE03Uv/7A8WF2oiGciq3mc4WnFbf0K8sLAfFIg9VeiW4FUqBb3DKf ochLumNvf86Jl6gWpKnV3zGBac3Py2RG5b4qHaKMBvREJUifNkLYvZFbaG3w5+pMvc8ON4bvoU6b FILkA173XE7L+bXOasEuSnfKJtN9OLqIJBAl+qF0CNp3Ww3Z9HxizI2r0xp6iJY7nsV0uKZVbVor Ps56NDaKIq4+Fi05nnqkjaHLLqkE8ExfyzUBbsfEag3sZRICm+QzORygb6Dyfn/dK/ttaGJlrutQ RzDdRMBJv18RQFpkicu5/7UHE1RfPWlIGM1YrW+E91bIw0gNgiPaeSLU/H7YIY5EUDNoq5p4pZPK FE2lf/xzuy1+ZkiBC1hCf4VFfTIMe8XvXw3uNcNHQ0U3LLgLh3EFC9Qk/k1MVn/OAHuAnroofrMN /IzRsPDY53swy1h2SQgk0Pfc73IetzpsBaFE0mtzqsOg5iWG/EB8MOfCFwzoqfRRqOoPJsX0ZqJ4 iO7Cj7/uKZ7368n9Wj5YxQRW7/z4DGH67um/PfXiek1thG26Nx0f24eoKwSznBMyfsrKkf8OZ/9d maXxr/kWQE87mTTRQ6g5evVukUWo7P1RvpVE6HF43jP7QvjEupix0G/1RPwhdDb6l7Ji/tHEZyYK bnrA3mueNpRJNVpmhFaAQBU0xMToLG+etJ2MSIL8yJVtFQ7lsCr+1ROeQkQTmbkQyIM8xjcURniG 1zzJw+MZCndMMIys55cu9K+sICZFuEdtIOl+kybuFTgTZP1XT2a/822wtBoLMmz3x3agLPve6okj Drb/Kg1TjT/l8jeLbcwoJDCj7Xrd5K5ChbjSglcP5run/1tP37i/oIFHibGY1OXhULZSiIvggf9J SHLRJofUTLPSXw8H9IRFI5Zt1sbviL8m2laBtRcmYVa9q+2RIkWQkCoQSkpqE+l8gGbkG6hcp1B9 087xM9/zpX2d8qb/6umXOimn//1lH+Sv54ntaQGUqJMn5n2p+RRiv0xpWF4JkTmUOkkGzHyG9boi s2CUbOBB7tZhd/OtEQeKuWdSZ4sTEDq0O6rmBtRYY+UAq5IcsJdAhasT6r++nxQ7uWUNI0x4ZYPh deu1UAfO1H+w7NXaO97ds+qs32KuTg1L8EEJnHvJSn4pH0Q4p/uqF3I/XhNvH9tZhCKVDRzwfMUT zPjtR9Y32Bn4L0fCS1/d0zlxpi6Cnpez00hHWXCh5WsDrZU90sZMEj4T1FBbI8QBIxDXFxFEcjhb O+hMyAJ64k2D+JjzVKWnul3HH2PhtVk6+pmnJ2UUdmNYxe/joM1CNu0e8y9zWvtPxTbBZTumbi+F c02+FBcnqsnXsyH+pwP3qFB5rtIUQE50VWKrNusf9BPssswnYdu4+fXFQ853NeZh7bAYZ7esfm+X nCRkzrAA9gA9IaGm1syI3mIVelKsv7CX4OnprGtvDwV2rimCl5y5KbvJy4+Gb4pdd4N9yxkxrC2Q BPSEyfwcauBWGHG24Y4u59q19dd7Xy6NMO52p/QzZCjgPAxB8ndP/+3pU24R7vroUQEnZBakM909 +M94ya3PT8k2lTy+Vok8leWveaI6AWeofwD7WS13A2GBgY9WDRY6lqCJwU6yvQ7uWOP7862eXMt4 CqPqU+n7GGfzSO6RQwB7r3madEW+jbNX8xOcVsJPMpD3ibjd2qMWRZg0KoZjK/5zW/6vnhCcwaqT qeYFaQrJq4B+kXG+5on+pcbasSGW4aN9mOwFtjyajHpChuKVj8VVqSY/W52t7b96ir9lAi9eRdnL j7YlnDmIcHvNE8mhgb3r5cyfXT71Qy4qu4+F2H3R38+Sb7lu6umMsI/k+HD0I/qvmBBdU6SUdTp/ sr57+t96QsuKmnTh0w+yInyg+FGiLQjoSaBXzcSrw5Ok0CUdJJfvKhjhix3BdYFq9f/D3l32xPn1 j7vG3R2KFC3F3Z0CxbV4cXd3d3d3d/fiFHeKu7sWd/jvx9PkJqS/b/aTvoEzk8nxmZnMWtda+3YL Vnro96FxdFRSDAlul4/8CuLAhDLAdMspH8/vD3Bpc+EVmagqqW2llVWXHA2pTRsR+wnFYZl2FiIZ ZcxU5PqCGuJShRzAvMFNUZbPqgtaII7uWscuz5SJoLt4yhQztY8eN4PO4qPEUvM9GLv0nw/Hgmd7 DY0pAT35gnGqSIRPq8QYS3pc98RqPnE/JgvdFscjpnfagDwbiW2pYThJpPfmLJKIHUFwJpd/RkuB GDEkZSeEWk+v3u98OCHcPzaAxUmW++1QIvXhQuWQ242FIzKIZAUKwlpvxVovQMex7tvnIV56qw4E xvWD3ECDbjr91Ga0fTRIlBGKtAFAT/LG9rixscenlFziB37XCczaXQpuTuh6tcgRKEJuuMxacTNE A2yrxCC9JX60gq0akVXBoRmHbTIGDZWwfEnXWepcjEfMPQ++db5iFBpQT8f1F4pgSkpp9APsAdwd HyMxw610GZM18lIm/M+dP7zWiZYC9gA9rVZHEMkd6p/ARQ33AzeOJXkpj86L2X+07diInzIJUVxp IYo8EyRR9mms72KBLFwBAvSEccE8U2vW8OLJK+du++PkA6AnhsjCpviDlKoxcTldfe3x2X+e/nn6 v/Tk/z1TYZntjOAW5wj4QTUe5S1P305hBB6b2lD9NOpXJyEz9ZBA2/PT7JxP261VkEOm+HT+1hOS +veViBmqtjrcLJdKfqDu93o6pxwHr5mFiLsn/KagGTcyG2ty4kFUvjPJ6XjKlll8zPleT24WDwUQ 14SgQARP+mv8bDFfzgTKLP0lzxPSpwUWuLr/eB4B0FN5W9qz+EtolG8+M7Rw7gdVb/stD60ffFGQ d5UgqX3ftd/rSU39rl68FZFdYciPVbonggWw95anlC2nbuPMiM5Zzd2oI5QzTUwMrDGJ4aebQd9t ctowItp/nv5bT8H8aOTly/QXDVhAZP4RV5xBVEnKiQgexVhZk/mCzQKngJ4CJK77BqDlmzmXOJHY eoSCsi0nswnApacWZL9NfIJQRS1TZ8vFOG/TmsuB/I69XpHe7o1GXXGRHgZkV8T7YY0bradoiGzS VZGa59RcgLxCw6eoC70j0RQX+AzL+SZ440U4nwEMeAq0qXk8sZLhy9O4GWAP0NNtHhlO5iqLIf2M ISdjitouTn6e3TeaPjiIZpQrrE+eJ4+SOQ0GJUfU9qnL8NQ2Y6+Anr5m3T99UFRTqVjropkqGv1j PRikM83WkCVgYdpm4LINytZhRonzsAStiq5TO7KxjlQfFdDTecipzkfEgaTZVdfaMI51LEU66gOL BLg2ICV8QRLwr/i+Him9ZWabUDwXI9K5NByGrdmqlEXDuwKs5rXR0oh7cluFFnwSh5F8dR7JQskK wL5oY/cmjJMatDiiQ3oMxmbVOvfbRduCv+Zr5Wz3XuhdN9QdPM/OX/nwauP92JWOk072NDqpDsdO fbvWpc+fFjRNAD35d37m19r8FKs2K6Fvl8zDOwqXaMqdZjKE3EQR9DXcNmZcqpne8esETMeBzIKS ktfOwQNzgZ9Ylm9gpwmVlnvR9DzFpAkd3ivDUc/w+Fnx6QpW6fl81lbZj/bicSmHSPnZf57e50mt hlgaL7Xl+1ed6aMlGdP1tzwJwS18cJ4ueDXvJD3VNmExILQDt5cSj+ZfwzmEbL/McPxbT8vmLi0j Q5awZk/z56awJ4Hv9ZQ0rIRjU9wfNjzfRoupuMskhKE7RpdN3WDQyMxv0sh0/l5PNHOlnxmrWwVg cHKxMcdcjIa2hdSIZj2SkfmQuGshO/447xDQU1fOOJRVRn17OJ89weA5N5D6gJVupyZy3zfM23lt j0fI93r6YAnd54nJyiOTTNhDC+LAC9h7y9O8pLGAyqNSG4y4uzQ5X9hgdNnwCxCw1EnHpeDUHdPk 53+e/ltPdXW50Be/Dw0+Pn8AW3i+sc5+yH80HI+hRgEdHvJx52EC9BQVXvnh2xRel4ZF4MKAT3Cd dEr1l638V/pLTidsVB4UjlOonpjF61bFjHiHhrD8s9tito5rSNupBxcym63edkU8ZlHNSftNfLRT 4dLdEts0Hr7iIJMmJDMQbVDKDUNbx/lvc0yWA9cby11sc5nlzQ5tgD1AT+WyVtzKHzYRNb+Brj9O QQT4g0iS643b9PwwwhO+ddiIxm8UtmFSg0CTFzAVY4A3+2P9DlEikphMcV0vsKIqAIPyfATQk2zC mQMIEjf/oBHdgI8FHe73GG/paNzzJ9TLCbkA4/oYQE9br4duWV6b7tvwAjahvzeSwfUCYPpM42Kw mKah8rbmV5uVqbB41vWq4oU7gMCVHmGeMQupHuy9k9r65KkJuBftfIC1f2in7ooa2hGGegSpQJjF 0qFUS3mAXuPA68LCA/3Stij4fLZ94mYs7JsrFivzmhpKPtl1/zNEAKaBSBaEJzE1JP+u/ky1WXHZ nVrNZVoE0BP3anjeBfUko4yd5P5lOCOSI7ZJegKuEvp6aNhHOsqEzFpuMJTtWGeNAqQBppZZ9urP hHF31pCxlqwOQ3HfF09A5oivZvNwfnv7qLX5Mx93ETzGFC8IWKjNHCCgK+lFr8v+8/Q+T0iJY1fc i7Tf1r806eVt6je85elbwq/Typv51IRljznXEwhFPwPxItjlx/IdfMVcpCQytr/11GTlUcm+rLl9 YzJOeppUw/teT6ntnuALpRYJuxp6RMzsICIde5yNeBGw4aUqZWyJVXgy7/X0aCJJw2MoviXR4REj Ua2rVIn+GgzOOwYyG/PcrPthLvEtTzQRcHaUr9GPQ48ki7ZFLwSn41WiGSDNNSGyMjzCz0wy7/UU jq+PcluMP+Ly2tjhQEUwBNh7y9NhLfrd+NEueSkyEC2oG/ysO9JaDMOzaauEcJcEEKsi9j9P/7ee zkY0X5MswePXlQYuPD2lBzvqDyQPEkSrerHr4t0GjsE+a5IPAHPEmxs38A4M6adDAb7+vWfm/eC4 w2MP0QN/OvzZc+siku/ejVCiH3DyS6UD1K7nY1DBCepfHJnUKvKsY5R2fWRNatlx9RUr6zaQ5uXX 0bAj65YRz1zzqbMKJMOoQebDknBMgEIkl4hO3RMl/WapcW7qUeSNo2jBokD9SmfnkNsnMIfiTiNi 7H/4VabDtxotf8sHGy3HM9VhAtoJ3LfB5f7UK1nTWyRTJCAx+fi9qK9FfyPnTIY+hxSHnk/y8kts QQ9bbq02sprDwzyhIwKdRouFRcWLLNEqpFD5lqm7ve4jXnQr1vGMY9lVTGShSc3aR9BDn6mJTDi7 Y/vZ1XEjXp8D0Ch1HiaY0CD4AbrteGjFnzp93lVaOfHRNBlXE1S+EosfniwYnyGrX2QyTj86WNb1 qiFQZRMXGylv+zRce27mzLc9FR1CYGSZ55Q2DX+ZYwMlYvIraJpI+XITBcM8Qws4rwTWx/v+4ewM RQtoCB7ZVZWbJPb5qtaExMh2rx0KxscGSWYMtEn7Ipafo9Y5Wws+4quDinBBLX05RS5RWymcU4ZG bXVYmHoVR92EfBluGF+tE4mtxssUHLooOeZr9wOrJj5FAIU5ZZos6KB5RbxCDb4E7AHOKyx9Mblm Yp8pAtzOWZRdFadZhbZ4Mktapgj+D/FfTmt7QDCw+XBlQ5PFkzVAD0jfAwHntbVTnOMjddSY/nqx YS7vJTjgvG6BMX2ydR3AXjW2YQ+E/75ST6Imyd4tntHKlfZRBw5zFHBelSmlQeBxfFZK2fo0Sgj2 f1fnDKCJ7kwLFcJUeap1a0sGHyj8PIY5y9j++SsVujiUYudFR9Xmiq5LbWYST9csc31nZ+ZD28cA /x9Zpr+6XmrYEA/0UXoOFawtko1VsvyswWIJqqKpCCFykscYzUR6EYRyvFURij2izfIQf4GgLJ01 /TwEVnoEH5TUj6u+qmDNeAWc17gMjetUwXmMr4HZ0r4JXTPao5b9n85s4Etw+y5/jvU720Tsf4cy k3Wn/hjVig312dt5j6Dt7uqD/GX9GcIuVQaxQJyg19ycnEj1T7awTFHPnEuyBc60QJjhPYsel4J1 bNp/nv55+htP5vTQg4Fd1odkxeCDF49u3IC+ZpeawpYkPDhWyPLIQMr4CavSiIcTa3H0Uk497TGi vXbf8rU15V4JI5f0ydWvm5TJ8usI3Yub+lV+hObhHSd43Yfo0vf6ii4jdB8E8jo62yFm7j8rsgXs veXrEHkYRpHh54RWJEZirJ4B1mR2Co1KmjFkOyQJXWd5Iet7fTWaIpsQ5hgaHrfO1nOI9kPVbTli O6H2P+LK7/b0xE6Kv+UrLFFXHipdW39CuAQcddKrubmHJiBwYyc0Z4UC114Q3OFvfdGq8JHgJ3tB cjVFNTo0Mpy911dZEQko43TUjesgKmtZCz2FhD5wD7lVQHXLD9BGjXSerPd+Xv3z9D5PSSVgdL1w OtPndWijykLVzoCeDLd0/LYWX05Lv7+2u4lGIk0qUy/hsZfumVW7knIVWZqF0IvLdbJxWrWKO3+d l3GHakB3sWRiSjH2SuwIsWO+acayoijMhJ70oAUW5S0PdyYN8bl1+Mrj/f13syr39886WC/Tzjf6 hV/8LZTy181/FTF/lnee3GYZkjjOV0S+Gtg9HLH12FQNTHfx+IGn5C2N8x3QU5SqjHqdKKx6tbmp /xHXGcpZ8uJso7j24yReBwIjhpJFuGEtWvXzSpm32EhWDnvx+Hk09mjGgxoUhTmqVePBuHvHZqG+ EZjIkOo+HZchN3b9ykEuiyenjjZiZMRgNu04Cm78s6HytIMzvT/0WtD1qHTXSs4LEzYV/AvkY4NI vJUjoKcpZt1EyYSQSoeNRocrNnjB/qYyeoECPaWwD7nfo2W3uTqKRAq24MkZxi4LBDYMtoyjUvWd VRnFBWqVgGEzC1rxQ9UUujNgKm+/XX15Nbjyk/CDEmg7mrye6fcbRbyGFadQEfc8+npcwIe2z82z CytnA9gD9ATq/PX5x8XCWr6UmLv6b4NvlBRI9TW/ks+1hj8H5mA4uzEqzMZCO8XqGKzglyiYiNEA evIA9UGAcd+q9Wk/rK5OFHUG9ISoalL7ibxRjNfXicxIBwHnn6f/7QllRqu/lZnDEzzoMyOxyCDf kRkRDIEYQg/qM59afIDk+FueVuy6nUaErUYIFCZAh5hE5F8RgMHnihtn0StpXDgu0xre68nr12T9 h0OPkNqZ84dL0sU+wN5bnig8c/RxFjLjJOilPooikq5H047upsAH7nxz7d8/3Nhz/FtPs5Yhh/If DshqdZU7zcnvCt/ylN0wqB0FapSREK1e5WqHgooopmE4Tdnn0Y1N/hXFDhPsbz0hJzLCumuLv8zZ 7DxmQWx+e6+nstZ4yKWPg6eYT3apvb+ANIWaDllriVeyiwx8c0lCJAT+efpvPRmFFt/xw7hqhMZG 4X+jxx8H9MSGGPqDkFnutomaTalH9mMviKzV2FcdjrK+CB80O+qNrdPLL1OZP5P3Xs53NtXVToMO gpwygxhF8HdSZutInLoZhsvXNIr41KCCgRFyviDnWM5EFPM3401Lw3PaUEvGMCqPdvTqhrQptCsb lXWZ40D9cDOZS7cLLH49PdeNtvTbkn16GZAoar9npu1Flr0gmo4H9ET2pQLya//pXt3L3RgtR/XB Uw8Uwf1zfi4iy7KDclRWCCPutMT0+vjmTwu0AhnPDFxyXNxb306juJ8sW4d4vtDIAnTFkvNHHEQi eCNkgWraQ1+PcN05C3yvm+lXXyhWmVHOq+BdB5rFaGENuY8GEq+FApJhPiN7r9/CPs8/UIpNcgF6 UpbIc/6h/VMt3s19NeZrPim9FOM9foa6KnI7jDiqXz8v811+uaXClv1nuiGT0uTDp5R41bEcrbCL bmJaHJ+LoizrPZN8VSAiLZ0MaOhNrjE5Nci23R5n0ELwPM3g3+IkyT7gMUTzGsZuA0YjrmoOh+eA PUBPVrNE+nbfKsYtx2afAwonflLT0ouBkQUd/gRx2oS9VQbujoQ+2rXvaHD7SnxwL6PkBOgJtpTR fEzJwOgHFaXJccrU5B/79Y+GUKf4Rlt/reqERmgUg/3z9L89PZbqijxXYShHU208K3tl951EqZM/ 0PvXDmoh1OJgab6+5QlRKtaVVVTeTzKrqd7sdwdRXxrcR8E5x0RbCQsM6EqJ5Pd6KlkBfxGR1goL VXkVhGX7tA7Ye8uThgCI6pMWVjBdWhTPgoaKzbHC0uPWJ5WV0XGsjIkFk8i/9fRNNxOvsMGBBkj9 RU6+XPmP80cAPc1IuPS1iLbWUk10tOZ1w+Q5SnpeJzLljkD5VLgd9wQb/K0n0uowrG+Itrm1MhBZ kokwSe/1VHdP7ut//Yyfw/hV6n4++fp+J6SFYHE4Rqdt08CIQkT4n6f/1lMvBnL9aktNuda2aqB8 j4gMoCduWsXMu7bGbtatxd/tP3qBD2sqx3t19jmWLGoFg3535/PvVp9oY5NsftLVGd96NibM53bO 18sC0QOFdg4gRhQgukVN3Q5YX+3uY9gE9Sk4hL4PzSdDE8TLyq5XKoIl1s23w4o3NpIva3SJZmQm F6VVYt6mozzgeIoTpYm0aqJiHAvjIStb8tdszfp5h62zMFkA6Gk6uhje7zjaMts604l7OY0L+DD4 TH33QQps7JyKZNo0vuOSfzzNRXWgCaUGYbbHimDRkNbD/meg3RGR6MGK3mVlymBua+Vq9FqiaLfW zDReu3NzA3M98A93uV60e/DE+EXdya+dCbHKEGzgDOj1IjGB3+m3tHlEhdhBGJw7mDYR+wE9hX0V S1zUJDy3X+f3lx5zkliw9WNq7O3fEJdrkb1uHaPZ8vMrGPuk3MSn5uIrrHZJ/hUi6MOYP+NBW+mo wvreRbRx4DiiJ37JxFPI3iX7VcXrbQbcD/c0SqoGZInEyxhpHuxZ3lducHLSOXe7+YjYlXPAHqAn VGh6GTCXadB+wVBkDrEdFy9Eg5ss6ZD9G/sSd0lp9n1KjG9hUKKmGE1VN/XlmshmgJ4g/BGJcmz7 srPzuLHk5z/+sZ/jXFiSCSGFdpYDhoh8CjQJ8p+n/+3ppM2abwh0xz0qmAR3FzYmTwfff1ynjalz RpfDl4NXbf4tTxxAF/YjmfhcKxQMIRTRqhq8HnWKnlemj16p/DfsQBUe7/Uky3gk18rlpWt/XX8j 6QP7Ath7yxNlQ5bHk6y/GJGvq59jPo00Zpzph2z09QAtLfk+c3HlP84fea8nl1n42EvBIPRKfWG1 QbaPf/yfCegJy2hLylTirNqYwMlRsJQgyN3kC2dwApRYQgGkAd4Rt/rfekJCrGJ9iUx14pafrvLT gdd/ryeoDhzsqu95wSd9QDj3EVNMQLOC7GnnQU/wu7Weyhqhi/88/beeCgws+fC1YrmY6nFiRfl1 +wA9RaxmjZAb94c39C23+oU2Y0pWC0s8AGcJR1QCu+apDMbsRxz9QHeo+719GoHG710WiBCEIYZf 963XYJrF3VavsOcQsrwBm7ANst1SC6lOyHHEHyZY2zoGA7kME8sKrgd4xbxOwnbKd5qCnBJKlhMi ubLdxKlmKiNlTrzWJ795SD2mbJ92LyqMKBvJ0JkbtzWbHtDTlddJmAEuFeRXSWLkKxoeLpmXpanL oq2jR/gPsRLYjohT0jOQq8WmgcZV3SgqylcuL0XmiS5t4ZD0LkT1ujx7/Set+SdFJSO1SaekBfTL UMsCDVAwdaVpKGm7Qb6mSFWfCUd7L3LXdrdWeQLzc5qyZB8/x2twAbc5Y0w6wBWhT0cAetrcy2k2 gQ3ItT7zW/WDFyQdHBCZTzfJmIilp/xFPXDjOcay2fIatpHaouAcGn8VbDpvU+9p+rwKYtBcFGnZ SQDGjYonAay0oGbJbRuDndK3WXQytYPparImz/pVYrXWgZWqdbO4oh9moOPm8FtjwCklYA/QUwvD waYDNcUiD616S08cTCx2Nn5w2AtSwXQe8lpddUPf6eeNkafJG1ftTmhoxu7BP+5DTd/BBgcWGUEd LvGuqcA/BAH0NIk6KsPzpAWMSUc4QAFx9OOfp//tqSjP76MncnhlN1pCw3pi+v/3Pn02l1DZ0Co5 5yuaTNa+esuT+xrj8OyUva1I9zKc5dEBN6w9Hh5G47c9UTYS171boN73egrv5BwjumdpbRYplZkq accE7L3lSVOk4EI+h1PhQ6r0j+VkK6jvwLC9mTY9ELXs57bAKhEvf+tpJsAfK33H2hQCV7tJEmH4 4C1PWa+L6CNqbh8U+kV1m5WQQxzlFtfaNmYNmdgWTkmZSvD/1hNNT94eUY+UHJQ36+Lygnz8ez01 LSjWM+mxk5tEjQWB7TRdc5w2RAytSatmlwtj2ztBlP/z9H/riYB3W/tqScW1kjNoOLnDvzu4vJnO peqHyZrmUqtBgY0SoKcW78TF8x/44G1CE6X0uq4VfWwJrPaRZ9EKjR2W4O0p1gPZqG4f8eTmlFyp sFteZcXDGVw4mxTK6aJ/hJZxgH59pcv32ja8Kbgb19YrMs+w8eSIDhFGl2rrOPGkEmCLMVAWC/lJ HyglJMVF9MpvJdEytAE7KrmUDqs/RHq1gBXmhyoeKCb+1Vrq5WHaE8jh5CEA0NO2U6vQiwEwROae hIiq0KgoAlYTvuB96w7WWQIJ3OKgbareZXiEeS6eIVrLACEtrYJ/sDmvpgHEt48YZuXki0G22Mtk 59hJ7mXUMzeOIFX4KeXxpdhlM5jRjZlHhMrV1NaTYFAqRrWbjxYrcX0xMg7ROUNbyQbI7bE8QzBq 2WO5f5x/u90cNgepxycpqz3OwdyhDy1PXKJv/ylByiCs6BusSStKI50m869RyDXSzFLkRQuz1P1t pF9UV2q+X9qGf68oOf6C9nS9JRiD6ew6+AL8yCJrNFrOv/8TyDKeZ6JNwW3KutGmw2NZZZRX5faG lyrfig0IsAfoaSRL08vmGVW4Hxvqi64A30Qp+YDEN33aRGvV6aTH75CT4d6BWd/KSVr66zq3S9eF RwA9UYOMlJj4U3Tb5KYht7bNMwN6wki6AiZ6yZe3UlKlxncxTPjn6X974gS25RTSO57DIe4JB+f2 5jHyuoSlKmBYamcy8loMHmd8y5PzdB4Tt7Jof53jru/0SUldKT1+0NBgmnkwFgk/H540/Hs9oR/f D5NA9VJmRCYyV9l/fQTsveUJqsORfgprZeWpTwPsaFwzsnVo5d5YGi6LF9zd0Zl3ZutvPdFmhEVG 23ZNaHwOgc7BPyh6y9P3Fw+YGdtJ59MrTmwUvD7cdloWg9TUl49Z2T33n/NtaP/WkyYJUEUOSQ+j w2CHgSo4usVbnsi1MepKwH/56+a2Ly0zG80Va6fstQRYustCOS2/1FKm5yjXR0WCEQkiGvrDNa61 9v7z9N96upE99/h9kNSN89QbFcnvcwToaYx3MRCM/pN8HU0i/mSAMDF5rT/6RBeVbpkb8N2hxgPc 1qQrKTDaVZrShmC61wCdZ2lKSUMu28G2uN+IC5dx8xpLcLqMSKSfw9X2TXXZdsSs3WC8uk6i4lft JpjWMC2XG46aNsXtqzQz8RP9mk8VGHvlsoRgsBEMmPl87fdGni5lH/DLzF5lcfmlYJRBp70DEgE9 TbiDgLqxwBRzo0cg6K1KVsx032PW5EcloqpYRqjYF+cPbDfsRA/3ml515WmpOg1aZp6K3cnGZX6v fKLtvT1BvTgE8xm59Nruhh7L+sWaJDNIwkp5mpUIBoShVVr/ccssr+FLT4OU/ElwJoyRxGW8l7NY K/Z65QdeaMVbtYXIi8ELQE8r8TCgy+OdYHdzlc9PKI+SThzBxNv0CK+XvdU8MTKM7VK20L2DjFCM y8Zg0aZDcSaxnx5ViGk2WJb4GDGc/C7zHb/3LTpGC7jsGQGt6oAR/OgsZamL61afTPMwsTSKd4Iw /nGQyI/owWCtHRvWNnJKBtgD9GTPphkOuvIT1tq7j8mmbQ9hfven+pYZ5NZPPKTa2ktjWZq8dhFm PBIMG8iRx6Js0z/OR7JUD/ntG+Hxqj2/S3Tf9CQA6MkubYwr0+jB4DzrMlUlK07zn6f/7Uku+jPX aQC+/FLm2LVNBVh9ozP7T+AhAc6gIUwz1n6X47c88R8QApM2wiX5lsm7Xi5dZlPsC+m3oUpaH5Ml HYrvyKS+19N67gw9k2oUeRyJpRvRXWkVYO8tT4+Le8bTurn7PeE6zoQ6a0qd2PI7YHIiXzF06ao3 YUDu/taThr/zYRHyDKudM5lB1YwV/1ueMGWlMEjcTr1LL629XV89lEmjpp+7GTy8oaauKoYwwbD+ 1hNbEFD+tqi0+Kzb6hGKFm7xez3RExd/4bsrLI1tVhQoYAqOi8+dQrftJoX0ZedEGyuioP/n6b/1 tDe4BpExFNriArL9KHaijgboqahWSPpQ0FbuACYS2OmLeMVD1LLHc8brfYDCFxtD8R7pplyqS+lE PWXciQ7ToEO25X7QBX+KoGQETiRD19GST/m0g7gIsXvmhvA3BdEy3VJrn4YzWMNEZ6HIxkTXfjE4 pRGP1487P+cv2Zole46NA5NPYoX7cTYbxNtONrrI5fqd8LPUHDIhLHyXDpujeXKRNQf0hE6Ql25c gp3oIy+Aphz4eKY1eQKc7EWBniAemRM2vKNwjTWeg1zuALRnBRNsLwJ5hjGtTt164xg6yfKITF6w 5lNYT9BOuLL5u3xcOXFLZu4h5UFgTOBsXPE8NFLsqqb+yL4Rd/4ajPleyLayxDtn5rh37+MlRRkz Liw+Fx7I5ToaoCco1e49Xia0LA211JShlVB/N8kkvRQbR9hL6ZPURZrTJrEUKi1xYUKzoG2PBnf2 G3WMEQs7VaXf+tcOtC+fWq9j3DAUnWUWN5Mp9X43gJkIECy6l/7s42Px8qUl/VI+eCyw2L6TzAP8 oSAyOK1Gf3UpFbAH6MntsD2ib71JFTZaiWSqz4Z4k/treE45k7IbWtZL6p2r1k+yxRkbuyFftq8f +MUnjRAAPdVbZSEu1+q5xLgaOk1LfsYD9AQF0R+QVqOB5HZ3bRxy0G3yz9P/9tQ/26i4gljkHCwE VwZP4gopvryhPAtBLWvrR3kGBKf7x/3fgJ7Q9o8v6Ap47ZcgubEjHYHLoe2iEgzyujPpe/dBM0AI Md/rKcTZEPZzd0GovuDHb7chj0yAvbc8CSkKsRXy8pGa8GqWImGrfDy4Q6sEV0PuzWlbf9EgJhn7 W08NlZyl/lMQeV9aU+RdHqLR3/LEyDe/YJLVwMB3U1eYIy/uaXxdEm3vYW9nfHOqE0YBG/y3nrj2 ghMayXOp6Bf8ldORcdve60lUQXgwhY6xGVMdATJ9UAwmsscfL3kC74ksMOZULJ8D8Z+nf57+//SU oC296Q7LAxkXlxhZrksyqC0wKaTKT6uBCsTqFOE9rvPH89hpOjVSNI8pMQoU4mlidnFJkyOKDl4u CxW4hHImyUYNnXPKWuKZyrNP5z8Yg4uzUknK1HXRgvZv1EirlukaodVwbpzjgBaxdapBy72vmo1i +pa0LzmoQGxlBFY8dtk7gIpiPfjzMZ3XWS4O+5zxOGIBe4Ce2Ot5n2w5nLonGB0gK1R2sVjKJZx0 RSw4Q0ssNzI/qQi6blIob6I45bPaf9HHh2MIBfS0ABWS+EAyd82s7QXOmWEdC+ipk4FBHbQF2QtS Alw4sl7edG4evGQBhsmXgoQq33At8QXQU0hC0O1ZOMxPzqMcfO5oCdcj4FPm114/Fh4hI19yyg+V 2tGgToUff3tssPRPLGCClS6iXgnLJW/v98mB0/bMoX0d1Se0tATbwJaUTLqHvP08BjzuqrC9lzpE 1sPXxp6HQVl2UrSylP1deFaUSpzSJ/G3ZpnHIZsJ2vCLNliFLI5Lysavtu4Zt1i1uFIhOuTAlw+A ngoYuYV9z9mo17g9FSUZPqTGShW5H9l1DFs4ABnY1zFQNu9sNxmuu0hKoCqBzQmfUXOl0SQjYjsl Z73oJJpXs/8IYzW5hFYfM0J3y4lbhWbeDBnhOwhmlvbvkKJFE78Gwfnn6X2exsW+K4fh8zfocrLW bVywwbzlqT452LNDdBzXOI73Iz5kwWsmYiOfNmKNKWzKROvouk7+33oiPydpP7k9YPEG2sOkcFbj eq8nOhU1/2QpxjmPFphSIKyxfKSYjrHE4j19xKrAljB6FM73erpbmX+aInCPLgPnrNP9NWB9K4en /3P+9WmM6rqJv+O07C1P5wmTwRvOi8ZxjL+zA3OjSSsywS9KkfCdC0RzM8WcINze64nGSVsYnYBL DmjrqW0bHX4KsPeWp9rvDHYFJCpA2NWk6IqvoDcxspW/KhcLgmzySsaEMOH/OC/in6f/W0+06zsX bAFFboRzats/zboDf1NtSojwqdshaD6TrO7AZAN6GjNQD6vgfb01gkOksby3BZk+BxohPHCNf8EH 0ZpCbeN3oRVRPjxvJNW80HSiiUvxsZs2TqALP+IThloNlOJRdyuYHFeRCg4r0oodTRRL3ISD63al muO4bpe0l9lfRNHX79OBLStVd6aRW3o9WNCh+QjYA/RUjRHJxo/Nhm59vMXi0BGJQIlWopeTbMVh x/6QfLDPxKzIk6eKJ+XaS3W2LSJ4KNoF6KkmP+xYBLXtyT63giigeYkH0NNSZnaMLBM8DBDpwkx5 fU15LHTZcfQG3/7tkl+KpeWqDqAnuqE79t6lObQae9rSrpuA+qjwvcoq31inbOhMw0leziB+XTGC MeabGZMlm8luSLGxQPJXsTojjK0rIL6q7Ufy4wPzzEsbWgMMV/H97FEcGAttW29PnsByt74lTxnf kc3P0OTwW6MR4ziY4Q8SmFuMx1I8Sk5FqxX242Zc8lh2fGyx5Ut8JFg/x0oZKDJ0qDktAT0VOq+b dydoDR9PC7uzeO3Mg3mNjYUFPc9mjQl+thKyEggcEeB2wQXvJ1Pl4fCGzJuAHe/ncMC4Z2SrJC1c cxT7RhKqZEL0K9ZUg/jzy1BrE+KnXtQlJX8/hw7P202ujujSf57e5wkiFI1yv3Bwy1JoQj0+F6b9 LU9yQ/jLDk+kBiDqEyOaFmBO+qcZ8n0CD2DZeAhAd48/1f/WE1ryBPf4/tL+wrog5epc48BbnhzE oOpctxj69BfqaApUsYFL7hLKHOQUqO9j7Nb3cMjjfePB2bgddeN2nmmwQk4Mgd/rKSHdx61sTykb cprS1Og67xYNzYlgwMAHKjeC0IbBes7yLU9b/fcKqalbZ79wmb7yXCxqdZetLHJbJN4X2yInYdVI Jr3XUz76QAHHMaySyNx++/533A3A3luemCax4puBY7h/SkHl2GD4HM+biq1OA7taOcpbCKISlxr/ 8/TfeuK/cBRHTggcFrjaaA9SoWPl4MGxuG8q0eVg+fqxK6roj/srTyfK5p36jOJ/3bCHC+hebgp4 ONPdkBmYkqq5EIvtaiBo5ZLTHTi7JINeOGGP9CJ6+VKgY6p7y6HWe0RLIDDi3HcVbZ28BAu4fNBd 4d02oJRg/6U21WzuPXLw+jU+24sKzma6k1P97EAi/ONCwpP/eAxgD9DT+YtslcPMeqnOLCO2o/g1 szuPPsPOvQS51dHy0Su4fyF0+qSGfastPJnbnnjSdLsVoCdMMaHYvubcyAunVyb9JaQTQE89O4w5 1EvTpO27eL09/BR48mXjaD5OTPfQGMVtfFXzJ4CexpX5nQw8V7aajbdmjUl1Gqg/kUY/8RUy+A73 zivlNOT1Z/EWSqdLqcx1bohh3yDBpqIxBOE7VEoWCMQ8j18fbzG57dMpsDixpzDXcCQoy0vRDrN0 VbVUZzoiu0nDwiNx2V4bcSIZouJ11DyCDhrEE5LEiuN0Iu6ulEU+K3oL7+PsmAXBdOL5X3wUotqg gnDqAfSk48y73s2M9+VZS6DjPED2UT5e/slLI2OdV5jt5Qz/aMFZVijeegIEKgzp61oofdjlKeSj HpkyxjfRjswlmMORM2v6+Clz+GkENE3ebiHzTtRvv/Z4NsAew+DLwIAaQryt/3l6nyfC1NCkHTA7 hQtZ3zrqSBKxtzzFerA2Y0MLTvFQ2bHrfG2yIvV4VM5t7tpl+6adSWua4Pi3nmbCOr9RE8oPvGh0 A2c1kfm815M1l3N4TikyxzoLGWFptYA1osSH+noXfo60EqTswOWfle/1hCZwxuD19GBX7GKtk59e TtJJbeJCJKPJI3SOV018Ekv3lida5qo2AuIwHMtkcnuUFhkEyBvoTuScpNEsKL4+kYMyw/d6+s4e yWu5czuXSdb/w5xCXwWw95YnxfHjJXgj3Z5vZQN1ATPFGWHkRLzIkCJfZRtEuSnhMvX+efpvPYH8 XoVRLCVdzw1uxbSb/zW5Mhd3WzGurrRwvrJVdq/jC+iJ/ZIq7XtHWEtMCZcaISyDDTp84S1UJrjv 3B0JbfUGpyKyaNOWhba0n8cdi+IknsakdxoH0lNgAJOh/1jTR7jtg72SC1dMVNNYk8RAlFLqMuUU Mn0GcqrPYUnxLa5+pE/kiv7S66pn6CjXfq6qIOTjF4A9QE+mRtANdaG5LJ9rUP3SstGQCL56UVB4 YEgKGlm+IpTDg9Wl0QYrnTppb6wUXZZx7jwCevpCFQYXYS3h6WA0irM5etsH6InnBWsvRhXZNleD jVO/GOnVesmsjekx5NTZDPsMEUHnGNATFBWk1VgVEnNsqfqvpofTDb8pKCelTpNlFfmdotDnBLFE FqNXlhxBU7zFMF7JpQdf2x7eCWSMKHmu3W1+nCc7xiy4D3qf7PCJgfCWXjXd52wTCfgSruGCL+Z9 JHQV5+Y33cdWZcwbM/dyw1sIXUf4K2hOsDvvhjyG/I+TFDZyBCDMmCpqNVCa9KduNoaT8JhfAD21 wQWqEwOBv16sSLnZ+uzrgz6aLffTQ/GjG2ycE8s0ze87rm0iSXw8N/S9boXc5a7PgQRdamYiNwLu R9moVylkS4cwHg02/S1VXObC65vKk1WHa3u6lMqGniYi/Mo9E4D0z9P7PKmZU3Tlz9UZjvpWghMw MPyx/wnQE+0Vrez84b2pNqivMDbmdPxy9NrZC1ffFXXGABOKXAvv33pib9ixJ88DYSlzDiry4en3 fa+nWPU6F4xo6R8yWOeCPnI3i4GosFBVkkBGJePYkkoLYOPv9SSqzfrIxZwORwQhQx8neQ/DRzk+ AF3WIuNyWTBe5MZX/pYnF3+YDpYMQbkVYhrSpP1HDGxd0pMjtLDSy1cZKyz3A+/3evr0m5bVFMPX EuQqsq7rluEEsPeWJ1l1w4dlvd3UzmUCYrQfViwfvUqnUKPkm2nkEaN6Rmik/3n6bz1RW5TuW+Ag m5B91jmMUZSFR5E5lyMq/75UhxKPPSeDBAXoScub77w3MGbCwU4zb7A/vIYD+tLvOpHnrA8lcbwV Qbnok6hn4riPWt8XiYsjLL55YjAxq9DniRmlqtrf0rbPU1tU3L8ygmE/DAgTpF6oilto1DTacYn2 0W8w4fXRauibU5JqGcViKWuQJYqRbGoVwXcB9v7Y77tk/oJXf7aTvZmFzE+jZTyp2bnfTDhYtnCD MRoCYRYDjDY8LPW9Vt5oGZF85U5bHNCT/Vr6SidaDzD25dmMboOxDKCntvz6ESnyPYHKtZDfGBSm 1CozKOlmH/GrmwLsNSqo7P/4vrtTcTXUcmcvYxbYUY6JtdafB+NNMimaA1Ie1rfXdZVBbImbyZOU 4t32ZA9ZDmRo/pa91ttRN2rztI5xH+ktrGjH8CGYDNlm5VK/AoXzPn5j1CxD/GHB89LbjV44ziHz 3sxyL94TLG4zlhB3+8EKiVWkQK5kM7L+9ntquIpigm0o/O/lihaY2Ep2syvO00ffT7mAnrqQjilK d0oTg66qEXHY57ce1ihnfzMcYH6yBnvoDAvELNSxwZeI3RyDH6oJ0MlxrsrP3l8RgDRhn2iYN9OH JCBuOQcetXHVm7U6VNu5CSEiSwcJGW28fcGt6crDcWfb9P/n6X2eGvbovoVYpa7TN3DnIb+wQLzl 6UcGH8JTtcAo79GjE1xS3HnF15ootF1fM2dX1B1UyIOIv/VUDkLFmlDCFUTNiuDrx6i78F5PB/q3 t2YpSAwNMcQg+glwoMMWQXaHNBPDQPjldLQon2Df68lLyExyHlrKrECp+7O/tnp9ritOTNAyaaQy VOeaPG3JzluepKNFEiw0li+69q6IWT8oPhLHCXRXm0T5352dKPe2F3a/15PcSi6Yyvlin5gCqQko adsWYO8tT2bZbNESbc+65zq4BoNTO+sDRcs4csoRySzonT48tEls/zz9t57UHeICdGA9TbQM4XY2 Q+FOcMWBTRmJy6GTsCT8bNd9SQE9gWwZmsLVg7LtHZfEad7UtnOPnKuOf2hbIewXExcjb/rBHaHp 5XfD2gPDZCROWDqouNdIWAkTWpmiVFqQrMr7IWfmqg1pzslcY2sLe2Tw2vw4KHQqVooA5PZhuUR+ Kaip16g64eaeU+QxkAM0Dl1AZhawB+gJ58AP2p0qSUCUT3u6NhYntHQBcZMrwuuEgMQbfyZidVZr CQWvwVMwF+Tx3D3OyuQE0FMoF9GWhvVhaoxA2fDqd3tpQE9ASpjj2jmxSmNLlpkTJ9E3KxgRvHg3 SzXGQ+Wse7F6SYCeiEJwcHJDX/QPyBlTP35ETBimuNZOoIooFr6atJ+vR9VYx4T0PusdEzb9KiGk iORVCzHSZrnuX56Ijv0dxubn/hKidX9eRlLZTtK9WNtaEAHN82mSk3oHoQbxI3xCMr7qBo1pehmF RtdUojcvlVjWWDHwaXATfx1MLFvPIaly8JYkF6cjGHgL0+LURE6eKHsfMqAnhavXjaXO+erCG/L5 8XM3e6+zmEhrYLxY/O+Rax6fnjyfrxDdhXpf+Yr9Wm2HHUcPQcdb6TWjziJktQccP5Xm8zGYXjO6 +GxEh7ch53kuQzh2U+4MBlxSqgiYJjWJ9oxI/vP0vz2FhHSNUWWyTKhyc4RMHGBaZM6KLfsaAQlo gGM7vALfRbzlaYwnVca4dOkZyqBs68egOVkHubIVKkH0+HRKzdllz1Do33oKhzorowml1f70MYcf 036H672eEt2eb2b1Mc4xFFS/HtPqk7ZEUH8OAzNUnu/OnSNDNON+r6ewlB9HY+3oAfZBo1iZeTWr TihlsTZpkwrgvFAzjsGham95elXKiuIarVmPkN0IvOjsiVZG8KEcgqk4dJlPHeFc1j94ryfyFHD7 GxIbr6Fy9irBRGxNwN5bnuCLchC7Zgvh777lqxqINbeAxlKCXvkRnMg/6DpCsWBb/PP033qyW2Hd Y9a2wT77mZl01xix7qAJvzhrtcCFD7f3eGSORgnoaaIsnm8BPvCTH4SgfGN+Z5zRFT3Ux8l0cJE1 moBM78puAy6RbAXY4MDwqqtkjTR58xuHGrd5TFJYaEYJPhOYvL0nag4LBNv0MdkRtmLgcmNCv9Av Aco9PT93oBahvvS73FJoXO1MemJoo+WtTuAwuiYC9v64LwfEry4rh79nJZ0n8Ze/VEBk5QiJ1KfD +M5TeYvKClLagrNcJnAq9Y+nflbH9PaX84Ce4KBk89ozK+OaJS37GrgSdQE9afy4+uFFuY219FRX nGO+wGn920IIzkjBqvrD2Rd4ZKtMQE9ImLa/BQXgEmweWCSugP3FuqnUeAZ5/OsmjuKvcieG8eQr DrRoXnVz6sWfCD/XkMtbDH5OaktAv8I0HiZ48hKvEXIWQ8NWXvs5RWtVy//4nO9oLNXTgDk/uYtf 36/amUV7J6mcLholLGVYOlab3Al8tdleLLNtjdrWneurWxcMgj4/r3q/b75IlkSJ6iQ30T8H6Ilu xDNqYuDzyLhznZMnMLXAWlAW7qiB4K7M69XaGAYr9z4knlLvz5BZbCP+UYwVd+lRdVmNbywS30VW bkvnnlUdBmVAIHjjqD61BilXVeL6ZIQh37rTsGHnlEBuGSaXOKX88/Q+T6sWOrRQMZyc9p1Zn1If CPPe8kTpfai0LT2UdKd8YERZyzSYHoeGiqxmA8laGdMMAttf9LeeLDbVrCl2Q3+rnq0UGVrYTbzX E2dUuBeu+Uxl5aCIXV+7gF5nLKmJsdCc1JDPxW94lDyB93qilXr+8UXh4kxyVSFLC0HNRSMUi78n tY9fjmccoqYq3fktT+ISyHYmFbtywJFucPLpwhMXKILFWNbuCWyMc1hAhve77/X09ON+/1I0yLZw xdy7PHo3BrD3lqfkwmoDH+zPQb+9ljgF/EidkA6WSM0fVDPMn1gwCDt54v95+m89ZfHY1MvxkH2V cQ78HN46XgrBlPTdPOUgQLN9RyaP5/6P309OYPEJB3HGAR5sR4otIhUy5dLlvCbiREthvkD3WgYp eCmMCAvwPCSGBa/ZbcjejeSySmr3Afya41TmWaZMYg6OqsiL9BIdd5GrXkXwSIQjcF4ZRTqEwgqU 5ueLPT81aVe2fmwrY8TfJR6h4Gkv/qy4BOwBeortGkWaiKNqfbE9OlzZeyaXN/WAKnZxE2cLoGvf ksnZJi0fIbL4kOAhuy3rnU/yXRPQExlWauky4foEXriMxKl75i2gp0KouKKJ8eMUtkMviJfqueFq 9tFbNtrUTObDBx26FiY3QE8TYSyDVP1ZFr/pMg6GbnYW7OooxJV+kuebwQuWmXsZoeQ+1So9vCBy 9GtAFFmlPKqOqFB8QeBYn6+ZwAMSXpPOepX0mlrMLb4CBYk5f07Qx2lM85oNohc+VE9dLWfPtMSV R2ERzmEN4ATZuIjEE5qlQ+RS26jA8AqWFGbsF8MuK7F82Ui6W4jzXKG1WyC0jfIH9CSHIdo5drZ0 FSWZM00sGbo9mDfSFXr3jcWsjgLdJt7FoI86u9Bs1IfptwpeTOJP1oDIDsepH24G2ESI/eNJh0EW yqqhjDjLND6aXnYRUlhG3xFwnq2xy6nittuDJQmykhT/eXqfp0mV8TsdGzJcMjdnfU5aLa63PJ0h ++Cn1BXBY0A6PIwQxtnCwmWyEmxG6++pSw8Hktrf/60nCqh4xgd7kYBbBVo0jl7Ko/d6MpWchwNZ pL/sZ89BKhC2NqaReYipd/DqAl8OxwsVJ85+r6dhxVujFjg6hNuy7OR+ZFmcyDM424HPvV+otefi jFK6n97yRLvZGMwgDxwytSdEN4lAn1Q3ykQAFwzrsrhGYHbmTTn4Xk/mgqdiBd73yTSMElzD56kb gL23PE0FEjeV82NvLxHBgV3NXvuB8gAxcRV5Kzabpd24C6Cc/fP033piuh1cQaSPfGwK4l6L+YWz j3l73OlEjSjBplWt4UbZ7AnoaZ9cA1mCMKXXu+8LtHyc/O/8259y43RSWXDk8tCxQjR1dbUMDgS3 aQuc56yEteEDwvN6OlUxaQ5Rv08w4M17giCbRpETFZM68rrIxMiARETmIyP81gfiilIwkfJiG0iN bxQ9nb/soE3iXc24Ts/lhgoA9gA93Zg7z3ACv+oJRZjkHraQb9Ws5G8z58HqHLDWZoYeCE2GElyw MJnPCWdVnMh9+x7x44/1YJoKi88p25zPblV3kbbsnwA9adfcaT4LDxBxA9cjJombDbgTQXx9QiM9 yEXgp3Sog6QH9JQmLBAHvN5z5O6PXgEt5Aw/Yh2gTMSF5PON1F5CL4T0m2zWUOnmF1jvwc4mlT4c yiyV/sTBOJ8QoBrkcAE1o1Tya0nODWJlJOPAF342n829SplErDzluSSEdXxWmyHN0HHc3z/FEwzW 8Oy/6BWi5Iov9kpwYJd922E+hqeLBzlaU6oyerV1iwbuRgySiJxPuwsC9JQLsTU8OZxJVpoM/0NQ GCg3DVI1Xaw96ivkrq5VEI9daNfc/dYY+wfN7vuQ1zjkzSBv4ggzPETG5V/2ehCf+GC2BRU4cj7q 7qGo+nlpUNR2WhKTxH78xOUe+alTdc3AHpb6n6f3eWKWzG6qDUVWNGtmzauhVJV4y1OMvGb8Sq2P 6MzBh0GjwVwINd6qnn6XngLQnziQyCs+03/rSWHPnZPasD0Nv9ts5jcL2Ml7PeHXAocFxpk7wlw1 6akzTqiI5A720G4KxFlIrJBVCwGRv9fTV78HLaPn3vsoVDChi+6IShE3aadDZZQArg1s/fMI0+9v eTp4+jlPGVr73aoayYVFPaLkxT3pOKJRlcmhdOF1Fc0g/r2eft1IgX+eEoUkzG3Evt8UrQDsveWp MzMvnqzA8tk9kEFRJlaMjR05Ngj0vgIjwWtJlcTa84/7W/55+r/1dNE9mOuv0GofpmK73UFzuJGi Ykm+xxbbXSm5loidJssB6AltnpLLIpIhs/3wu6xNfTHBExCKIRl5WDQYwbVCpD8VSSFHE4Ldg1qh MyyWbYlZDVLoca9q6LL6rDzEo0YdZDchwjkxg/skMhnYZEzt147OnJbaeRXje3giD3rdlqofwXup vlYZTooLcl/DniXcwA1eAXuAnjZEjTs/UAtIMjsZfXaQwt232MYTFNycsVrnQLeilIRlULSVjxal yVxts/zWAkyNcg3oyaJo2RMLmdGJHtPfEqHK6Begp9/E7Bbt7c1hI59jg4u7xwnxMwaNlxAQmyX4 0NE/NYgTA3oStuFYtIagdTBC1jw2ujc1VL0KdPH5QtwPHwitb3l7fr50+IS53og+jdX50Zq0A2Yi KW3BS387DcqyvLK6hy0eLsXqnBI51EMAtf7bjF+2KQ/p8iDp+AF+BlkPY8tUkfWEizyG0bajRCfs y51LGn12ep2Hc5Z+3c5N/JfHrYr1yR5qYzWUT3PKtqdqsZVERFg+gJ70vM7kQkSSUblnT2IMKYc8 vGQ3OwafLtTOLqvwMtis0wP4KpWTDDfgPPwT5n8tKldUWj9+OVjdDpKUNAqZLpKy6rMpWplnfJSc JxJr4LOaTwtX55q4049eZVjVG+Bu0R/+5+l/eyLZAPHH9/GQSOzKAm0TjIDbp7G/ltbVYQohLzVr 18r8Yz8doCe8jnzUh4XzfjzOwljv7mg0DKvQYhgpD9pI+sfrxnPd9L/1VLvY2uQoQMlegZF1HHUp MvFeT13POMeMwhxCemxMiS9WoPxbGhJ5NKsYwS5diVXPPMZD7/WE5zIEMbUvp1vASU4irTxvQ5Bv guFWrXyVMBanuWpG9OstT9u9zfIGlZ/cjZo51rQdjNpxGy/slnfynRKJjDLW571z3+tJh5tKJTcm g1iPrhNzwRfuEbD3lieyrooY+TJl2tScUkl66Eyfy1mUp/CERvo1q7nuY2L3sH+e/ltP1dKzYPkq UIwSssA9dfe7pfPy/OlVk2UZUAQRqzEOMyuAniKN6rA9s5UjuOkuC40HePo0MUUUGi6C9OLDBej2 i08+AnGSXckNrqMdfe3vKZy8n7oKB/0cnrE8W6g/4pU49ex1tx3/pGKHTKxZs3ULa+RFeseA2jmg VrRd/jrouJcwpHwFX3Ul7+m5nYob326WMqgP2AP0JFgT8JXnTAqIv1Jf4AqhZ4RLO4Jw0JgV3LX0 kOWWLJmfgZLtOsx/crG957waAZSLHNDTOm4Xj7ZCF56cu7UYK5+OIaAnMsrzBU3FFIZbs2YwOfr6 DJx8wgrZzJ+CkppqNLOeLxeAnhAYD399/DA4vXrCHKtT5xaAnmOxRph3zG8qoeQCYow0W2kdT000 shUFDIZ0X10dhWzLTczQDeRGtuMNG/Xp5qaKIihjgdzoBcT7VdCAz3zm/sVWGrmWwzc32HyEAPXj zNNY6X7sGW4kKl9tXnFbj+vtqX0G8WGNLq/A+EBufyIx+yxT0riBUEa4Zg13rDXufgigJ+5NGQRG JDQvE2312VpWDFFk2vp0UBulh3Q29przEGD9gHxcrQMnpZYfzqJrn/KkiZxVA8e1SG5ZFHa90b8Z tb16yJZfP/hJL8ywlxSLNchRWehgBPcjI8OffFo5uWN+iP3n6X2ekjDo0adGEqYYtBhB7vqGnN7y lOoqXe+3FURQv40yLOIfn4z7We+62pdIWgoX3/e0T0/5bz0ZfTO3McHo5aP2bHb9UtyJ/l5PvGji 8xtIPyLO71x1FISzf6C6wHsQOoU5jtrP9Jjvwmm911NN7Nbcx8GNO618Fh4/n5xqrJKyrsuxQssr DZ2t7UI4mLc8PeMd0qOpuJUtXy8pVeoZ4Eox0G2PrKfKuW+wis9JaCO/15PzC83EEJ125sV1QQtC e1YCYO8tTyYw11VkC9mMvDuLBA6u6XkoQXykjUcSLfS07DaY6F1D/zz933paiJ0TOXoIj88Ki0KB 75+Vcb2XNeGzAHfOir9CxLlllZmPQQUnqH9xZFKryLOOUdotmjh1uMjXPbPOYyhjqHu+fByl8mTe PeHF8DWvRrlfouRRXopRzer0HZUsF+p80K7rIuL/rcm9W2gj5svhDvRofN0fFmyepc8z7KwBy4vL 6inbka5buJfYxceFKgLuqk+dpowVGK2hRLZq5UkQYwBE0ceZRIO16+sRKvtgtXBDjQGy/cC/BDs1 Z0QEu15+xpu+QQ6RCRFSfQzC208bcj9Gk/n7LKFxNQSkyElVv5Ya9MCmRRjEmehDHbe+JAGF6GMB WSGPY03hF9UdWJQJcoW9xh3La8lIpSQH9iblwb7fQVn97uQLRaJ6z0OoUCegtvkNMwLj5IeepUbP 94lbI+CkmEwQ+gUDWBCj8QqWOk0LoTsnzkrpBRUZeEIRINDzwHQCS5XyYyleS9zyx0tmhz+lGgDn j8SmUFnuCNthoL5BNCNo38u/cj5lcYdrgj+wBId6yyPhpD9yEGJC24CFyizJBEoV9mb69PtpgBQE f3z7bNJn1//H3j3G2NZ1j4Iv27Zt27Z5yraNU8Yp27aNU7Zt27bZn7vepJ+8+d97051+Pq7MuUfG Hus35k7mnlmLLU2mZbG9pTpyIIX02sf3bgvF3UExNAIQx77P2WdLZgKgSUVKcHkc4tJpKKaLAuGS /Ge8n/0HPoi5re7AzRRQ0VHiGvUi+NWe1HRC70NwLXE0tSzV4YuvNp1s4tzodoFiaus9BFfxs/8O SRPK6Awgpx990fu+j+JFfvbfEHJ+hI6kzXVouXQuOXbu0mZKn5DuyYs4wcHG1G5VXMXP/jO2P0RY PZ1IBbxOGDcWzCPB3gIemqMNmNzkJsIkO2feC33P5PiNZM7V5o5YYSOM3mdqjduIQIIBr+1rX4bS Erqwo2nY21mCgscF4nETXkji8Hw3raXnXe69rpOl4TDP1/XJehs780seUJ5SEalIvx/ZHo04dvX9 6h6aHb+epgJS1CGfi353eqljmZZ5Wbttp/tn/z0XLyokRSA+YasBezQabLZLjkfZyqIUyfVjE7uS LOTh8UJ/CmuqISZucIF+r8CDb9GkR4bYcqXQVvmJgzMvFmMaYNk0qdjGcr1DU4oRE36QYLk9BXoF t1HheazeYTggMv3r6V9P/ys9bVUesBGb/yKJyC2FM6TgU35rch7w83PV1Q3TZIO9M/+P569dzOx1 xDaJCRzF4LLgEs+5hhpty3QQdzurrWqNee7r76xkgFmGC5rhQhRBy7MDKCn6kZ3ELfluOHSw5Jk0 rwMSCHqMx6Vkx8E65HDC+b0uhtgYkidkVhaArVtYTbyj8Xng5eW/AnzGNreEi2+mFSip/Yz309Nq yi2ZXp8GB462Mg1/xoP931X6abNjMILmLf63rcDVx1sdYD3lQKsAcAwIQ4iE9u+fnsah7mXXGm4H gqNd7LUCFf789CQPIxlwJM01SxGTCjt9S6Z4SAIaZwl0F5CmCQNlQUBg/NMT6i8ed/+BN+1ZYa2d 9OTmdNfs1jjSfoq7vb/eHoOPEdOrTLV3WPKD61QD00DFl/uwirHs7hrxJGTc+DTHoJQVdMtcveDx Gs6ut9qFv5oeY654PlOQwI2z1MnGU8fC5ZfYbCViS7txp5g6ti+UvC6mE2Jfm02FilN1GKc8TmJW NGGN6Q+pTSECaaDY6qcCRCn5f3oiIVoZKOoFuR0UuYr3CtHOqdjJkmQncj0Dk249FRFocTjRZmoM 5OSIodUVPDvnZXzk7NZuSf87Hq+GH07Uv9Tfu0hnZbHDSVh4xim+Rcd5MJkAPorXFpUSLokHdlo2 rDL1r6d/Pf2v9ERYOTyZxj7UQ7SA3R3HRv34mvXIITUabxtiNcPsG9L0H+cxfnqacSnpTu2EtPDZ BBg6OSyB3q9WTfWviAYBNwWmTstwbPhvPV1+lTNlJDCa5LBY6oVR26z/jPdPni5XNdCFgmFtHwCP l53qD5v5gWEv8D+myl0jQD59aDS3/qeeAOYdppIzFu74KF2J0gjRgv7JU+0OKGsR9KBJj1qj+pEL k4xGca9OCR2quQ4p3a/oI/SD/6knbK70C/Qgt7NWMeZuSmBP3f/Wk+ks4nLE9bQMglcGREpzwskD tEt4UgvcW+Kj81/ElGGNfz396+lfT/96+tfT/z89hZpxsArSSeceAJiEu5AXj//0NB5h3LMPcAoE WoN1cvb8+4Xwa3ab+A9fEmgivsrtn10m2aUtRnfMOuzzzZmJ5SUqp+tzYfSlOMNfb9yPbqASOoBz DOAxtWW7V+Mqdmsebf5wYjHxVtuPovGZU4HP2/gfNkJLhx7Jj9H9e8hB8S/sjhFSShozuwmOIFI0 3Kg1qzrGx8BOSdCnZZwNNAbCYmDEoT89WVK6MGVZITo6xK+Y2u4mhl4N53YdMCUvwqH2TkdHVk+I SWTZ3xGWH11rXgQD099GEEvIoYGxx9R/K0HG5eFRjxl2RoG11X3zxUe2nIDiNSvmTI4oZ3jSI5DH 7f4tqeCUz9OaqRihVCapDBmwQSWGQXkvKYHr09HQ8tgdLepcCtf46elpnQWgg/LEdY3U9vZYIsKl 07oTPU07CQ8vykrPbxHVRW5aOyTinaSIU24R2QgzCX6U6RUYCIte3I6C8CqB2NJAtc+L/K/DksQy uapyROL1H5bQbQr8rRrEmPWR4mYkqYDk4NvgjKlbAZNKRAfdJGe3n/F+eor/jPH5cKtKeUSXK4Fa clLllt4FHX5QAxKYERWw0IQVhFeN6nWo4n+4LEyZAq2EkPvpSa0W6PIWqd1E9Elt5o8esclPT2AJ aIOkSK62hc5AckdjuKf/evrX07+e/vX0r6f/b3oq4lIVbEf1AE/4CzNzG+Y7m3Nn80Tp+PbykqBQ iq7MkPlPnnx6trShskilKJB/ZwXbweZVPYcKAC9ze/bs7G3opmdi/7eePBsAthLuYfU3HcJbq+f4 R3/G+ydPiEDFD8PeVFNHuX1OguM00sRyztdAWyY4/kFBSTDaNTv/U0+1UmfPrWOFlYPJ8JvGCd// cf7wp6c5Ftv8iRafBuNwphT6DGIgtvHxlDgMDdiYeGhwyIRR5f+pp1zoibSUtF/ffj6lNLB39av/ rae7VdBz5OGV6L7MCunjUdxBrrhPeP7db5BTdrmNStG5X/96+t/riTfP7XenUS+UGhuEk5Eo8n+8 D77p70Z/jl5pYLSwr14DUU+fgaTTTqqHdKnF4/ezyPyNNHftEOYHVptfF7ByETO1cbT1/Cqdv5N4 Bhi3goEifCVNKVmlar7mkRW80cRnQKZnPssAQf9bGAKw3921TKi/Y1vOEa4MfGQH8KF2+69xYnQ+ eQpQ7Lg4JwZT651fgNi/z/xRD+OAicW9xaia0cWaj2D/47wY+ksOWEc/5WUbXZD7TpyGsBXW4xUz 3KczvL6OBDUu3+gpLm0bXrP+xYVm8Pw3jrW1CEfLVlFhAdglh/p1D8CgXk90QxlDtLRNumVyc2/U /Yo/1iwRppxkKDesYztmUhWyWLBwUN72XOvdW+C7T79So4+DMwRuo/5FEmXKJd3K1H88D5mdf1+P qfeZbYtLPPUtoino7IWVEn2ybPgO5te9w2wQaeE+TDPMRou93/5XwMJ0v8HY2p8kytGoZUVlYX5F +31rOxZJrYFHuq0nYziRty5BgyVZCDcWzD7UKGp7L+upUtVF75YNumc4Ma/uUN2gdJuf8X56enwP QRVua6B5RwRZ4MdDoMfEeTFVM/m6ZqiMkZ5UnNe0jdN+dbp6qF6GJqE9qur6j//v5gwy2gZQ5I63 +KyO1wBXu3568vQYF5A7VanbwLN0mm57WfrX0//dE6l4bBjM9PMVfaNQ3y2eULuOLZfX71LCt7TZ vsp5NIJGRTt0cJqNPgo2+qm1dIC+xKYbkvMzpvI5gHTB7UQIHnhM02i8kHbYtqtyhqIX0I0vTUfo 4oVb9e/H1AbOaNGVUGne39Tt94abSsiEhUeiZhSy5mKc8/SDT62h0x5PaitLRMNc+JwuHRxXhj2k KlhkZH1qZGICy8AgWOgSgY5Pl8R7G4X74YUDMxjQijTQ2iChvd843kZZzyuhbYvueDbs7T/PY0SJ tBRQb7lS45eXWIRepHYIfc4MTZ3cLMSxHsbE8p6tkS/6AoBt+FePdAIAdur6pvJdEW38hp91xQ45 kEKelWEyqEuWcFXd1vHiMxi8kqlrv+dgKxtPT1Utu37IFyftLYIdbXhB0aCGCq3JQ9hXMZJa1Gkp 2CI8QHS36EN1kw/+0+aL9h39V/srkd4cPBPSdu9n/8liTH6hPBa0adipML3B99REF5/ms50ju5ti N4ecAOegx5km/z6x6Av9YDJKV4OtIVohuoZHAHhsJNhjo2h3tF5jwdK/Z2eU+SCL0tx/iVfw5jqg I3X1uDtPKl06vVUpYymy6+6E5fYHfYOn4tTmO/L+Ge9n/xX7wZoBM3/VkL2tJgiaGGVJC9orrdzU wbbYqNp2RJsZ24Lpj4sq3ZYVmy+Dw27mo//sP0LrAEKz3Dozp36erWuS4pX/WM8hIhwddnIoMeNQ 7HW3UNiKNt8Jl/Hk2JuB53mlceu4f/ZfIjHs1KMgmgsD3okwZTvqS9k+FP/TI+50P85Or4qTF8dr eO4Cb+/lPPhFMJ6Lh4DoP/kqQXq80JI8hpTkpqaUojTU/Xn/Ew883Ra0jYZvnvntwWjnOHvXcF5R ilpCxRAncP08Ix9/9h8eVzkliFJhaXbEZLbrUqQ5zW0j7BpUxiwf2bUCYY30dMBnK+GrYyPXvSDI FmumFxeZpCcCdp1lo6EvfAM3O0GkUMDd0gCBLuBb7qXwgXsIyL+e/jtPjApx3Hd20PI0S94LfH2+ dmkmPDqmdNn91hig8kGbUu8/Pa1u9Y1Aep9YFwGCG1ar07fqRumRXL91ORVtYd4KlBT5fXq4DzVC +C0r7bNnTLMW8HXYqalP643E8fPYuStZu06TuxQCSwmA+Hqt0fxa7WdIKPjinhrdD+D+TankRYCT tM4fLxcEK4knWQMLcexD4GY26AiqsFRzWjSVLStlLWFjqVHQiMsjMhi66zu5hO6QffXTE/nxsDRT zbbp+8w2xX0wFhMyx/cLtPym8Mp32h8eDoQ5kKuKdYav9rZ+aINLhm/s80/SWx1HPoFugoG+jcoQ D+SovADHeheQ1HwiQliCOIkHxRE4uMa3t30CI/MG2E07jhWdFsUhd2KRsiVaW3OTetMCcdK2RlRf AB8JQlw2kAKWn55wOhWeGEzIaTJzSPfEhDGsyO6cfJs3au/IeSbHgvSAJwrqkbUZFtgZNPMXyo42 IleIWUiNOLAC2GExYmvdvIdyuljsb5UQxM+RR+U8V7j3NB/Lx/DnQkzvm8UcPCDuMHoANZ7AzqUI COIcYDIQIlE3fsb76endgZhqKHIkv75YfCwnmqVwPIYAV930jhGPB7UjKpxhHdup0ebOlZvFGOeB gZvjHPKnJ6BfIcZgkEaOlvMxba6l21E/PU2tifnJG9EC8ufktTEqJG/+6+n/2dM2wEKjclW0kWYE hgoXYMrRjQ8Cj6+8S2E+gBUOnsnR7j95Gnm6KxlSUyDjYavF3Qcvg4lHoT7cz5lPRlrH26D2AkL/ bz0VvhuuJDDOTeVKTdaiwi4//Iz3T5602Jkkw6uiF5YqLdzQGI6L3diKYY1PxuDz6T/oiNdxBv+n nhKsQMVwL3pE5CSvr8oTKfP+yRNHEaeRt+RreFiEkLpXoXLxLh0RnT+Yujt30AlRVoJ29f/UE7nP tWo2A0ZeIWhN5Vn7bs8/eiL+LVbU4X3063k6k/cVqexh/5thVfhZFrTA151f6fog13AjCFO7KVh7 c6KKWbxB9V9P/3s9CeF4kY8jfTR6SJRebq/dDf30pBpvqnsCcM/e1Lqhe6MVgQte6E/YvcLB47oq oMal/NnsS3wfP6V/UF29UaxhoQCbJSFrqZQV0AERhZYnRIO2N440kT09htu0Ww0Ct8zJj7zyiwep i5cxi3tyliN+DwaTa4XQ6Vl9nFYZA9Q74Clp5+N31X56CH9icdcWE4Tz30vKi+xF9DMlEV/qIXPR RgQb1Z+eCIWxC6YzlKihU1wo/D/VNVE2rA7/XtcYFDTQ7KWY8A56IrfL1PWhOfSQ4uR0DuIQOHSd XwrkCCCJKuWSL55Ofw9EXHK+qHH9ogEfcRoTZe2vvEoFazhhvGPy6/LC8k5/gGMJZcouJETtZXz3 aOUBam/BAapTM9TxAOlCDkTYq9jM/kTsHXo1kjZA9L5qW6XXFW2oGBwPo/ehO6eAEOq5ZYv0aDw/ R30eDuQYQstFze2XXetkzJi9nQBq639faKmRwX5wy07/dksq94aD6SbhX0gZE7YGL0FN5lW/Eo1m g4zmYPWd219ahlQaASBCuedAFicMG2X8TYNVXvC39LHoMTz1KqD9onsMWaKRsy5uiB2iSzRK0JXw lzflG1+Yql0x8tK7F3gszWRU5l686xE/5qB0qawr043nXugyWfuMl2V6h6t34H3fQeHw4EXwAPB9 l3d6OuuiF/NV6rMqSTJYDsL/2+L1rRpbaH7jrcz1yaJzICFGIB+4cYsnHSZ3rWU58RgbGBGD75Z2 AEEs/4lmE1jeYHCl9/Bdzz0wuodTqQZTMAMf/3n9ktGq7JwdwOSxy0YtsIehd7Z0beMhP9XqEaEE J1qxzAIWrw5F9obVLkKKGHbEFLmg+Ncj4y1LFxsczVQ2lygB0LC/erIHX+mxR+DZFdnDMcrd7u8H o/xbqMdLWMwoBxWeNBcNX8fiF+8W1Ay3SpwUwGJKZeO42qM2Bfp67XV/LnDBLFQn+R1Eh0ckPWDX x1GyXCg7cy5d33m2VQhIYFIEXN3nCbJiGyI+XrQIlUzqJ8OTtDHqrU7mHyUiJUe/tBmTfQJaGT62 i57U8TEJML8L8ILudLNLBmcc9q0mOZ6kjeeZVXl9kY+NDgHvjLnMlssAfhvz3pt2PsjU4k4UZ4ib XoFR4ZNp3mL8L9mFBm+JXx6rxxwjHWN5dfRLBpcVqaBWfI7CYge2SQPfjDFhjPXl3ZIluZuC/Ijq QkeqrX+BvvEhEkPos4geKk7V25O5dtT7W0A14O6dbBqj1F/Bwk9OpSMoSSjYD0SmuP9EHfusVb5M KnWtNixhYR6jJ/gfkCw9FdTiYPPIAT6tbNtrvLit2Q41i1AG4QytrhzawkGDnPz9p3oQT6btSWRm FKGNsnWe0Xmubg25MRjPd945IFMbMgakeNe1Lu2I93yqhR0lVAC+j7D9rAeJY4UmCn1CyJSD/ULd R1jwz3qYnoThgHLreoU0meLr3tTw/axHcfplinuLaPEL1OulVFm0gBO+gJW5CjO0hVl0rwz/HHkT OQF4cZEN1+8Ak+4yN3Aj4TNBRx8Cdsk/1uqr+4XDVcwrkEQHDgXGd69tYRHPIGAPEhOxGGJB4rVz YwtGhrlZ9DfeyeUgR/Hv9izCdNhJVIF9WjEdRqQ5cyRf3np5rRSsHfDzH/YQhA6T32snn2KqTifE 1qWVwsR8lllVb1mdDuWHOjHSJqynvKQJ/tG0Jlx0hl/77/JKISsti13QoW5sviQKeil3mothiyAg YSF7MIrTTW2TGV8+qgmPpJLaW5i4NsWLO6PzHrQGAd0JuOQkHN5cOt5OeAzmXmdSpuPkUpIpLCLU dUVhbkzAQ5jtofzRt9n+q8Z3xdKaAllXHu9WcPhoG6ObPdJtBqrBp3oPN8zR6XiE7mYyylLctpvU n7AWn5yFXuq33BH2G7Bd3NpxApLYJZzZEs7EowSi26N8UGkTYzqCC6UoVoV8jqwgdsK+TjNfa6Bw xdeIcW46v69XzZ/vuWkgxadqh4JXwzhwJ3TNkVcioToTZMo66qfkHzTIxi31Jiwez6PxehQRRhv1 AtFrBZ22wUVMqHuBzBkrxQVyZ9oRU878q4IipuFVcsftpftPX1o31zTLO/ImKFu7gI7VtqVLRVIU sbw8Gmi095xth6rLOsd0wew0xKGLuj3sJ1lB7Trum7x0uwjs1cOIdMeJlVPYq7gZrMaMtikSRImV R6cQCW+IwXG6abhWdZo0+5pN74MsRALl5JCJsb40G/oJaFiHypQgntsuo3WGyVHTtJp6OkU1FSZp XDpIXi2QqgH5wQ+R9JdcOhu8DqVElQyq3Q7+toFHTh+mOWaquku0b5mv20DArhpw+ZB3seeNpWtX X5yKb7Sb+ZPBj4CDjJWv81WaLhIMVvi5nClBb9qczjJ7fb6XCllmBQVHFixoWBVusBeicI9JE9Hp TaKVWj1M1DTtp1lJelVs3cgpAXEJWnpgkbVHSMERWwmV4cnRsq4aNfOdUOAaUyDIalgbZwOVK/dn ZFykKPRqZ3JqowqwZbO+vyjV9FqXYUOc+hmViqtr8SGuCEG4P+LzcERC7LCJ/0Ws+5PyXtFAuSdH mkwwoORVr878ydRadBD2W+eqsd0zaWtlJDTgvPjxFjDDBUIP7rIfj374dbsmkPHGn7hbpj18Otb5 73YZJWchWjPTOa5CKXwx3fVc5kGyuasu8c/5mUpaMeUo3bNApnHdSakE9D/Hf143nfC4srsBkscy Kkw7rlgR/Bz/P50vzWe0zQtryp3ssS+qLlJ4fn5mwRsHkK/SszmnrXOf0TCMrowEpaW/3nsQx68y fJBd+I6xbWz5SxpWdMlfoByeg2iB9Ax2Nldzy+IrlL2CL6Peb27fWISdTCMGDYm1RPAl3YcloO2z WJ1vQlTM/YD3hm+0/ZVlH12/ys12nM5Tu1xB+X2mclBOGAPYkaBq7KenQV6Flxe1pMLg4yxHAcj6 lFhO17VAUFz88ieJI1kyE/NDw5XtmtZf7VQToCmCxBj1UZvkGN4n7BigtVRKJc8mhkXBYn0nccZE rzLd2gRWxn/nIc3GVTL8ocAK7v88DIRXmNHP5MnIL6WazHCHcoWpCbkXU2OikbyFmfvw0lS/KsP5 uf6rUVnMdpmAMGokNDh8SrAr/VyP/0/PL7U+s67JXuuSf7oNp7GDXPg5P8hs8joAAvy5YDV93Kns z7iwdwat+3Y2MpwNVeGzHqnqTjqnq9D9AOBpSmqWGH9rJFQs47ywl/e+/n3sWd4zsu3PeP1Lly74 ltNde2okUbU1zXlBOajmsUfkt8hVZkfGeXr3X5gGEG4Z6igyHazTIgMk2HGzVbe7lyVuu7HI2cNH eikjO2MHBiJUm3eJiS5hLEMghxpDXwRwFNWj83FpMdI5idykdyNuqrwwbkZfj4hEq9GNYM/TFbVe 1bcP1PMNJuPVvXyRGkIypFjHhtow82MV9E38KniQUIQMNRxu4ncENkdPM5SNaMYpLRTgL1Hj5Miy +wmJPKOc/uFHokwqgy+EzcPldNWzLUq/R2CcXGJba2wfI3szDKioqx6RtzMC4Frc/Vb7sWe+My2W nHZdNt1+n6a4LppI1v0lyHtoLJTk0swqa6JoPqgRf/Oz0tmGl8zOHwtyb4WfTjbXTJiIVSZdIKXz CCx5u/+YYwUPlwoSgMS07bIsJu2kzl7i5/CcLka51OdcAvaVKl/dzSLvWTd7jh6e9IpDXMWlG3c7 PJtDoq6mPwCCs3qgbVM0171gMPd7Pvbgdx1sRsER4H57D6jqVPiPehdLEZlGI5IZAkGd1MCYMWLM MxpqQlQe3+BHsOAyN4x+EioYINgqgCCmlcmLytawjpgzwpXls2o2ISwKJOjK+b9b2yMeBi8uxca2 enEVY0ZyIlgm4/MsVZvG8qs5vzdAptsY8NvLeviST5pJMSk0u7S3p/XYIgtrBH6OplZHohgNoBNb 2RMcsERDwSEdrVlCH1B+eoRKmN1138AC5EN9OHRD/n1hoeqPzmj7yuQdxUn92a+0ze5hFYS0AqbE edLFq2zz165hFAa+8Yh9VFdIUJ1156mSW9VKEqXLRXv54UsZfcfaOdccyZ2jhhP2pdJVFwCn2qyL VXQIt0J2iu+s3wim2vWFMwmJ2JlURVeVaIb7B03/9PnO/UP2jkj8CnF49vaTvovfIt7bx3lCwFu1 kHffcd0HTJ2QOL1nm95kwXLyrKFDJrN3ETaUuS4LMdibrIRVaQjUeAY6+smych/qQdwE6zBuxra1 29LQrbOG6oVqqt4KSNe9Eypof2TVpT99nUEaDeKZVOIbZwrB4ZHvfBa06w16qhaDe7mXN6rg8Q5L RneIqH3mqGX/Cqhhw8RQmNy3iLg6OTxoph2LXohAe2B0fIdB7AcrVfNSV+g2DaYMRSRTmjLkcsVO f6qliqOtmO8ssJhe7guIPuqnV3DuS03noXSUg7dd4SLU/oIaUPFtxoMDIM5mPCGsQrwuRjbDPIjZ Kahl0D0RdEYfvyx/OBLywSdKv/s8L2sqlHadRzfBgA+VR48xnv6SYNr+9QmDDUDGVFk4NG1gW0Pp NIhU/6R1Ev+x9fFP8UhZcyy/h/FRRQqAuAaZaCN+zgemkodMSuPMaF9trZykpWE28FocylIP+BYf zwjZPvDO1VGMvJHhrHNuTKOl2vFnraPdUYHuBWFTU9yApDj61mNfqAdYA4hJsg/lLUrIGAIzY1Xg 2q56Jcb7ReXcYZXIYG6MvgYHY/LinTb7kKoemqH7xpFnjzbbe8F1Qgzl9BtfDqpZ125Y2i4fFDvF 9ER+YXmCGK0CerUHeq07Lp8hqV8WY1+i7zbAjwY9+GnESzJi/1yOUH3z9tTf9j7gxproKfbV7VXa 5bRHbYqF5SCYBCBLuyMv0ihH+PBKx2OEPn1pN7BofTU7Innur1etJUUVdoaGwLal7lwswv0z9flT +xRZjy6LdwbVk7iNeTgt2XlZdx2yKvkXNn91Oc7m2PpH7gjTCTdml+gxwt7bigwVmYnOFcl6JjKY RwWkYvPpbyAWOmxd2j5JoTdZdnvbg7OqVygOXl7hD9LOPT04lvq4BrzAFLSRTxBIBKondk+TZBB+ /78ff2ymv6MwnyhW2kfeQE9iWAucu4++Sk8Ok6d84TruxaxWVkhn9xh0k9q3UKMCPahnF9flBWhq AE5v1AWO42R/1meIHWEZIterW0E7uWeJHHLmIXc65N4nSX9/9agOvKZ2NyI6DMIrHv3u9+yGR2Mq //V/2x8/17syjwzL1qhVJKKahqtU6IOHn78vrm0HDRu75URv4Ex+uJj41z+9JVVApB3NHg1BlWf5 OOfAO8O1RC3XLRkGn6E9fbOp09n+k69RRMp1nZfSTQd4lcAtl8xtmod4hj4PrR2Ld0vYz73l7Z++ QBUlrP7MQopqM9ABi44wZP2sX6+D7R7KeJ0N62nXxO+KgmA1wARNQ0mj7Y5SV1QUnPfJNKA9gAxK 3E6nlb4OlRyhuWv4T8IVfZgXZkoa+AubSHGPqkdRy4RKsdFJU9RsXBPxHmBso3YGkjccdHcLK4Kg FTzH0OezcGgpfy33BmAftYZZMiFj58FHmaFkxAgY8t8aRzCNJMucoYbmmsq/8j1xdOHiCHu4rAWL 5uptZr1WP/SPz1EXvw+dJi6JmxBFt+agOw8nsHrIqpg5c8FK9eYv2AUKdgMEuKQ1CtwOh84EWuOh kuy9WWheuyx65QXS6hYssl1+4Ro1KyWRUKsmoP1qz77jsC+cl56PTRw0+13/6ET1c38m5uCZChe0 Pmh/Pom/l3dg/f9t8bjw2p1HK3TcSz6M3mPK7Pan2h/4vChO1SxEeCnQD12jNtQTPp8AGBtOil0Y nrWA9xikoBTBe/5AkuS1p4ak15j90/WUjGtdb4jf+baxpRwZAOZ3Y0Ctc3gRVM9sFowu3QPBI6EP 8NGfNs0FjgRWXuwV7zFU1HLWktkl//DQPaYVXga/0Vu5E+Q2mv24qplAtiEY2y/DC1hMN54Fai0s p86QphY/ACsUDBOyTeHI/HHBg6e5dEWQ44A5UOU/lcg0KfLldPT1DZjQkilyWnARMZOCFz1OE10A B1x2aC0y3L34DgzyKXYb8i09RGHqREeYBEokHMNRiTdaZEmC4LEBpQLd+BEwoW94VCBvTQqBtwmj OCeoYY1wVTiPtznmlB1zHoZJgvgPHxiGFMIIwmhfgal2a7NGJKEnxz2JgtZXsP1UQaPxseCIdQuC /sz9Hx3+PkQnNp3Lk14HxuYnV8ZrqDmOA80eVrNZv1qei0xs8A+wxHBqDNLPZ9gUzFkhlmBlUImK 7LnWQuPf2hCoW/Uquk/Gu/9qCO6Pezi2zbDI7hlxtcYLBQUqCAMFF2IYWZH2SGPLDGBxdIgKhWAp tZOGnNU0VXP8rAffrb+9icuh5a1ny2Y6FfchTMHCEB3PKGhtm+/bxlvIC5E1nN86jVNEdv/Iyj4A ONTPemhDdKMIYuDCHMfwu07W7Jn/Uz1QhvSMs5/mLogtjjbxmdv8adsysV1GXgjWJV/BAjgU/f6p Hh0ctajSqh+NK7NBKrSiMWQtnW3mgXL3iH0pjXKbVdByAQ6MiW3QpbBtyAYkGdPpDBVmLMQAMC7H 1XbidGzpBCR+ZCNbmVXPR4R+XuNtvDuDSXVl7UqlJXbQco/bgaMeieBkLeZBvb0JBKPrh9t3xmDI 1Q+aoRl4yEOewKj93sTLaJXt37MxGCLmGtZcHJ7JWS9xRabu9vlBQxmKSs8IwOFXiMLuxCjVLeL3 VOeInSIRgzkoa1lPq0BB7YOR+QfbZSaM9n85uX/5dzn88cw8qg3mI149sBqNch+R/YNwmNsCc0RA Cqntm0yFP/w53J4UVshP/elL7OwP5nEo1CQ+LtHZV73FRLFK5OCmJKAKQ7ExNhVi3bVBs/wXcxTI eOSVHVlPMOpP3XbDhO+8vArDI/9mdK5VESkS/VENtauD0bjAjHBD0S1cixlDh3nplfpwgqCDyvJH eaPJ5LLb/TOZcvqqDNViFpl3s1Vku9FbMijJvvbXNV1jyFZsdfGWJmLfXxGnNYE65F0rVyh1xyNf zLh8/q56CDqDi9S4Ov6rJKPkZ2FDlvpULflJw05bwO4OTwln9/2cMR2iHr3Cg1CHk8B4k63PuV3l N+gj++oq7Em4T+zz/dNgLMEFbA6wuUZBibuZ1y3oPI2E8vjKIzAYyqru3dmMedaSFFgHs0DhKsjs NVZZ8ezwbthmV4u6TJWeo6W/p01u0I3JGks9Tb/D63hMfU5GkMVMwCO22cDJDJfTBaDY2Z0zDpx4 M7BaZ1Gbh8E7okiMwX6l6MIO6CAMonlZiSHK0rYlzEHi0Pb9EeD9XDeIT7BeMR4yb/QPkRs8Hd/5 Thnps+b0jDbBOjCKz6YW96ALjCsqR9gYYv/jccXb2di6LveuiorRIY3Hw45Od8EIDOAHIlEyUPi2 s7xcRz6vZ97DDUUtLxRt+Bc7uybj9vyf9neQizTB2MxyBrYYnbi/hVVlfu7v2EdISs30tEpUJ20n HSdc4//Tfokekkeqn7HEqKKZFLMZ+ArEP+2XNLBa11ckYEnK6sdMOhNitf7T/g74Qki0fmOowCjJ tPs9tnblz/H/0/mCR5HiV56mQY2hd/8qIBtAqDVuQIOeoB5xRFv+QkxnLtW5EE09vgozfc35aw2f YDYvURmHtFlkA/fozwFIZ3VnfHU1vR5q7wd+YgPUUMSFiV/4qwBm89KLLcvIc4MMlyDFQiPCTl21 pJhYL/nmk6pTJrwS4IHmq5gfJfvZK42W5SYXGYoizru2xM0vMQG+C2k2hJRec08MvRE5HkJF7yP8 WiyJwE7T13U75RnQkT6hOVP6GRV7oRAxCWmOSCzgvfp6hUFYNgurrVTVqeOIpnlMCKubOOvE04EX C4fQFFvMRpavdUawBNWZGQkEdfjyqYZZ/zm6BH4aXDRtK5rCwmLAaGf3zxrFtV5vflmKQDQWOFGE ER2a9qROalnSy8f8S6NqJa1aXRa1JwcB4/15o+eQVrLmwgY7mVVe/3vjMqO9WqJPXYx+Q/HdFTXr WFKgI6og1ZdbNPOfNPfcHO8BMJQShbKCTdfs3RVppXs8h/A+rm5p3UjNAZ+lMK1lVF/JQ2HWykRE Mig21xjE9y1Gx8lWKUNIQjkWiOzTd0HRpxpKO665UCEg2KzNbPYzE7XZBE/Bca1tba35JzLsC86R D76mUxxieSsPkvH6ESzkOTIfOwg4jPaeThUMAQfD4OepoAq5GogO3NlyJgsKhKAjl/QcrP7v3437 JT15psstGspHY74+l4ttU71DxKlDKnDT/CMkNU+VG+Wy86Ey6rRkOtZlmltBW4uK2jIOiHS2qSuk N1UDmDa0+Kd/eA7ertLFoFC3tS75iN/jmuKonalMfJgwF0dn0ODEJ44NPFxpsdulSDduzvxB4+5k HAdUBrp/ryj7Ea9WMtSW1iO5vmuh62pc7biLyxahn5mxob9j0Zdsq/0e23cUXtG304Qaju58Fy1j 3/d6uEZCNRMnxVKmBUgZmPx5/7tZ3OXQXzNEQt97eoay4hXXVJIwrsqMsWJZXMxvLl3sxTIxNDMF 0o5KAQX0E8/7vmMg1uGQGY/E1V2LHaAgYF/FAWNbMplsZeqweauG2r2+JQy3tL9kHxTcagk/SuY0 iCZp7lvTKy67+wnnnYg5eYtx0B/5vHsktzd0tjBj3WkeFg18uoWJ5KBoE75fyMVq9xAw9/UaiNsi RrtgIxB7CWvxrhhVBZGEdil+Ud1MvEBabF4BMSqQUv9RcUrngTOxBviZ3894ypILpRPg8UYyMteq G1RVj7gVj7tQX787myoc454lnPXj1MmQuJ310i/ru8aMjt9HSXEpZDueseiNv3wCZxMshrVs78nb OiRCVayq6Zx8WTNv76BNvB4c0sNM5Z8X+cFTpeavJgcF6hJeHwIlxi7uLfVOelNVk/WYgxsLxtYn WWa87qU7QJ9R1KraOgcu3oHwJ+ssrSDKBZ1dy/q13KfgIv5Pz7cOTIvG6JyUahtifvBCg9iv5Gjp 0LFgLbS452sQyGYe3N9AO5PSN/kD9+u6YxDdwXdVglmozcFkhIdeDAQYaFR98ObRaz0dKmW70c6S GxysmiNLHGcAnCx5tr+VbcUqL2Qumy04qTWXU4DcGo0sKoyn3w+hv06hge2G3wqEPNuL2jUvNUqq oOICKAIMZvnAnOxuzMBGYuxQXpcxRKiVFwHlBCgvdyiBNQwgUc2PHM4RJMEAmNxYbAWcthwehWhp pHfgLTrhTC2X9VOc1mFU+fflz/H5BwV0HN0YQU3O4Fxar3p71OODCjRmPYdwDt2pCRm2in8aHzAR Iwv3/DipAHViBhuv6BS+uB3dNGcJ2Pj4upABNglydU7+TeW6PITBsc9QRKMpKXWR55c1dcyV6fNC bW7pjzfJmqj3tuM3OBD8piYUiXT68A7cMFt8cynj26r4PXyUyPt0SBAfNhILL28Sqw33lgQuC9nN nsFb9x7zZMoOsrCIH8pc4SHxLB2CkkNDfCHOG823BUA8nKL8ajne9iZXkJmDS2gnEwRzjFLC4qzC Ojo2i4r3freCIvy7vKcVU42A+uf+hXrYAaCUVQhxIhT23toR0mYOTOdV1bGYjtlvD8W+pUow8Gaq dGWbkYZdlxyGnANvPXBBzbgUXWiCG0sZ++1efdif34c7bbWo1wssTA89wj+Oa2zITi98czR0vl39 Sg00aDP94J/GD3O+aEPGbFIESnsJaZ9eIf7b8fTGXAYQkLbQ5W+2VdKOgmFazpDZXzyBa6U792Yl 6Vz7/1T/SGkmFEXYDLf5KLhgviXPSs7y+B64CFmu4Siiwj8C5pqqVStnm28XnH6B92RNOg8bxEQd 9jH4x7sK4ERBvQ2ypC/cmIj51+Znb0OWLQTLWhwrloU21H5KrWlW83IRg12xfoiRngCdbvLy/i1z udFDkIlCxmc7GY4a3tM7U9DVnKmQU3X6CGrMuzzGQXnkr5w70l9veAo3Nou9jfx7iXgzZl6C2N4B 7lX2jk7SllxRZfeynWf0gVUrze9+GAa0Eu6PZldZXAbJOhESW/lYxiC+46uPkxQcslvYdUn14Avn 6UtE2GmkWCVXvaOYv+5kJ02F2bzxLM+W3LWnmRdq87/nHZSvnqvh7rZmCqEYQon0wYkzclaHtc+x /Y4HZAUuSpd1QMHISr/r78XKOnrmFOS+2jCU9qTZy9qNb1ULZM0mRDHeKTVgXwk7cZ4m194cXxrA odTD06TQibgwiVD58jTjNMFn2xJ2SnEsLdPeahfRnohKp8BUKR9q92CFSVifONKpBiR/S4hMcuwx XgIQgAtsg8R0bjYAnW2flpwGR90eM9Eogod2vzLujSUjSqCi4hqF3xHcwUvTXy+douHqs5cLx6UZ NCr7wfVpGgYD292OnrXs+vObHDNAfbUQCRb/RQ4WTiJUuEwnSNmTH5Atts4tA7y3DurfTp7todNu /QMRz6jdUf7+Ts98RKRRzNCoJYNTK7uKou36IdWolldG53r42KBV1lECyxaPgYogkj9stVwGhVqx Hqw7aFvzlS3+RtlQWVdReDjc+PYx2/4ZY8E4Z9HullA4SGE4Zjl/2SeGOQYcVTAcje+MAohukpfP wLvBqu7FtvikzPMm/PKotfuu5+IaLOdouHkdpB0SUFUf1MbD3DrSfN0Qo1tXaLnZN8ooprhywuCq tGRVOXs3f+FHqw18My2dCxSKAcvlcpJIxyC2iovXRqgkg/tLAASBMWKHUf1YW1Q+9HJUV0MualV1 dRSZM7NL22EYocKlkAl2M4jNypMINh+g0ZwaZTQGVP/WWXiowryYiAePZO/x5dYpH4z4d0FOERgh 1TZ8jlHTdgYYlUP/x7tpWJtc7d8+VWW/vW8jqg+ImT74QAg+VxLysT+FsiI2GHrvc2r348Rb2yRJ 6kK4XEtqhucrM/bsmYQQF4NTemh1ltfUqvU3dACmwJBtysCeMbqHSp1ItqB9NooDX6byM4vhbWpV /RJb2tXga0SehaHHX0mYKcAuf0aNQ0+Hiyd/HYYktSakhAzCGgQXTQTHwRz9NTMeZ8KVq0B3RL4+ NSzw0GhN6J9iAp8SEqGlSifw48jxwLhVixRfv/iw51GRYyNRHuYP567IFMVDGFYMdnSZ8pfy3OYR ELT/lBjx661ErB2xz4b1qb6staz2jHCKN9qwsyyHlMhoujKOl2sPuwfHJVIN32OS/qbrC3dInCVY NmSm31hvyS/MCJcMFj0JtjkkNMEiTiQLkzaWRk41p73nszJL0mT2XpIh6u3ZCBGCAChQ+00YjqXe eq8L7VJxlSdmbV1rwTZDCh5dhSvjSFrxMRyEdP3CZeItwQ9YpP8YQogCobMzmAnnCfagJBgRwDi9 i41GcdX6xxN5yK3uclfgNwLBYRkdLHcxl3k/lGEb8yQIpFQrcKZ8CdEO2VHfLso6CR2McAd4j2q/ fPzfT70lHTkbdTrZ4tCKfDRhgwfW+0ikXfazGPRrc11CBQPqT3YhqCJFRwW6ZP3mk7jcnXlfVzbQ u+ckh/iJHWlDgwLyUhD0OrQZq6vM2OLEQ2HZN8xgp6j5yXapaBJ7URx8MfRKTnWrxOjdr85p/5Tc 4PrxaMyqOdjTlzOBd8IMNQGQk5Ld4SxIvKAJezSczQ8oi1Sl3mrQ40QypKPXACyQefXYmcxvyXt3 DTDNRo3jbJ7ytV5tcSF2Zn0odt9a/RoXQHRAQzDMRTBQPMrroVWsni2LVj+a82GyaN3P5leOgQRZ fzK32K3w7t9gmW6e1ElRrPpcIYYLng4nss9K/NAsFfVPhR60F27iO4StSe3NkJYcUzgx1LCHnzAX KZ6SRDM/8xmr3ZXXKKwLFGYsRR4hAfTDlDxub1Ja61ginGii7VJAkONB8grMNy/WwLUttIPFf+7L JquM9yq5/lBQSFZ8v1Z62KnLz4/QZnVD9P+E9zBNOo3zuYp3ohxBT2+jYg82rSQL3mxbc/Jo92pD jAyZCOnWC7qvgXGHK994F5M9wiKs+JkPcMwvp5QxegebSyFveeFAeUyzl8SW+oHSJFLB93iTpXzm cClC8a11yLkqnWSW8EQD4aWPxwAOKGQIoBSOgMrfEXsN8AEWroaZh/UdMrpZ8RRwqGxRavLIWPMa atpBRtRp1vTeN7KtJwEkijnLVvz30BjGDlHIXDab7AU4ldhHjfE/8/ma6tpSLGjoi4m3DJ3rxsLJ 96aLMnKPftZT9Qbl/oKZCqTQbjDeiGyltQQH75H3DE0tsJNfG3oc+YT0ZI0NfSUeuv3sygz8+MJh qIIW5Wi9J990GdKUKVCf7vaUdqtnYgWZ0kbDexIXvCVjUroK5V1JxGaL9jhvRGB0IuyEPwd8cNp9 8GspfuRA/oOXozAyS1itstr3EnsoSedvpkkOumFbcoDKA1dovn/Drktazin+mQiwabZFAlqCm1D9 HU9WvuiqongkphzypV+4okAKzw1wJo6rPKxIrr3gjBE0iIWud2JGrkgpd25zXn66vYhUsLKLfEsu OMUabMnPhbssxhDTr6U4e53I3DMO2WM8LJbivyu0BB7Aah55MArBv1ji8PyzXsNvkTLHODEDO9GW 6yGgGd8mReMNo5ncNZZFkZUA5Tqa/l/MxEpyUGS34zd78rtbyXK6Iz7915dVUInynffP3rnln79N VsQ8qZuK1uEIfCws5uCHtj1jQqMKW/oPL6FM8zwKwJeIwbGIa171lwKx5GCMtFe2qS45egFiSb19 gLUj92EOAaneevOyhop6donURRef4SL+xH+fnl1hazuDRNCqC8uXZM71Sy0ZHpL4gEY9cJ2q89pW NS3G6deFH2MWnU/ibYRtkLp3IgSVwXwJ+45DAt8Pc+fz8Kh2AYan8wYXjYzTNfqjn26D7j0n/GE/ Bn2kcvlTlCRMJdKGNEX5mxq0XTG6mAWhwe9GIWc2cEuvQU5tu+KtvlX7tTskDw8To+64W9q4VvLZ 9JHqJIPoO0k3gzmWeNtl0uGXMU6IDTatdwopsypaL4switF+vdrJ2zfs2IUoY9MWZ/JoNQiJ0IV7 YJETL/EGj/ip9x19UFluaqBVOFU9luDob3cYJ8peE+Cf+RUfhxGd3yAYJd9OnfSI1ayJ+vHAYhxK euFWODT6563RrnP/erKytbdnAFBU5/ZhXdWDhZnxp5Mh3VlrAvp2w27prJrZR+kz+uslmVC1easB tmaRJudlGWa1J7NwoAumk4WOa9Xdk1CY1ZuiWnp47Gp++RQVmADfLAI7NpBd1lkmSUdE3xBxDgEX IhJVupNWDR8/Z6NXlT4tPPnnbxCX5NrQ9i9N4wbriQQJmdLzdKG/xhG3kGlFgI6A0iu5sJ3Jjzam kJce6Cw0kSOEqq+Y88/Kni1aiCGMuVbj+sB/2hhexMngk/IhLIS61kfaYvAvxAJEFV2WKcVNK7qe ODzeRQ6uud+cbdOJUDRip4ORQVwVHZNZo12rtS1llpTIgEWXHtzVL8QZBGVI+Y2EO1U5qvZKP5Sh EW4mKVLEEC52GwDaGJ/30neFfvWRNLYAHAeNtTcLh2ATkkOi8a/t8PkUPLB8dEWIraCLx9We8XUo 4kO7gj0OrfTx7n61AxzEeM5jkepeitg6VC/tLQLMzFNIzfRpNVPrex3rb2dc0K+/LASo1jdwPLz8 jjfw1wChaRbzx6IGxkefYV6CE2XQ/5YJdofLl5/Ab80lxPoNz6B9j7dK5A7T/xpLn/wJY0rx1YKM vqVSSA9BiSMPSHwB1o6X3SSp1xGjAUiUWrt26FC5i5Q/Oz0vM07cN/1ZNzCxI3becKLdjZf7t6r7 PNoAoPW6EvAJD9O8ZBMyj1qZKf6IPAU0aaWWUzRsi0OVcPMSn9TjV+EGp01BMpbF0LW2yBbnw755 jaLH+/GNEwnbiHUGWckH0l/P2gXakCiLqWWuft9jrSMDq79RenKxdcZmQnKWOejEFAq6bJBNWFr1 GLImunLSdbHQqU3WS4SfScd+v/CCGu4MFY9ZVlorkpYvU0+bDtfkYMO1mXffnzvqHrEegpgrPksF 3KwIpGut56u+j5gvXknJ/NGcP7fpvYWOXD5TpaRmZm0pa2fBEu+n/ZkyAJsC97JD6jDodJ0f3U+5 1fYxZPitGcj5VL3uc1vzDDqgd8QEXvoZFEzLGPc8YxkM/ARsdRyYiipJKO/zXLOdh0JmZFX4KzIq LttjqkgkIgGBGa25745mYi2AyJ0svxSwCHR3CB+VK+Di9c3IImWGHnDym84lxVSI7NzzByVqYmMM xAbxMZJRBi5vO6xsW8aohQ7rg15u/yjyS5c7BRkxqrXw763I82sskEKjGQlCnD53jspDiZhqQDDe uGTdcnVlbwJSVjQZAnAkJXYuNDMxWPO7uIw4G93DLHg/btEus8dit/h9FuX4bZk2ZUFShEqociLB OsunA7aRe0mfDpcVQM3r5OKnuJoQTgzujXUU6NFHX3xeLbydwGvMK9YkVC0xcXRKRlMvBRjAlfQs Nck1gIldjgdB8rOOBEmcrH1Q2KOthR8DYoSUCUAaf1muqMrGQqY44druoq7dnpt/i0TRndKRGAZ3 XMyfTwU1M5rerA9nTyvyOSW9k2RzC/q0vFl0vIt3lobFOI1bYDebgzLMwIFSKikaYUR88CGb75UI 4kO/bj4F2ENQB+lzz2Z8ilmmL1KdSwKstAOTocSfRvCe2s0SRicVh4SuC8AnfGn2EtHN5vEUSXHi qkGv2q9XjQZgoXmC0Y8sqjt7SC4bJBct539U1Kz0U8cM0LGja3yP2OWoRh3gNfv7W0tpaZbdKOIe 3hUnK0YpQVVjagY7YamEScn1KKvKWKdidUivavCUCN0GpTI7Rtuu3M9BT1i5Le36v4lkAEdPbgvz QwWZBRO+C1RHjZxCmwCsnrGkbyhfs+vdYE7XQsXoLQvhXjLYAkztwTbpuChNMyBIQUBdqtrLhf2S hc1X9tJeZbojxktWNUa9TAon3TwDbFg3WnUuXHS+htrqmp7jFShnVrFMg7giLRvYhYYs802SiTPX /0aMhuDJera6y7Lzwb074wnT+xSCCzemKF2zdlC/e20st8w0Dck4tOlLtjoRusmLLyxlNzyS7atB ojdLufXLHeQ094/1zVdI4gfMk0oubFG6WpHl4jKB0dWzHLz/U04XHDwEnxNqDiXRPFGuu7eYZ/lX fds8F1fnLzQQA9y30RPSm+GwTCxlgD20551o5/ujaBvxCCGHoaeukrqqirboypXWZrFsSiSBYYYi hll90oD2PO7vlFpBTcBxlsOdiE0MYpp7xAPHp9qbgM1GAiv2V3mKjVyDPTxVnbiJP4jW+n9d4zdN jS8zqyOLQbtxBg12epuoSwcs2fP9i3DcYiA6URxFcNUoMYroKHk0fuZnI98NvX+8gZpolVE+bp8q EZMkca1L1crOzhLlw0lPzh+TbI12+BXb4ITD/o7wykVS/fbYHGfrIYpkec+BazcXy6tEGfja4YXa EDYwDXqUKJ2dw/gaFNtxCmvu6wbkK+qQRy/EOSLLkiX7xweXPgt/WDDg0vDoYK8qHU/9nuB4dFlU 1ua3hu8WX59B0jKdcMTFkRtvHjPEsE6AHrApkN0nH4YlhWcAvxiQ/XSLij6hBmAl2CRpbZLpJGiO GiHTN9tSgJFX0G5McyVfpFNEcV8FE0MC0UtguCWWI061eB8GYju6Do7+FbMRBUw71y1gRQo+quiO TdKYyFti5gDt4FNtxfYbPVjaer30uB0PneFQlh3X5byhWQaUKlH2UDxvtDpoWseAdUxTehCjEXMU NXZ998/6IZlHtF+lq/t4VepxYaqPK/dJRa0Rfh26IhyG4xVbE7M95uNzOozR1Q2N0N+LQZj/uqfX zn6fcfiSg0ua2braM/6LyFlwd6Ub38Hjn3RUCQOuVxyXoTmqQZWrWAZM44EXY/M1HVW/EsCkNSHm DvpqjGBm3wUIGUEJb91ymbCsJFowbPoFxoGpvNXAtUFl1r8Qsfe3y4zTLbSvvPgwWyWBTpIMGHBQ oUpzGwVqWMI1Mqe8PMVEZvYsyS5GdbrPtjygljytrS1Qj13BPCGJfvy+laTRn7UCmowvvf1vNBWX +LhsGhPNuR07HwBat849VL6sMgf+TBCaSl+Ho2DAM1MzVu61C/YoW4f+FHTcrWdJoAzyGv8gVtPb CXYBXMj7aiQWlUtDWNKaSJXkSAZN+8MzHgqWpl3ktst7A6tg/mrRCO8J7BJ+4PjfEPHBV6tnK0m+ SjO1K0aUzSBwjSkR/i5Ezft3mWA9yJ465xyJ4V+6ZYJB+X3CqEjV2VwphqL3BXGqi6wnnIrNvkup cBbki5S+113c9mSkVrT1rai4p+gTzCYJs/5bzRJw8nHMltiMT6AecGgm3jm14F0VWsBN5S1C6j18 TO/FqHERriWogoBhTm2Fsc/YYkbk3DSQZjfG+tJpkwdDoTMHayJurd5K3JZEe919jd7K3xiZDs7R 583hzV5WdAdU/VcsQB1IJMcnwebQByD+tT5ww7gu8H8k06omaYXi9pxQClhoSUqgq6gjrJN9vaJL iW2MZDIocwQEYPibXf1APbVgUkO4z7a2y3HIGQjrAL/t1OPfyv2AqaTr5Rhqfp7P24yDQBrVaCHY y49bFF+oq/KTchGCnd2l4e2rZJq0LzOvdpdY1o1V4loRSUjdJNnS/6d4GipXF6SQXvTYPOLZx+AG 5D/nh7l4wiG9R/MyunSnXBNa6KjPpZ9CUxXYxqIndG0ZrGu5BMChk7/jym10KTO/ZiCW6QuneBk4 YNtqJdPpcwvaYv88jyVFfEXr9jTuXulhMywSQ33483xpTfGCz/OTGFK4gZ6L1UrArlE5JWbdroOD CVS9d915j04kWttZYk1TKckfIAVQ0vTQJDXmqXPtl+5lp3lwM8WBzXPgyF5dSQDKc91MoKpTWRCi u1iMDLAFqb7t73DOcy1v9aw31GGfXJWdybSwhjGc/Te9e7hbYzsWdRbzUIZvmANU+f+LvXsKzrP7 Hocf22hs20ZjW41tNrbd2LatBo2dNE4a27bd9z2+vzNPptPfM/+T53CfrJl71mevtfY113XvqOiE l0ncwIj2ozxTrAFTrQWrjWaqKFbgNSeaydijkbqfs42SY7yNVSRL6rIUhpFTi0oVnBgBbddKt9DR qK6EVjPcCZjm3XmfWs2/YZ0RfCYcNKAa7vas4JpMaVE8Q1EsZEpYz4UepT5L7qUVnWwBdX0BCnXU 3EhOztEjzqrFXGrFxxjVv5uJr8mBZiT6Tar9w1gB58g2XtMLE57g7IGdciUCjLCvmlewStVO8Te6 a8xbMOr8Rpd80uhaZYvCCuVF8KeROHEO3XGy6+75a8Y1dZrG6WpidYpGbZXPc0Nub7sF4BfnbdHA iYPWyg3F1uW9/HDNTc1drb+763o98zpuWIXALa1VuNcg3AkXMT8TNAG+n8pPEF2vaFTCvC0pgALk 5gM8Q/nLPpVMhlKlRBEKtagwVL7okMlm1jLbK1IBLXXtAhq7b5SzQgyKUXZ2BNR0cFAY8H3jCmCk PNOtkuN744sXl7mIO8D3P61Bjdlw1LG0uxdSYq7480DxcOHBfLxuQ2iWNeJX0o6QeXLSCFu9OKQI juF+nBX7sL6fZ+gj+tuvED0EsJ6/usxMuF4fymHnOWv0O9g0CKrrQ8E063mBQxDlu20jBPa4RUAx g92W+fH7vygkghGq9HsKrSWsPYtKbGnp9wVdN2zOFgh075PUGIb5aWws2Yy6rnC4CGdYtmEp46iw idOdcSlGiCZZaQqxzg+iVtV85cHHb4MrSdA3OfAxtYZUF7iCkdKUsr2r5O4Ok89u8Y59+O4KSUJP hkoYPVrJ47EQv2z2y4arp1b/FXqLKyuDbojGZtDHBM2dVPtixjpeK8FBhn81qe12lNNrLicQRCyD ghzli5y6knsuouTNVwZkcBk3fmdIXqKQBaZyOaAF7HCzqCD670GOqsfebZsXstEPqfad4L67vPVs rJpkTxYyZDcBvTypE0+ZY40DyBEKdfHfJuPgmZ9E+OUDq7+yBSr36sEw6VHSx2TZoaEm/RyyTQbS d5SEHnfrWMfifI+nycnJHgya7E/Qz9A1wz7rR/VyWnha2lxJQfHivuMWlwroCqgK95tIckAMkmF1 VJhM+0Vz5In3xdkmNIPs21rXNNSZ/m/lFxoGJ+Md29ODbe6MCvbGi6yeabSipmYW5KrIYPOfuViM n4xJtjB/JR8sWKsErw7hvi47m8K3HvUic537JNWM8CCNGOGxHMhFfjGvWIj1HIXb+7aEMExAeElA IyRJXNfglPH0pFxCydgo6CJAEQiWBfcC91SLH7AaW5undXfxiPRtzAWiOL/sEElxcD8LzcMfPOvr tKc8npcW+VzMCE85r0flW2lH+UX3ejU16d632XbdFVBQVKwqspKddFmeJfAo3Mcdtm6EPec4NGru 1EE7cODHPphX8aj3vBIdkxraxaIT9F+y0dk5z4ViK6slQIsX0Udq7SvysrSB/ZCZoEoI66g1/POB TPeNK/0s9KAXJoHmUXyrAi29frn2JoMeeWLKK2Pzkupje2pfX/1UUKF4Kw3evvYO+aTYMgcZf+VS qyLLJ2MbQaTLzqPSpQt8X/TxaLLq3G7LkJQGf0Ymhs2aD6QfrMa6MDgNzmuQuRTN/lrgo7vrfK07 X8m8EvzDHtvfChiL68Y+Av/qbDguxPpHb/YRw88whKx3Lkjoy8jToNaMieBR292nWwpyNzZlHa4O X4P2uD9dD1ZtLQKvyRTsOxo2Z/9U+YIQuY9Pl2fUU+hEdsvGplyCuvrZ8kUSZMGs4Sq6Bc77/anH 4rOohsgNBltBhGIsriR1h4CpL29V2vG9VzK6KmoS4PpqlqQ18VV7c82PxSO7WEMCoSYflCCD8r4z oLN9+fGG51KT88hcYtxS4CDZE55ck+skdjXlVLQAGe4oqVXZyzSFxzptkV5V9m0lvCTARAAVv9fi igysn8WH4ekOwjFeYHcYPXjcZpmDgKz1/lMBH5qk0kpR4dv1V8mM1vsXxehHrhGRUXCWcOzb0ObW e4kQhimEcc5hVcnmOk+en7T+EkjedHQJIHf6yq/fZ/wf4YaiaW9pQhjz0vIqFl/bwSDZ9W8ERadm qvZjzztI0JM1aBw7mPFW9cy+YbxqeywObgs4Euty4J66iUDslDqa4GEbMD5ONquFfKIK04OfXd1s kMpnwWq6I6/skLkYg1XzREeYaLPEvULuXYyrG0oONTxkZmkwxyHcWA9pFigxkxOLJvGeBPSmdvfq 1ozssMcXoNtJ+QbF/pG3qsEC4NFzl2s7mNuqbb1F2o+81ZuZmlX3LQWBMAzesWweM2iqSBYFVU3H Njh7Ue13zCX9ra//1n+2/3YMHQn6wT3jjn+uVMaxYNwA7r8Lx3mG0gV9l4mUZI+tuz7gWJ1SP6fz /hXdpdc+oMG91Y/24//r9Uf1gC1KNUNvYku3mH7n3p+cY+WjekCmvwUiStWuPRC4GipYw2L3UT3Y gxqdrVdqkzKsadGDY0vTB6wH5bi5fFCKoxlLd9FQQYT82oD14KP1R/Uit2ekuDHGRVoKdwT/gDTZ x1hw0Im2vCNHc9rsQXRtQfxv6wWGEbcHratof7pNv+AmTE3fR/XChmOaT+WS3ASt7BPj3fsI7kf1 IuSxVZ3uctetqdcgCUsevP06Z8mTJCKdIw3irp38J/vWn3rXHrIgn9GYq4ctL9lRnLffA/QOCeni O9mYkJJu6yfl9i53NPVogBad+mWUSvdWbGhDTvfD/qLqs+/f6fdJLPOB5M0Vour/2lMvHQRNsv22 2uihmqGb7AgLoKeYlzLkfgaOmbUUhDOiaIM6L/wlr7zGJ0H43tnFzWahmtZLav+QL12/l2vdJWgX Bgz/1NvfrgG90nFBTcuJ0KOvKEIqO/NKZALz9R6LYzqbkCpvd5b3dKhtYbcqzaPkaHgTxTWyRQdP iHTEZpPXsPFwnSNP8F8UZwD6/VvP2I9f6IWAYi3cWM9BYQ9t+D7yTPL1gqxZ62mezL4y42TXskKo QHXnW6wGfRolVifVZ1ML0uVwfpXT23ATFPCN3XC7WCaHTzg7cK0TMsB2dbR2J2NJxoIaQkaUJj/t Kg9J9TbP0mfBv4mnjmAs33YD07mUNtv0VxVZXQ1jaZJVb4ieWV8fr+j93K038UloA7LR6K14wMmO HXBQmmrXZHrgIdgcm3HwWuZkR44QpbJhUbewwmFpCTPeS+0Mf+WX4yV4H4R6WzNGsEjz88nMxCgx xjY3vKEVXXVOCPXCPEadFQbLFBMIDe39rDiX3RtTfufcqI2rTKeTJdy05BPUcwoX0VNP3c0W5rUl ewmcWWF/l6JbQ5uaoaRQWjqhkejuaQPGe+G7afl5vbXEbAP2LAMt8ITzKR6v+5ws6P4cDN5M0svE Vb9n1SiJUwUqac3VqQj4KJcsex2F91zy+bceZk5Rv+UKDfyf7ufdEYVMCAQM+0HsHzXLHTFBgPuZ aMDpZxke692hXjI4PM1nx8/TJEywdsuvQbXz2KOEU+MufMavFtAz47EtuNowwUQDNlYdJW1ccjsC a2/SY1uKnPpI0992cyVfdaqyIWg3IUfcUhBenxpzTihkgWEgI3djX091mlLhJ3hK3xxG5DNMx/+v +9NocaJyKgl9y4MO/EZDPvMOYD2JScpuPgI5w/WpITBWQ+fN/NN6YigGcmLIXCSG27Z7qPVUbQ9Y TxYj+3Ie24zYTvgZgwjhiQ0A9y8heNzOpluMshf29yUL1hd1oV423NyVl5QWdRsauwgKzD/df8/Q ZIx1KM42AzQy0y6fCv0kUsrkSBz95ZqbPSwFqpZ/h6n4E8sMCU73tenmOQ475v1pPwHG8meAwPEX XOEHjVmzp/nOtXMpxTbnHNzl9YNlYVM8+U/7RbCHhXIibtY9xD4LaO5ZRNw5RP1YlaJ/kNXvi/WZ pvzwj/LbPmR19YAtezUh2WZwlqc08H/dL5SZqv12Het5lp+Mj4X0F/oA85uDUOrP9KhnooXfwdHN +64GbomiQjIglwmpENC6YCHV6VKK3h7jrIDoYWAXbfGMqVybJtbRW4BzG29/DScLiU/Go1z4SiN4 WHLZc7pS97vhGsER3TAhj+bRW1j2vaMdlu//dX/h0O5/O7rk3Wdq40f9fbsPJ+RyF5/9SugHxfJ5 JVkCH1nvS0Ny5RiQAInc8J1qSZLd3/aT4MdotFVCr1MaKjcqYv8I59+Bvt/zTFJf7Y/geqonjvbj 5KaeJ0XvlNhGMgyJnH20qP38xnHiFKOgHKfXggv9K5OwewI3JRZvfJ7WbOp7N79OW/SVnva3eERI OCzXafpYK1rgVwtNmXEYFyY/1XEXr36B93/j++m3GeDrySq7WdRztg7+KeZ3/FC6eqptduDYI9wt Q4Dj65cRr9qNomXw2bqwx/jq/ZmZAeJ9z7tCBr8YARejJeZcj1S1XXxz3ruScaNFIATHBTuKiW+0 zrTU5XXroVmp1+0VTseGMRJBM1CcRkJoLHzAy4n0ubMopvnTmJJDejI4vRsGodvJL4YUx13fxsoT 4aOZC79aq8TaepN4Hei8rDZgWTbEXbAe3GlpIB6ijUaHmNKrLkOqKXAMy9ZeUwXQ1rGy+knXdRCC Co7vR1Q37+UqFAfvnmzLTSQ+jHy0njWEYh98On8+q9ykvOZHVQxWlAP7iuko03YV/Hxy8nQPRFiU sx1Wxa7gmiCBvX5+iUxsxWxjPF7p5fTaRF80QPjrEQMEeaYc9bvgtJcFXzzJNJvK3p7+dEbjj0ro pvNIMdZR4LsbGmquGi079p0xP7f084XDEGKfxWP1KgQUGsdfjspSrTMk717rovsouhTrOJJInN68 +Ic0X4UqVVHaxTE6xLdMGNxBel+HIoDR5HrTQX6J34BM648uguNNzZcGufzp+frd8SeuvRFjJgdZ R0ncZ44GwPlCAla+y95/AufHDwMKye3cLyGIo9raYBe3QJKfqGp+gsgBzg+A/TsxtVP2+xghtEOx 74DiEl8G4PzBsERnDlszXrW198tU1lLU4idG5JAkaUBAkX0Hv54tBSZgv09g0tA0z0u6c9lLuALz I2b7aN4owKwt9CiFlolpQiAVRwPz9uL3kY/BFRnYwgddqp0syPzTeeGj+cElI+dulQsyrG/4olna ZDgcsL5LTauSv2ODQ5J7Ilh5UU4XAc4D0AfaKF5MWUdTeWQmcCwHYv/2eTUBvGtigQItb/W8Dqki Z/7h/3oe+Oi8GgopJaH8Ceu2HXaU6r2S4H+eX/1pfbba/iRka2jqhOGXhDuJylgOnzzQS6UXW9Px i+H6wu4o+k/r76rlZxwxa+zKbdM2jWuuZOJXp4Jkv3ns/E0e2i9xhWvg1ggbUMBfp1+VjHnA+d4L fbVlxmqYzj6/m/hMoyD83hhrJ0iuXe1WxLCdCPWAkyPAYIoNpbkegs03aFpwtXNubI350YI5AmSK +5iXqvb8sKsCNtsUQtRZUm2rbAVBel0YxyvLG/7zsJH+xzVoiJLdvQv2TpWLivQInOWaMqLohB5v +r2CX2WdW5ks4gaH5lK12qgosX1kV44MvyxY7+/h/MLmAheq0dIoPzmFdFjKA4udNur3ptKqIyc7 O+90XelR/7SHniso1hwNnjOdcw9SxlMhUgU5VMEfP30tsi+ivZQ7vefl6dhDOhtHENQz9/WXfAiS WypmHl2WUlnsLCBI5tVogkx6ojPYGISYcEyAzxurL0N4Gyvaw2fYAygvlTIq1fjCuA0lVLHQw+u4 5Q0gNAh1EEQRYh6m0RSigduf6KDgUQVmXaS5ETDcsdG/ov0glPvSV8dL2vVyHACDcKW8Q/hlQeKJ 8fcSxXwXELyD/ecNUkKtnqBQdwGaSJBiAsT7mHdjFhlrMvMNS4m89KGIyOFPOX6nOkO75pR9B6xv Glsq4z/YzWBWYZi1va016n6Fc6kQyX5JRSCu4SFWv+pcmxMSZ55SFtK1xm0nPyh2Ie5Gmyp3RlhC 2FQl2AvNEKEMhSZIj5XdSDyGKgLhTFfHEbn3hf/Mdf02jXSMEq9GLTDstqLzbuYbgkz5NWkEL0vY coovW1C//BLkx9Ud7tFd9veqDcnKM2CIWU5J7tneKobu7naKokZl8SB1vLLwABBbXdpceMPilDrP FfoDVVz8s6Xhz00CuJiyM0rOPJn02G8zh/tZnpyPmjxM+FEHpzXDInpnYsIcOxoxA4V93EqTLSIk TN+5V46P3R+D8C+rQcOCN1uy1sBAloYoZnvtBARlMPPPffGgsMMhPVWSuL+GKdHjqR6xHumy06Tf GBDVm/CDOxsXHfra9nKfFdW7Rbs++JFVPkNaLhS1/hL/BDFAVelHp7q2CBm5RkbdPEuJmfqoGqYZ SifxMPxlPloUXY1TWiWreApiJtTeHcPzoGiEuLr9TCspfH+nDzZR9ckzawABO8KKih/RHiIzzUCp MVcsK2M65SSiZ1gtnNuo947yKaO3xHauS3jw5lQ8wk5ejGTL9wrfv2otm/T46dUfJE8hrViGvFGF yN02LyHh2qvT6zvvrNkIHjEcl7RaIfnlIaxsVtSFxwKs05E7iRp4PgX/bAAhUbg1gnthzHfQAj3l 00x37SlJGdZaKCleKXFMXIyFyXLjQgIZqK/azO97DMCDI8ios+3fGVcrMb0PliDSbdK0YwMhZxFw +NunhcBbGt8JiJ+t+dMfb9vMRzbMgzQHCvgeEYDdxuKwGmCqURqFaYuB5e6DTabkBE3vT8q6qmzs JTRu8/MKY5VS8aRPemSNQZw19P26Ej2RV+VopstYoBgHQSs/Wcb2vDIykqRhHt81g4/KRYW+XIf0 CSeAQ1IU38qRMUMNslh5/sKcp25VzmM50JBxonEkC5aLUUjxw+FtG5v4Vm1mI4f9nko2Qu/6vbGb nSbHG6i6WM7hWni29t2fmAtU50uZxHg2v3IVzrWAc8E58UyfKiI5glTXtNxNWkJP4XoVTq0hpNwD NuVFyenTBXjJKleSUcKEQARkGk6lJL5/Rch68oJdUY+CEfjOF7z6pftL9aBvswQCUDCpCbuKbAOV nud7l2oJXD2DZtVnrhEC4i2+eEqndc1S8eoHo3w3pTJ5ROlYOWzSe/pSfUoQmByQdhA1K6orYtOe jXUXu+8zkOABDZaNQsR8qUnZN9I62kg40Sj4rlgzldowD+KiUFcCM5p4FtXTm7xApRtOEUpK1po7 cSjsx1vheNAU9lFHC8sOkEJeQ25oWM6rvfKreN8dkeb208hDFU8+E6+dXOQYs8nf5vQ2zFykHkVS h2UJYlk6Ov3AgbiUbuWRJZ6s12wTzw8OdOAx25tG4/72SXDNKTaVuTp5Ay9iPI/zygVPy5zhrU59 lpKQM1E73buZxe4maF1yCmL/F1wO9trtd/nulhhkrt1cUzie7chNttT+emxSs5bzSVjc6onpm1hl w60iy+hyyiPGmSSpQHASdm1zpS3xp5JjuVSjNs2ah7QgL/9yq0Ze0n68DrJ38SEMKOBXxuaOYfkO BIPEHViivLlZkGDKCzz7kP1OWbocd3O/snhsfW9flKw48VwhxYRH0gNOgRVQlVJHytWUrCvIL5JA C6UB6wSxUogNUhG0nnNDIEVRqlp5tc9vXzOijKW5W34rOR2xSZWpXhHkwfg/XQQJEcN+9jX/qYhj 4u6m1gPCq1CkkItuNmvOMuJUoONt9BOZdbzBXs0ykrPbed2My1y0vBR9Z3Mm+3sWy2hCChbdFOsD /tDw5Il35gCery5szybOyMXrHh8NjFlVqfE1shILrPgeYLzjcHUqZTUFBuBod1KqzF96lQdIFHQF 5g7YHFTuR1IV+tJOPAWu+ITTFHVoj5cITzigZnPKEtclrQuKXW0DsfK6gPEyeL7hMdHKO7nYBd/1 j6KvIuE8rRWbtyIuXdcx8GqzCUlONdrPN9X9nAZlpW1l9woAHYrqgDOPugFnLc6UHPFcxDXr/6Jj +LP3ZIWw5rYjK9J9CFziTGuIKD4Ga5k88URdqFe2BSioMBpUvkoZ6KroEsUnoBT+mdoqSn/spmbM WA2oWchYsY5IsHWAvj7kjCyTLhOjhljx6QuSW5Ei+XPVdJ3UKFyAiqYO26w3koFThX4RxRiJIaWp ziyRRQOF0T5pIshQd/o3+kqxFgdpDI8qfzGHcyFa8Ks9aojIgu1OSZTQz8E4DhKeYJhGI0lZm0ol EMg2LaaMXWVNh62PyQr38CEREOhIq1MvMjMGETnmkVIUNgXEU9A82RromZz6U7NpOrbXRLWBxPok WHm+d09s/WvgaJqsm1/ubNLFmXnqafbVmHfzZqU0iU444obaj7mZZ3Zjmt5eelQxjY6Gi28jk/iI qjHci0G85G6VhGhD2Zdb/OFoKqMtDlr9MWP1OAt7cc2jQnJayEkzR37U+PjSn/nhc9uykMBgZwk8 IDfMCFVY5yUM91K2fav/EcypOrYo9m5WV8maEMNxs5uhy1crwBZxoT0OOoUBVCqDDs0Ss7SOFhf7 5djncrG6qtOAz4WKB/D3xkGguGpTnzrMg3xicPSIwEoG4dP2wmWOtDHmQu+f4O3bsLTAQtSeD+rF ihMtmq7x6iJyP9QzZahXVwRu03WZmwT8vakMKiFWQRGlWcRZJ+h565kEk88HPQxPfBMo3vvbK8Gv 2hHybpd+2TdKO7SBj4qjv3m8u6Z5pnl4KhZzPWE5vhdRuYfvoVhR4OehgrfcThpBjZECu8iNr6ul JwiEAg+VnfKbn763oUeU5AfRzAjt3cpUEGq9e30qORYKGbjovhXyGRJ+99Sh5WRXG+xtod1xzI/X KZqQwGBx9nmXFh6WhDqfuRtMtcLRVIvKLDXkM1Xg5+/QVvRJ+V6A/zTmnQeZfrR96yK5IjppYAlT wMCZV7bpmw5uQWn6CNZ3Nc4f0z8q45g+TlN8gVW3pe8hS9FC0jR3fema22WfXZQ0xefDkkn02NR+ df8Tt8+aZWnaNmmq/HX0swBDN1p7xglWq4mlXmG+Ks1JtDN0noTV+MBi94IcgX+/wTIlsGzDfZas L8UgpTor6/h0RtlYePZ1ZhTalSDnVHEh0mhaDksaVWBmWUMY6e1UqrCnTz/bqgF/k+sbp7QxJOhQ WORALqZSMMtRDn5QQ0t5gk5x+YyawCcBwQrPIqBJODwvwqKJehpg7ESFKtJovUxQo4gWp1ymazGE 4VDRfQG81XAY5zEenptETBUat5w2+NbWsPPPwOWwY199waTcf2ZubHOb2mn4z8kW1dVu6Hs5sLUy GOwkvuejQgUSMY0VCWMRW9RVZCbOkPwOaikbN5hb+Ibih/KYq8nFT2XdvEkA9MXOxz2PblDEm5dW DHGi3oDImn0ZKVPf+a0+wlgsekvKQjTbPQ6HaRAO+QETBdQNhvlub7ywfgf66KxI09Z0ytnkdEpf 4jlvyfRKaGy5i8hpnCsIg+CrvqI/OpIgJOQU7TRJrpKXRB1ijWUz1a29jBB/8MKJ5302LmHLbr0R B29Q7Gnnw3fBN0rPsrlYFN2sbBbHC6N+FH2TBP82hL62MDcPUBYD8EF9CcoC9tejE89c/irwt2PB m2Tv8/p+ueZV7sRmtq/0ugkIJIe9c9sR52yb2GE7Sg51wv//ufwsehZqOPolqWeY/K2TfM756tFT BdT7RpoWFiXM5QbYQJClK0zVGKY1bYMYzPsqTjBAMNjNX4BLKweyWD29G1xlyrRimNOFAVZ4gFJo RBs1phHwfgCeb6faOtqt+DkLTbUHX0jDb5mSK+CVIwa6Iet6UC+DVcAuXysVkwPgEDQQ9/p4TGMB 7wdAVoLm8e3fPQ44UlaHubGlBbwfgDeOC4lJodeYj/d+kW0zpkIPMldUl56yEvsU+gQZp1cG8H6A n0sOchQrGMoUtKFx5f0C8zneG/p+Mp+C+CHUVYzZn56PwxNWFWtFcR1eBqbH311aNnyy4yMqzbq/ fQmKU2lgxqKf6tXwvddVm9fM5VYwA1q9pHdWKrv2A714ne2GpUxq/6Jt5Tv0IMJKoMXNGj9wRRP1 MCLBmOdydLDoQFTH7byUilujjaj4TFltc2nwrA2yBHg/QHxnuYRlvKCvNqFgoBe2vCBcyHet9Dn7 V5uFCcfc0P3SNtwW09mS+NnxnTvqr/JFb06/xh9X8iNYRhvNlFwqD0MR7tpF96eOKXiETtBiPU7q MX956mx//p5pEAhtWAmMzcXD2tdeSyY8yFtM2GCZHTKXT+Ldvvd1GQpLgEMrq8RNEvC+CXS1XGY8 PJENAsn0xn6+CPAn9kWP51lhRYnKBhVtrS/XgPnfjJ3liD7/kfjwInWRr0GfsK9fTUtgfdjY62iW ZulSWC/ODjqkX+D1gLHYAZvDc+eLdKXF1KQj19R+k2YtHzdX+Z+nf/aU+yL1SaECNFMVNpAdh/SX T82As1hJeOGtVoFfrdEWP+H/eGLqeeGwsRjDIMte8YMNJsH4NY6sR+3mfuK13QD10McC0gMdad9O xlfqWGZvJk58FrAR3uzg7pWqDY0aZIG6T8fsw5lR0yZ2ZppG4quaYOoyd2USHTT89uY7e816dzRr F01RZ23qgydYD1dMdQgaLY+1b02ksqFKcdlVc3Ne28gE6AlUhKlSOdZOcKS+WyNAQtgoOrhxtBGY wXHbmADyjgT4ywVwE3MbP2NFIO23qrsW/uRTt9j8YVxSPwQur1+xJygq/sVp67fAdMCPiWhIW7S8 3ktCnWbUZnh2v9Z+5cwarF4dbcQTF7PeiL3L3DzOpPoPAMYD9GRiw0IQHoWzXvC+9hYUCZPBuYJ3 eMyJKidGqyFbXSdikOEl+htY8zICCQjNEhplbg7Qk3PVIyRFRYLWE+RG5WTdbDegJ13oRacZKNtM TF1IJwgbCHN5yABfpDJbWscBdxXO6v5OQE/9V+nJif6KRmCxNRLNhwEWg4neJjtFYfecko7yy5tO WNYnoA23cZXn67lNB7G0aeX5JDLXs4h4oDzbvMMlkeIv4S8Rv7CIKo9j8BJohBitzVD7hPq8/DB1 j+iyVfnWU3eWiJLrkys1W9Mf7hOrvgQe/+fpnz0lL6WYovFwv6GCPpPLHA2ocsOHDWBbM5b/3szi tOef1vrIE9bTg+dFKN6d0RqOA3ZL8IDBfCjnMNDbjVY0JxXaEtjh33rCtInZVR0C0+RHs+YBcsL8 /JEneiU2X4xSN0786SO7leWhc2O5vZCErOxKfd+a7ASa8Yi/9XS0UJBqAztlQu7aVMSUm2bykSev mBL1woQaqyQE1z6X2qLk7t9q9vnySNVabSt9pp/QhJH3OhiUhSoXpJ6lU5Je+fz+1JOZkX7ESAkl PFI8bOa1rHK+u3OazqypCqPjARFJupHm/9w3DOipXwarcplYJbJ8513vW5K1BGD+//P073qq1J0B vx1w1VqRTgEN+LR/PxWK5/k4n0K+tBs6pqXpnwToaRNe/3jKUcMANBgRs/ZoplKSMlDe9l7VZN1o VJz3qfO8BlietjCQjr3jklprdvWSR9o2Wrv+yXJPhzosAUYMFyu3II9xo4CZA52yuuRqv5eRcLma qL4joAvGJzKGoauzuZbAlNhl27MM8gryfmgsDz6Fh9G/vLTz1jU45UK8cF0K0NPWoUBvn2RwfYDL 5TXs+C8arQHpErsnZWJakkKfhwVDrToclZN5n8Ancu6OBcgfBwJ942CXDyzYt8KZ3PU/sh/Og88M sFCepUmUVDgFhXZgGQMEF3iFd35r4XUXIR7bNe9kIfjk5idvzjXbEUOXlir4AsYD9GQLYqYxr/FD 7tly94X6R4os34E6E+5hTUJZwHFX2PR3P77m8bdxxZK+pQ0PuT3o7UJAT/hxmxpyciIjNCTiecjn 2H2Ansb3zmw5cURnsrUNYewuStCeNp8bu+qtvwyeisZWgHLHAHoKgn7Xvvp6AYSmHxlAyB1R5VZz g3E0u1tZWBwp6rRE4V6WtLsFuk5+LBkuVnADSS+ko48psKAwEaRcL7WcmwvUeRJLfAW/MJayoJnk VGzjoknQI+IMWRkINt+2hYPOzCw8SyfbXlT1ra28E5lKnxkD+z9P/+zJqxbnqA4YGfEmmn9GnR+b VQkyZ+7Kptpa7TdYYIqBK+RHntxp3FbbMUBgdf016i4rmXI5HetVhwy8gbCf1/jr5FJS/tbT9KSb 6nUzmJ3DxVynusF540eeSk7I5eyQmgO+VQx0xFboKZ7gXcI+YGuHa060wDA5Jc7+racKVvORTvq+ J8cfeqtFDgwef+pp9mega15YJCgUtNt5y6tMkPmTaUFJrqq8oQur7dCZ2vWfesp3tuvda6TGaRhn LiGWLDWE6kHhYvp2ZOwQ5qtDJxwC95EnJTAa0jq7MLFIoT4M83eJTcD8/+fp3/Xk6Yj95mIFTrwf +ODUji/H5U9aI1czmKtZGAwzs9tF7A7oac030LaWQF/wrNFXfsYRuwOi5BOJ0HYTltfeD5jCuQ41 UJj69BL1Ug0molJ7Zns7oGfXLxfoILWG1IhvjC0GYSB5NbWTP+n511RCHlwoy46KwGiJQJTMN09b 4Lpk5tHJTlL1RRRgK2A3zzclgVluH40TVR4pjSv8ajesclNwJucpAD21VH2TMRGRDaXGF/oS6/77 4hDNLYkFf5jQn81PPdrQiNY2n7kUYzTOGrEZ3wq8jeYyK7g98EdYuw03DaKZulQVNRdqY1yiH43h D1Iu/FJ/lqRHNCkOfjp53xBBre6UON6vTaTnTg8Jc1eCo860kWNzm4DxAD0xaEYZGt9zb+u/ctK1 Ms0FzzUcM9QJNSlbZfP8PvegVFTs1WSDYFK5W7CmDe/75I4K6Kmeo6t1SMoqIbn3nCRCZE8F0BOd D+mwVbH5dkyeTKmNEI6xFd+z5Q2p+YVa748dxWiKcUBPDxxRt3Kpl+TzyUBGr6QQj4/cJst4IuM+ Jcio5oP8FiELh5nfx6ks4PuNdUhX9UzbfvHbXserj+xJdXyNeV1nrUSoEJbmwMLKqHHJCMT2zLSL dO144CBvOEiEBk2fTQIWLm0M6PvOLPd9ZNj8LTVS7PQ/T//sydCzUvq6k2RjqzSPyx1ZQHWDsNMZ iqvNEnsIGF0ZApTyI08Og4dXPvpEdputUrZIU/qYXhbDPmGdM/MKglQssKWLWn/riU7zdgcsGqNp Kuc3wx5UJ9pHnup8zg8uRIAg6AVq36vTs2WnMYmXLx9ZhkSSjwo/w22I/q0n0MY343J94h+6Lpm3 HtgSLn/qqWK4mRWJ0mygABXua0kZelGE8iQluUHUXDY/XaaWMTDLn3oSALk7eEkeYEHbjGaXZgYR y5Nf9J5FoxRpEk2lvWgFI/nIEziOYVraQlIIyRu2MntMOhBg/v/z9O96in7sWgqGUWoA16yNEA9h uuecOueOP+kNofENP1X2UwQB9CTNZrHuDv60TflbmCWwp8F50jNKDv6t3zmpIeyZXoi0UnOM40kA 3G7UHE/gqz8RG/ApZimosjwNaQ24Txg1Sxe1zWe4KAyRe4WI+jYXoQJIexKtySKVEk2bVUvG9Nx8 PauOdEc8qxCQKq5DoLDrQlAp0ci22rGCyF1eq87alUHBBkBPSPY/sBLC7avzcztR30LhK/Vxnn+4 Y2dDlB1zTg5IUPHFO2dpVUriCSg9b0SOzyBAQwE71VAZaZM1b9Wc7ncMWBdNaeMA5U6002veNufu 3T5qmHrri5H6BHSSONtDPTdcvtMqj8M6LwhxakKb+x5Q0QDGA/TEYYzE1r5idGlPE4mMn89CoNf2 qV0yJmNgpzSlX9krgYrupZC7wsYmD3jSZSkuc/wU0BNJchiFZThoR352k3tvLLkOoKdvSk2IZIG6 NNaeX0f9P0VIQU72Lj/NHTwSwDYnhpDBqwF6AiePhSnsrznXITSLCAg7ahFZcptIB2L61ZakcDCB Rc9mwRzscdUW5qb7Q5YAyNbRAQ0s4Fq41TyUi95O2yzzNz5XSE2r6T0jy7KivmExrEggtMRDOvon 4AP4XzxX3mvIMjLC9PzOjkyMz6KiiIcNj7X/efpnT8knsAhHFR6xEynUw5PH9wMyYy+W+TqkjDDR Z4KZGnE/P/JED017uzlVzyPCYhBlFDKeRhYOAxykHW3gDzPMu+KDrfi3noQtXoKZsFtdOKefOKXx w/7n+ROgJzZD2z6PX3e/wBl7gdd5q1wFs9klcybPGYdo97m63ckr/tbTPNpZeuzpLHPXb67xKIOy ij/11Ik/T3YvA13X6nBw0jdtIxbMR0D2kz4Bi4xKD7miRmjjTz0pMxPTliM5VI/P1NPkwkFXUo2b 0fj5Zr2XrRUQ1EvYO37k6TVZ+i7jlH5+b0DD/zPxNDhg/v/z9O966ggagBpBNDk1F5WFYULsh7Tb 7ZO+Yz20OQBi9Oe5YNcB9LQKd3n1/YbIakWaK+QUywEOvmh+XWxJ50TYSs7E4sigSo/ANtnmEYSA 5A5tX4DTR5+KhK/gBuN75s2ha755UnMB9To04/MAlqj8ClLU8qelY8TCdn66Sl+vmSUGS/igKro2 cF1FZJYbz+LYh4tJYt76iltnqcABk9uRR1jvIM22JUBPOh6TDg1pvw9+tlf/muIg8k69MsfI9MCm NBeO7vgCDQFCOVYmYmuXp5uIGHtUJ79a6UN/h5E1tHWBFGVdVM1/U/OJj3bJfnOk5X2EJ4Ve1GWH EGf6XrZrLhEqW1Hq4vg2wC7Dr/4oqdjMV79hKAHYSxMwHqCnkiaT9kKYyITXxMty6wrleYEYrRjl Ol4mfe68EBu9SlB3bDhNaFLqZeK5Y8NURe06QE+j0SXf6k2ANlhImEG/YxcSAnoazu3coGglX+4T EGXERD+11C7Sf9+DEtxK+CXCj+/GGAXoqU+ZOQyLlOwzs+mJaIgFSoNC9S0B60jXxUG6UJQqh5jj QftLCrM1zDknlE/2TNJ8zhVZK8NOfgyHvAezFbINsfpVJaXIDEnREZA/fmHXl0OS24hZUq41H7wh D8nc9Gy6Y9VrURt5Be3cWL8Uiw5KPIL/PP2zp2hum5dmhufw4RFmhI5e+rHz2bW6kbpJENjViQv1 mpeRjzxRJnvviLXnl5GuVY04ryTwd47mvmwyx0byZswXXt1eNv2tJwLrjmGPq+QvTbkS2AwvkZcf eRqWhT7fZVCQmL+KUk9I+JGUoQrFsFawAcsmyJ5XRUSa9LeehkfPsQeLmjsTqZjTInYWpT/ypAEJ m57vR8dLEy2cFzl5m/2bDdo2b3WhFJgKtmbPhWeEu8m8qGEvVUgozQv8ikSl4E89rRqIsFkolAXV l8k5L9bpEm58ce9TDXPH0nltJQCeT275yNO8fu2Z7MhotapsIK+dXh07YP7/8/TvelLJb2b16sMY BGbFWk+zDqQR61Zo7W83fTGt0eL8GqnzP/PTKGGR38QsPVw/vmcWh++5PCeVEaaq7Fmr+CNeYzLe g903CLQEzPSUr9P6bWbwNsQ5VTYZO0h9IwnFRUW/5zkSxb4X/yL1955roLv5hbzj0ouXz1BONFs8 J6ePjdJ2CaO8BCPF0LHNb5tZsVakAAtUlg9sNoV6g2maimc805hgSwEK6EmX+dPqOwp+ZX4+22Qv HALU3jwKL7hKmAHqaI8DltyUy8+uKiXYiZDdUqzAmQCapojOlxFuo5QjJvVDIOZt9daWjtqq9PnI Ur5mKSF4peUy/K59PO5yFmnlLKBFH1bgHBBphnisvZ4BzTXDNddGxW0nwHiAnoa35ohpywQHq4ub v2uiAKHgRFhFNMZA4Z4rhxLgHQZAnJ9eauvgsRO50GcmFTiq1AJ6WkGb41b84SXifLJp7X0Hjgno ScvpePgbooscCzx2bf7JtRtct7wI04N3/atyr3PvrMchoKdVJjnxEVa2mADCmMr+ODRRoQV/ehqQ 6ffU3dWncd7kkG+uazJio9zqwyqcRVJxvt5Tnz0CSTjRB23JFbpjE6MFqCIyJ6hnp/gOVOyXXh1G ZdoMVotMQWuU8+xlghxNojvnIEImSoAZ5uKFE7zYLrHF/vP0z57UxUe7xI8LEd1UA27fM6lxu5eV i+6uf69+lbkwBl+Z6/nIk/hkJO0+zYN+Jo5ZaQ4Rp5t42CDWBrZP0dQqwWhygRLG33pSn6rbZA5D AIIhInlzRq9T/sgTQhz05ezgWMcBIZBV5xZoUO309Z0/6TV3v2aRQBiqfd3fetLG8FEiY9mdYvsu cnnHAi32p542p9S31YnfTr/1tK5CNeI4bonKqpBFjrqdCvjodHOrBv6ppxfLDalp6eP8gNv1mieX kaRkxmNv9HXuX6IXBkEviRmYH3lSC6nrvYahSX4RE/s1kWRaDpj//zz9u55iJsBWfdJ+GGafDLTO yErAzcG19Jgobk6Yc1P/GunM6AD01HTP4SesSe+9HFIfw9tm0yeLXtgUNdlVgfriiBWx82TlPn6O GO74fgzRZ/54NyaMXEtkXyVmo3GHQkAQC3UNX16R54TVrPW6z0nC76mxHLioWlWM24xFrX6fBCRB rS93YLJ9pOhpJUKxTiaQwQrBmkCpwKKu/JOxhhrcxM5t60ED0JNPFBdN/yv2ckPnUYxrbZju2yKG Qdtb+ErO3I1pD4G9aDwmKO4r4Xn00Fgd+r4dUWj2CVPSIj11BAnyfr8tSbZJYicJ/54vjUxpo3ZD BOMqJfSvnkIlijY0eL56CKWUXOvHqK3PZ4vTsF3BZ5kHt5upgPEAPRX+mu3RCideOTEdSYrPxMU+ VDGTGul5zbvWUOmRljzXng1tYvwWD9KUyfdz8jVGkhnQUy6uU6YR1Cx3dNmKOBc59zdAT77E36Ub YIRQNr0gnrSSAtief7lk3eVTVV7brpOsGCwQAnoCjlFxl75Ttdb0fm4wbkQuBGVMS1werP5MVWIp yvXUU6atsV3hl2pWCublMBq1kJPCKrkqhHG7Jpjxcj+oTkQsVqVJRmOdsmjUdYsFN90CRX6QBTUR VZFWieGL3MgUaYFZ7Dl/HLOTCB0E77qefxgf9p+nf/YUblEdxesulP8C77IbvCIZi8ek3PBFBdYl 9xET/LV5AO0jT9Xh+EXTpnelwmOR8psDB63tF0PrBOKWY/s7JGenXn0qf+tJv65dYuitGrn9wnJK Fzq9+iNPBLBhiOy6rN7ZbMYCnHRrkMOIGJTgMzkUpgEVHMj0TmJ/60klfQY5NgBXt0NnZ+/KJZX7 Tz2Jkub8lDpZfRIpNdpne02zMxDmBoLZYLfTCxGNxXd18/9TTwUw+xBbqVpqpEKXfp4tg0yTqI4a 2Vvkgk+f3FCUrJW5PvKUl+0Upy+USFfXFdSOZaSIBZj//zz9u56mU8d2XYUh9SDroxvk6NxssQ39 nl6ICYS/SpNedpy4kQJ66sR3N8m/yhs27abrvCVI/Hl02BswwiHVWwBj7EWECVdh+yK01lA3REa7 imrgMlDh36qv9+ssU9bY4RjqM+nxG8umReup5Ua+XXV29WnyEKH9iUp5pNF7jNCVbZK1nb2BWtdY rRpWxrfcRZIcfto17i/mzPZQ+0z1xOSpc+u4SOvigJ4kZHdOMGzjpwZRPKs3EdDTEa42RV/miZIk i24TNjj8GYSkivL49qocneYC2YqUrgP2CW03aIYDxfi+T5okiIKxboA4md72z2wFf7GyqraGBD1G KjeKHmbeK0kEN4/n3JsSDK2NEAVnit8Ao6j1ol3IB4wH6Ek9GJsCcadXvq1z05V75VNGfofTbzn1 dp7vTxmT8jwcucCq8ONIX+UU7xIEAhCvA3gAPSmx+Psd1NVmE6SEuJtZtUMCepL8gm5o8+JC/aPp CY8SEf1TXs1cs3kBi23gt29GSyyNtYCe4pq079vnOIuOQk8vJdwIhyVGCD1Fnpfs9dZLihl9nUcP LcEqyfU4TvZqK9AnbX9z7DVORO1uPnCYIEq02qfMvwTdUJfRhaR4x35yMfeDzE3F91HXFfxRlHnR xZ4VBOcwKY5xz8u7HsyyFKWgd2m2Jfufp3/2NC3Rahb74pkwXpm795qIlN0t8T7p8B3pYPjE+ccj TBz5R55uRrYqo7a1Yc2cO5EMSR1q1z03BewMwTsVilPMyMv8lP/WkyUN0vpBiRAWh2PBu/+P17GP PNUMZqt7HHSXYtMHa4X7CYmaFxEiLoLwQpWV4HCB5DXO/62ntVthaAdWh0Q+u/qDBiGazD/1lGwz hztW/uuQXaZ6jgWWNtj0e3vu7G9yWmDJml7fgSPTP/U064tWOoEsu3B3BC85qpe9UF8vJo+IxEQX n+Gg67ecMPmRJ6W5yZPdSdGzyTwKMgEISCPA/P/n6d/1NJHTqYoDqcut7WDMgpbdTj2n9rxlxEKv xA81bMSf7BkL6KkWTbX60F6AnWXIiI/l9gfDTncbvneYgGk9B2w25wyoFscEUGrdzeseR3l9whfc IuzMdE+Dtv2RxLAUa6J8nCwCWiNSTxndl3rzdmuVcd/6jaX1mAkOC6H+OhEC3qP5VsOO8H1V+wuN otzsKmt59DJ4kvpKk9zhYvUTVDVLFfVvvICervVF6G55B4Jradl4YoZz/YPelemSDCWhETtLthbi NBMzA/m1Uj1AQCUFK/UmvLpJmYab4m+4Nuype/ttv0EUQIEVTMvA2a8+ofaZHnG3Dmhyn+tejn66 sphWDvgllUR7dR3zEIPVaVt/KTgdkRAcygIYD9AT7rxvcK4v+VyILXvEMb3COjYsw7lhhKY0bT7q ipqZ3jQFr8+gH9zbwtalQqwNO0/8/3zfsiaRnD8OfpPZAScEv1iABOgJN4SUVXQQmqOnvTLlGlFg DarjEO2axq2nh24JH3JhDQPQU/ljjZZLyhocOsZbwEbCLpkFxj74Umv4VhCa8wX2txWCHTDPp4GR J9WxuwDMQV9yTNmdsUMymlcD26ROfAEJAcWjhkEgU7JYTtmsNlhMJhzIzDC0Iq60Ov2gqJ/W9ZnW WVXoSiMv/mxb56bnDAYlkXD/efpnTyl6Zc8cO87NZo/zHdXwX6HE49ev6enyMwt7owaCP/sKf+QJ Gr0KpeoudQfNFBasjshWS7a59LtdvQ/pab+PHYPkfN+feuoJPXOmFnIo1xSaTSb67BA3pKqzXNgr zAI0vucZyto995EnaBSuhqqcF3xtuk/EHQSi33V1+y1tultid5Gfe3d6Za7/1lOS0VzfhVo3+SMi r6T5T4LhP/X0e9w5ETLTJhzOeFI0GIW1UGLUEXG++y6R1bTieoJmceNPPWHoj6ztTOOFaaAVDC7z pILl7FgFGS9vKHW53doGtdYzfuRp8o2keMhWtupEgH0pEoE8ATD//3n6dz2VaTZ0GmK5bWsJqZzN 6g8VoMez6xDvx/j1tVMUuDls5wF6qt9xpAKeRM1e7zbUVeqLLYgYHSKIxTWFOP1KhTUYK36cX3r1 xdKgMxk418AbCKhfzvdlNKcehGkHhP9GSO0QvZwn+fNOuAKw9A336XgY/YXBOctc+xkHtXwCDif6 7gqiIXSQKCW8bD/8M5+eWg23zmnNUcg8PrsJxY+Ig7rDPch1QE/6+Qn6o/ddQBSEh/u/XMkzzD/B oN9btP/oj47WOItA+2Gn3+vPzc5SNQHfDURIFd1qaFDoEgEiZa9GhheRDuscG7faZi2hSLPgU2A0 Qzo7Meq82HjSRSHMUBVbXMpJ6+BPtbAe0buOc1SJ2BRmg86gCBgP0BOMVdgjwU4DOeZubtkvA2+3 MZ/qq7o27gO7RW0I/eDfpceX1zTJjwhQrz6RaCU4kmeAnjTW7hwbq0979LtNrsC5XXwBPRnh78iI xm3Tqo4s7bGge7q2LZgx3s/38I40Gkax2WUvAnoSieKsPe8BqZm+yeBICrlCpr9eMHAhSJg/T79y Mxkr34X+rG0cgFW/fnFbdtaHBuHHYr0QD/N7fDHn5Wv2LQoQ32foTmWuxrbj9Zv5i6sFSYQTfS8P YCB73yAOxhDIme02BJN797BxTxB75j2NBwRQof88/bMnhvUY5nNb77u4Wff2mvnTtDfyy5BcRNfh xPfymHuU3//zfQugJ1c0qG9DAXsU0J0xIrOwYmoOOSN4N6X09X6xTIa/pwr/5/8w/tRTnTom364Q ZpKaNjOaodso8EeeGN1j50s2L9d1zRYXvQuny4IsstNzNtmeq5wSqZdbz57+1lPnZ0xqj16X3+ZT DXufp22X/tRTF9jwyEyqO/i9nbBl43TSBNtlqDLV1FkXClw9i7fJqMCfejrSD9TK6cQws1W27rNm FBT/jhPtqvUNEwnS7yKAspDx+0ee5IQ72xYwBdM6Hwzw5UWJRQHz/5+nf9fTq8pkQAFT29yltAXq FWcjAkIplRRqAtXmeExoz/IZJzGgp/d8LKcGwRvncT+YXemEOLnk9wh+1xBolvDFZqODR31j5OLh jPS6neFk18s5Cy55a+GBtL2+dCnL2Zu97lsCTy3wENfHT/RO3LvPmwoCoMIUxRLs+l53KS3WcZzd JMhpJpL362nBN4JP96E/Diah2iGIL2OUvmuXyH3lZHeTs5+7BvRE3KOD3o7ETAJhe6KJvI+l3R7Y qEUuE4ixp9evrkRm4N5cmXUrOO+KztHvxYgUQFEUqKll6v+wSfqdnqpjc0ExIv8s1qiUzuX7HTH4 ls6nH9Oev+tyeadR2QK1456MktRpi9yp1lI1k3xylXnozxb1RQHjAXriNvqqvo2ekxmxHW1HYLeU NoHYgp/gOxW5QT/VYwFK8FPcN923zSOVyw9297XEUrYH0NO0QK26oJSJuLkLxCNJvuen//m+paUF NHTEzoYcmvCaXh1ouxxJ2hZs2l57IkgDItSqQwPQE7nOyYDCKv/vX9KPkDmzG5pXBB3PCXyo8m2s Zb2tpubijwSapdH3fl+LRVFBcrSAyBCEfSV0QCFRO24jy7ttCF/ryVMPzgY72IdSwVzOTyFpEFzP dpedQ/vLjAWMZnNC1+VwKNsc2z38khZIr5bPvBL/8/TPnoBsbzK6XAt6UDE0Pz0j3rfcartg+dNP zLylhcuRbvi6feSJJZET8eJNii3VmkAvYOLy3vTWRN8iTzwz1oGwAEvWVfJvPbHUrXjNY+ZQjda5 GgOp/e/7voCeumOwmPSBfp4IzF9stlDwZ8wUM8UIMcZTvJGl5qfc6Fz8raeswi3K0JhMyX7DXw+J +MnMf+rpkwH3COr3UVxEiMugheqCtFlV6okVO0wLH+Xo4wQ+T70/9XRZNIqp9h43VqXDKvOVPoL+ 7uLzhnCY44rxlMlsxEwhy0ee2sBkP0mMTy0uMpdxyY82XgDm/z9P/66nuOFEWOpr7TFbJVwaaXlI 7IAsM57Fz1r1vDgh9QPfouQAPbl5SpUyCJmkH0KQ1TrdMbtv0BfTlGqoweTaCZU8x/2uu9HJEaZt +Qo1nmpyrOaInZdgZgZzjzDJ8L3DeeGcPlaWxHsGzWPtC9DiF7MTe5UMLkIE77CI3KAr1BnSqgJf XFNWO457LflPYl3eV5ij5umNrBtTr+gk5zQD3tvp8N191ICenEIIV4ysJo+Pn4OGgtCLurdSDXlv 912KU2Bp7rq+V1uP4z8r1fyYSPRd3slwridOCBF3Foc3GLAVqTDK0o7RzYPReMX2QIdpjVWvYYe9 MPoyy2VqRAAXuSYe+kX8Rh+PZd7gSJE+sHg5xc+zBsQyTRMwHqCnI5OwDpW1iPtumaGGSyXQyqqn b1ltkrDX0QiJ0rudhhH9K4Q4o1azDBU3GXn8RUGU/+MJ/qHw8VII/YpClgTMY3QU0FMxzbaRZ5a6 Jx6tThjvgll7rbclCt4l+gMqKqYn8ogcEqCnc1MbQbupDrJCqZ33g2pXAn4socSv6eznh7piF/EC XbPB61NUKsybYyjOGvBTNpwgTF3F65mDZ7eV+48e71S63VJdHj1n2Hmrswk7CiIRwBKvdINoBVkW jyvYjdOqFn6VCag7N0/hJo5XT3FP+8LY4P95+mdPKGQIuMJ6sV8j0BMoBa5qEhlqM2wNE2YDIcjj Yja3RJ8/8qQuEj+Ko66zToHWVm3FNVy9V2mIkCfkvc3ZNNYFbptn9LeetPxrjtc/4XnCTpuMz98m P3zkKXFWphpdAILjp9W66k9n827uelGwHePbq3w5CVdZbKbUv/X0Qj9tmklxokm359t3Ttz38089 WcUCS2hNXQcU1a+nI341ULDEdOOtgEBCoyYrhN6zT177U09U4uUI3REu5GSRhJxcE6MiujdMB2Bi wYXMewZT1HqjZh95slEQIBo5u1ZAuW6f/k7iHQeY//88/buevMhtQGvXLQeZ48xTZcXi/FSVtba5 h9lUyUJebodc1C0APcUhMMKR82bTh5klp9XZLDP38nuoCaJeqphgEX6GkKM+npVDrTa1ZSZ9NmBN 8xKqpMY1PUwvZ9M3fP5C6xCLtm5NyFC576FI4ne77B+5WKJnRljND54bMMSDWFETOlXCVCspGm+4 qldiIrvYr6PSfwwsGpy6lEwxyo1TVS5uPdkpC+gpKKOHED5RIPld5OKaxgpScrREmOOne6N7HlcK k6Lcm5offMO3fH4uwsfkbl9S3hhiEXstGZDCrs8rnHNvmDagWrZwOOHDEI0BsI6O+Anx+u5ZfCv2 jm6JQirCRbpKWe1PySWRZ7bmIF2OHM1O2z+xJADjAXq6oZ4cp4lrYfu8gr7bcgFNoXX7/7F3T815 /98b6KPGRmOnjW0bjW3bbGwbbWw1tu00SWNbjdPYTvY+vjvzy2T6/87eB3kC18n1Oljzvu/PWk0d 38VRfNejSfM3007waNxTH88xn+FeWEbCTj8JlgN6wt9CQOzjOCs5m+XyvZXZ0wD0hJGGVHYZI2Lu lzOn7W+LRS4ui75VfEdBn1/jb0/LTJvx1/zk6CQgM3wD70MZm6OK1Ulm4e3ekLkxDSEMZLPj49tQ zVfac4CI/dlJCz8y46EHRYpqbbsYtveE1RszBKHhEGMDm6yJSfvlkQqju81+Lp0bhkICzmXTBdW+ xc3igXoG5rZNkFzlHhZ54U8H0gbVo53iu6f/7SmWgnPK9efLZVYk9zOJ0Hg53rOpjZ/zmC+2r1yJ teMKz2uerKiRd9ExZCAjfy/d+4NbiWdClhLhrEIEM9OvLz1NBj2+1VNjUZrxamLZMzsXJ3xbbYJQ rUlI8FlTdZ3WpJ5xt7WRymuePs9PaIzqdXWQrmZ14ZpxSplwaG1/M+sBhjHBzC6m1zH5V0/rKi5R eShyUzXCRFAKEhJjb/UkElpZwJwqz4IhrfJBz4yjB83b5OYD87QzqRSGnzy//fBbPfHfsFngKHzl YVE+HIxmgS2x5dUnCpd+6AH6qqT12X4p/DVPvWLI/Hvy+JXA56RbydwNyID9v3v6bz0xTDFRC7vm zWu2uySMBy/hcedMLI88CkB+yutHkt7wVAb0JKebbhyNF8nf4hDOD/87Xv966/acVieyXFfwJY3Q pV71W9CA02DflYWr/uITPa/+T1FY6VtylmA18DwZP0U0m+G8HjYU9Od5sONy/lioT8nW4PpWJzdf SZ9TUgrAOVWb4pEi46DiQZxwORjDdtWh0CzM9u3ET1Qj7p963ZrALZn/+j4YMdXF7GgvnSPisQBU Gm6qXnOO1/WK7MStCssvL9zQMS3xIp98/itGTN2dogJSxw8w3ET60cpUT9uEMMQvqo9s8klsZsb4 DHMW8+mCMaY4cFObuadGh6y3MbZK6G4HWmIGOq0/89PSwYiyMRu9fXHUgQHzAD0BtXxX2h5nVtig xEiBBZKiRUaat/mImsaG1cpAbsAtrymKeCLTLnddelJuOr1wSzEG6CmT0QHFvwh0Q7CT30y7t7Mc 0BO2WIT9jTOfyQQtZQRhhoc1WLhNzuUExjU/t5ccmIIDJ6Ann0SO75q6eEm/DNiAfJqcxrYw+OzD GnOpsQWJ0XWtnIrnRjRgfMYo6Lph6mkHdiCTdH4dJUDtkd2LcgiLk+ceKGWLMPkvP/tODEOIfQY9 fWS71ao8P4sLU9SWI+wYNWo52N6KtIOklyryZSanJaN8an/39L89rWr0oMhX+gvXf0s15ls23R9x cxIUVVkF9yAqIkvWI//rfRzQE+V2L/4iw7Lo3Hf0sItWYpHBISXxNc9t02O60fr7RLKgf/UUidLi 3CVOuYkRUP2hDQH6x2uenMnMWl3nxuuffbvmGRsLdhgy8Qi1Y2g5NB9gNjgO3Dv+1RMIEdEeGVBz ma8BLBF/Y9v+Wz09Y9PqDoB3PNtgmJlIDoCJjVci4PCmkfJL0I9zw2+m0L7V04Kek2vl6kO8Gi5b dla4CnhYWBNJmIAzpaE+rkFlHPDVa55uXwKlwPL0M/NkB37sCqu8APb/7um/9WQnAQXmPmMuf4pa THsrtaXId/yR4KJ8cG4Fn0qM+2kH8a/3gtIfEO3IP5BDT9TVfEKBNZg7B+6La/A6y1hPGBdy5rxf 1gqpql8k7OydP+EVli0A4RUNxTS5JAL5Lnjl7UKM4pANFLSf0m1fr8CTQqWlZsn8Gu++NriVOcAd C/YakHNw5MX+FOt00YhP4zjYqV+Tc6MzEy2et2FdYFon51PnWVwN6Mm078YWXYYUz0Sonydvvf2X o870n6rfRPglZGqDVvo/1kgo2rhBOaEeUdCuU2W2Fiv3PGyHh82Z0g/2nGQlj7vTw13UdDMuD35a FUNmOz0QOMehRVvIKjXGYnHayrvDMC4SQKOEOY2O2UDvq4yE9lNQA+YBesrvueSwLzKXeZYyEUQ6 zLxDIRhroRzQBBN0s+px9mVDQ6kpbPpFnMb4p/c6isKgPRjQUwN8hHt8j563CIxIMuJT1iigJxnO XAVzZHdgUrnq33Cwbg96mi9IHk2GnMBioRaI0LcWgJ5+uGSk5xkoJXxYBSMvpMF+aI7xXrFgQHcI I0uR038KOJ+pzePq+7FYww967pcrRjOxWiu7fiONrv5MmrE6kOVO7LQUhkhWJ82bDryS664q49yM c6hG60jVPSzDXemPM6ezCLTImcB/lDa9zEyd1XyZ/u7pf3s6yE7bgz3gpL4Lgl/d+oO7T41CvWu/ 18sGktfTMz+TCfyapxHdOgqc7qRDN235jgDY8hwDaO1dHdAKU5Pcbt5cMPj0f/W0ywgWxLhDZ5Er mepkRmny1zwO6CnTrmu+5VcUAQv2aQ+G9jH9CXnaoTbXrE12qJkvbpX3w796ou+/JhkkPFBVWoK6 NqA1+f5WT/rTHhAoit3Oop9YcsPp1HfWk+wXA+UMA5QGWRB8ngKb3uqpYmIwCG/GHmsnO+xL3I2a uj28H30M/5/RoPoMZpxARq3XPKHla3m6OwX2bTZWi5Sd1qgB9v/u6b/1pJaR96nDr/vAPDfq1qUV e9g7stoQZYikBransUOop1AC0BNX4VLVnxY7zhsax8qQER7wbHufn5F25U9DHkhyu4mbLb3W+/Jd F9U6TSFSaFpBQX2ihWaiQAGWnNXHWRftQbPz++jYMbKp62ru6JXedh2zC9qsh3IVRm1umcRecM9y UgxszjGMjZPBlocx69RafW2NrgG4T0XJgz00qRNDDIgUf+3r6SJa10EcUfiox4/1xdQvDrhkLEJ/ 4XzrooE/uj8JjRjmNo7HJ01r5THkhCJwm0uV1tVqjeWmSXHEwfBWQyAirRmBXHoLkzY5ja8o7ROo C4eENsW6Glfm5hmXv4ULens1aK1ExzQFjwjTXE4c+0YD0SNgHqCnD3W4tYGatyAC5K2Ig3LmWxao PgRbPeyBls7pTvxiRITZ9uOZZJLO2DM0LBps5WO7f3mSMECcgXCzZ0qEvPkYZQ8K6MkLgp5PdPbL WdF5vFWDWEtltrjKxzrcUlv/+9UzUO3uv/ar+IPgfw6XQzgCbRU3fHpRberQsy1z42Ri99djJzIi aRMymX1kuuf/IeJ367odO0P0aRcvUuBQRtpFKV69mrfmu2ZvHJOFmWMJrZAPm+MCZPVeKHl7+1Ci fB4X4QyXxpT2WnOonXF3PWfbNnOFDDvzdvS7p//tqfnwhnpSg2TOo9Afqx5SWgT4M4GYw+UsGa6Q bqBHNP7aa55g+vtDxOkvTQpj941/40fGcLjtcZsQVCTk5rtFjzfpFP+rJ9Sn0SqhsT54Yg1vTnwO 0bDXPEkmGMDvybv9rLIjUhlm4JwmWR6fXmvmtY32Bu1Dkzto+FdP6Orsapm0LPNJ/bN1a4OjTm/1 JHqLHMPg5rdnYnNAj1IB4iM3Bar92MnIrliMO1zfmxL/Vk+yPaGQETSOU8dZ34LUaumWG6/qLNTg R8RNSZHdn3g9/novAPTU95Ecmo4R0SsNTOeGIQkhHrD/d0//rSco8p1ZFpAmlXnCS3CQdkIBBqJb Hug9GabAiK39eRCIakBP4fhOaWnREslf8P9EqlxyPjYbSi9fAh1PhXWw0+GUOAmGbjJPaYUrc9Q/ NXy0O/XY3DVPu9s9V2TGhPZ/ub2C4Dz5boiYwYQaogBfW9Lb07i8hfg5TC+kBMpagtTuTuQ+I+6O 1o+ynCVKM6c4/iN9UiXS7pCDoOug6Ua5RgGZ+JwGoKcvqej6WEjF7TMqbhuhHzGFPspA6HXom7Zn YsJK1pl61BNo78IHo9KDFsHK1+gCl1NA9yU/9KB5pUGTL80cKAOTrdsWMHP4tlqyEJ55opVOajSI NlZ0avKdQdCRFKy0H6q7aRBWIjAsu+119bkigKSyAeYBetqzl4X73a7YvpJW6G1aDJ1W36Lw2ZIV +RusRA9sSReJDWxsekrXHONi+VAh1RgYQwugJzY2FJ20kN2ecx9I4kDXDjtAT8qBnrvRGiDsUPTf BSAq1hrDq0qMFlBtQ54dOrwFgNfnAD1ZLjcUa8uoGJPbUv9sKZZjocQE5TE6p+JdSXXUdxa1G8n+ iGSTUBUrKBh0OMmiBpN/oQ+vthGhLubXjAWlGJYbYcGkob74nLK+UTYB+fRrRHvsPkBftYff8vtU RMeXYb487YaSIHO/tEGkDz7dtekjsu+e3j39X3rKLF3BOzNjkpOSFbUEbR3KeqsnrZLqDQ0z/zZ3 ygQMW+E+VGG6gU16DLIj3+4XpE2zppi3erodWqc48Atc7gRbrN1vakHnH8tJrzC05vYzd+rfDkTY f81TtartVy9d+ryhz/WzGrFzZBe2oWMC+/eqO6kaoPmm645v9TQMCVqkMKt6KjhNYODYGUUImPea J9L85T1yXVWoI8HlQjt/3s1YB9gAx4fdeBKNRPlpp7GCf/UUn+kqf5cJNTRDxdftQVT0134VQE8E lbjQChfYeZawp0VtA4im1DVCF1c05nuVRH2FDdJgTO+e/ltPnjeLA98TDUlJbYdX2FvNx+UcwqF/ ZbkzoxNl4NgMJAcBeiL5bbwjbo9NECv3NVJWiAKlQsecBgy7L5qNJXUJn7JDqW7DAWKy0HEjmedM 4s4Oew7Q07AChfIq20TC44SYZull7wugJ9ZHc/Zv0WOirLeEONcxgkhHl0LksBNWv7qLZsa/pAVZ AnpKq8ciwWdPi7PjuJumkHcijzXysKqObhnY4O429bWxfkCtRSOfhlM8IiWujTldPD7wTk2qbdzQ xbf57jFpl3Wm+CeVgtyVQ1F6pE3fkXrjkWdaUk/BV0DGaGPZy3taOAPnj1Fr5FUCBQwtei/ZY2B9 VaFBPdUvLe3T7ZilyBbPFDbYcM2neaylNrd5O5zV2y4YQE85ptQu0WWFyEwck7/dUjVQT2Cg4VtR pX+PWmY/kN6O866peRjQITtjtKzFZeXgzYPT6zD7FvAXgxcI996k1BhcuuFPYq3w3M6LPVaA5ULC tfjmfRmkPHAY3dqcEpdKNfDoPsZRhQeBKbCpPosSxztPBnm86+rn4d+DEzflyMVcsQT05OBAtF9T 0L1BUJCizrs2vKN40Wjq0oYG8k3B3+Zx5hEIsH9a7UTmdeyf/onb18VnPWOydP3Aa0ejTdiyA9jh 5vtZ2eTI+mKdPzRTRCrwY48fIXvePb3NU5b4nXIT8IfmcekrKS67ls7XPBV/NM51fTJblQ/+jZBc /vPmSDzoaHOAWOWQmg1f0ffUFcq1gK9GtRHYSeQG9JBXd+WtnvYp+7VwrA7vq4BOlKqt5Eo/zZ54 1juZ96VVHftUUXyVfs1TSnjcHTc72JFhOxhQDR+kYJSc0r7xylwvDbSstFclCvxbPdnVmEp7Wdur f7ZKbtLx068FzHvNE+N6W2IZ9hUSBNtS1sOBKAODE2NvrcTS5PGK34DmWZrYv3oaoSnjQF6CxfIv 5Cr3l9Vlec1T+cPvs1YC9x0VOO1GBqzRdAcdYLIq5Xw4zujQQwKFC5h3T/+tJwuwT2g1RObfOOGJ 4oQwcSbHIgL1eGOw8vXUpyNB1BuZAT0NF5Rl2Nm398xpCEyJ5K5Noj3OZrhC+SnAwl+k8QodZjqC Jupjtn200rb/ze2v3fzXe4HWYFyBK2tF/CfzvLl6IuO/9o+bEh1nz3KA+2GxcujwFav/SpRA+b60 9AifId9GFUBz7AroaQe6P40rqPuxBg29qA4Sxa76eWL6BnZNPSs4jE4bbG8Pj2ctsWkUwWdizpH7 cMhR9jIw3T6llSEvxcn2KCGtjjmAmUfHZ/zFTIiPKLIT65tbCVM56MlXIh/cdi1VE6OZB+NoRokv jlE2hqCMF/HL2eRn6wyuH40Yv8O1OYsujn2SIV7tP89CIx9jjyvpPQX1IQP0NK2nWpqwtFsQOuiu jdswQlTdet7TzoBq+CcXkjeEhn4qeaZDVEvhSSjow/YFZ65gbdjJsPScvXAU1lYK/5KMGHmdBAts GTDnQD5RrllEmQ7PknqoLWsYBBJR2O+iwAQysSE6cxd0AuJ+hYd+Wo1F+Ut47DnltZHAqMAoDwwb 0nW3v+5RU5MIddAaRAy3mfA31Aak+0db6Y+wluaRN/s+nmj32QD2b3JwY0dbNGwDpWPXKEKSk7u8 8qPL4HhQXDlbSNMsyQcPw8owV3fhJ299P3MjFgQE97unt3ma8OTC+VEMJ1FMwvPHAT4q6K2eIhWT J1Hzbua+dWJwUSH5Dku6IapyBKm6CXHrq0uK4FC+1ZPD8dazIZ9394VF/sMMxIeyfPvkc90q9snT AKfnBrLt29c8rbImt5aYMwV7ev0h5Jymn5vApKZMEqwlaOehvx5Dkd15qyfqXdlTi5XxteXwFPQM RS0bwLzXPAUC61EEfpPBXfoIPdO/802kqGB4Az67Dn7MKl+UYSHB81891S1ACoxl1BUfQ1B2D5By 57/mqRQLvBpUot2wzjQM6QfrZoFlhMyB1rqaJjUG5mjK04rIu6f/1hMT3lTDKgbtWU9PhCNqT++X 469qibECy9cQMcDJEMKMXwA9Zf/+ka1PdpBh5DjPe6ySOENRIGqkdGv9eQOoY05kISbwwXE9e4Ld UukLBemwAzsJHaCnCh9j8EnBFFDc39RkrZE8TICe2EJOV/02n1wTFhusKZT8sPMXNWObxvPj3G9E aVsKEaUAPY2TYZFaVun6mrMWdRPYTPxoDkvzsfmg0KPNrGLvY6dYXsSz+8KooB85ihM+tZcChcBr pSCuLk4FP1AMh3Wl8Ivx6YfCvp/jDnSIELWdsJX0R+zFPiLwX96pgmR38Fgr/PaVRKCVL0+QAzl0 /cdeA/ttvyREKzzGmFzx2nXZllRJIpXaY6eCfjkHt8jx7q8rtagCelJEU6zdV3kaGyIiV0L8xafW te8dLLKi81zPZz7VwOGG8VmnS/QEuHgkz6QIiqjvFzosYURCu91m4riRJNrZmeecT15pfI7tfshn E8uGfE4OF8MVXrdqXiLUEtcd2ZG1IA9330dpv2BS4IBGTso4kUoePeIopsUp3IYqY67f8tCkf++z 12GrjPuK6I5ihigvKP74w9wUsTfbkj1oIZSpe+YHKC9g/y5CWbtNfTF+Zkd0C/2EQ7uQnWPTNZCd z3Hg5HN0sVZm7ZIjGBwOV7tTLsLoHOgN4e+e3uZJp+AWxGCRmdJpaMyqGhz46q2eSIPIkvlIJ/rE vHZjBPCpj5b267o0r+j4hOr20D48sAq91VMJjn5FJPDso7xbNqnK82M/dCrNPSm/q4XgSpY++QLc 0WueKpcQ4dM/iwdMi1kT3UWoiTMlI6+LC43lsi74+5L1z9y+1ROkU3fnock5KpKDA2FRllkbYN5r nix/TH3w356Os7fryDvsJXCft7uXGmZ01sDRpwYWq8Q8+VdPoT54U3zJKH4Sxayp5/M5R695CsdW RPXYsR1p5IhHESknSsDSQPTPVwnaRVeDbvZX5v/57um/9WRhh/3lpn3H0+OwVnd/3bVSmX2j0yNM YG5EjYBNlaI/B9BTmrIXDlaB/OEFlor6XHR5tV6pyvaq4qSCd202lTL/IIUwrYhDOepIRz22Tp8Z 1voBoKczKh7LwFxT8l4Kzd8Xzj79f81PILC/QGj8uSKCutuMlA29k84T9X6FlnxCTLcNBOmMdwD0 lDzU98l6nVU2MHDDoVPjdwndU6nXhdz613gpmbiEhMLR3LQRYb+ZL8s8OK0izVb3LLcVBibL3Y9c 1vNB8N19JKeervX8/V2GF5JHzYq93fkIsjiUScqQHD2CirFCOb8mDsI47D6kLDiMSHhr23yEIQ6a tdJJAFodTXDnuT6vTYi4AHJgoTMcWOnwNkbnUCzrfQD0ZJU0pwa5y00s40eIcuhXYdM4adU8VcaU KGa3rqVmxr2BMLD1ckogJWVQb7IVgwlqHz54AtH6gLsMua89zLMbMDNo/GHmt891XDxp2cezdQqo GUvUQZe58SmrG2BOgYctk7SEOuUY5V+xLR7d6C78wg4pOhAVlz2bVndcexubelE+gJ7a0LTq2Ci3 KqCnQRaCFtpplh9achwh5r+IMv4ZJ4Rn+wDYf5SDJ11/kmR8Kz4b6sKAKm2/41kv8+iuJb0vLfX+ TK0nQlRawz3QV2T6tvlGjXTmvXdPb/NEnsETrD1d9Edo5iuvhaNX3Vs9kc2cTPNKoXTntzU0Ybhq R4TtOkSSK2M4zhcRo0c/lDO91VNsIklpnMUAWE56DrI7rYfdBKNP+wB7N01Q1koTXbFrxmue2tUR n+ImQliT41sEZjPFDPDhaelOiUH00ZkViL8LMcO/1ZPPQqcPRuJxx4+GLqUza+0/gHmvefIMh6s1 0j1EKe4V0+ednGScgOTJUzTM5OJk2ekrj5dq/VdPLMQY5qvol+jTw2bkGVwKdq95El6jNEYP1+T4 FpNmjXHDuMbBe3ximi+H/oMQdfULx9nhu6f/1tMLuWcVlpcE01SD445UQs4ulJYgqvSVOwnvuUK6 Itz9E6AnMI8w4xUZ8w3s2RWeZjh+1cKYYIIh6Mf4eD/8jA1fI6t4Yr+PTc51QBx9KnIos7pFgJ60 y8dlThJjQJJ7DCNnBKDbAD3NqRlACk4RlMX/oVdNCS2lZuOUnc6HVLyTCLyWVQu+bwX0BHr+Y0Zg 9UNZqv4FR2is0xoJzGWCumzfeJAi3fJOEzYGK3Wkgj8MCbNAwFSowNfpS8wMD8xNfzMBUjNJy4U9 SKs+SsYHTdyUtBK7O3hoRVKDUgFF+hFSoLRx4JmkVAkg9OGSZEazW27v7a7NCKjhhYGE7F2Xjr24 eslInq1SORM7eGdl1KFj2XRrlj3l6lFvMEBPpkVl6Y+L+3LzBP0V3bdcXLshS49fTcHyzg7Ddh5M LzuMxlFWMXgFLhvN2GyeW9OxoPC/kdYanojlzab9Ya2d2R5KHsMsLyqmO73uM+FA27YZ7JTIYVH1 fp5CT02si6xUALsT/FH7yPiZuGTRjRjWCucsiio9cuP4Dqvu6ONHFn5bQE9cYb8LUFGP2gcDw+cK DI+c5GFXMduTwmzzWxkaNMlfKgD7X084OKQGi9piZvu0lNdW2aSKGtKaLw/mgmJ2Yx/h21Sn9rRt yXPAa/XIQdcWUcFW/O7pbZ5ydK19o/RZkj75x7Ya0W0/v+apYSzpGsIpjkPf4CCtkVMEG4u/hwb6 UbItCP6rWOQDd0Tewae7bi6XT+oPqiABqq40b/ZEX7RznZg3FT8AFIf5Jxul5peRhB+8B+LSbzZV ja5Ukdc8TXWglG65Egb7b7IG3MsjPBWzZC4bFZr1FH+MRZH4LU37Vk+dDHf035T8FfvSLAg1Wa4z APNe88QUQkCIirhaizLpEf2J3gO9jvBH5arEMP5lBkuaRfMC0r962sqCkgWzX9xdfJhIdNpq/uv/ mYCe8IZMF1a0D4E+y1fV8eujYMI8tOZItuqgG9uDGST/dPN99/Tu6f9Pnmg2f2Msp62x3UGYjsrl 5IdJe9ljxehuJRTJwzG3r5AAAXoaHEjZxCSRsEYKLqYh8GcMPsHYZSSulnT75Rx4hwxt7Azjp2wi sO+RHqkrd1hspWxGo2/JQRhWCIsZ+3Q1cXcBNBLKMvcF5jaBmrYAF3LIycDWvDJAJYGd4s7A9Lft 4C34B/yfxlV1e/efafhA/BWttX6NRcX1cpGoQg8m8CRJfJMF9GTfzkvN7gwvjx3DJRGSV9HOxTAC 7HFltlhjqHsgeg9DrwCf4sA/9ftRSrvJMNV1a2hN0M1dI58zlig3klA+UMvjjzk9QXXlWY0ZVks/ UCeaQ4Zvt5PCPM/JV5YkZlvSfd3odfNUNzWrDmSCSZN4hFEtwDxAT26Rul0cyfvFNiFgjeHFpNnD 26zkyps3PFMahug5L4mUSKjHn9AkqYl3C+swo1MrSgA9cYIlaxGyQEgvpl09iFasrAF60qnCM4ea J5UxCyGFvZmihbhgN8ehg/gO65mouqE2rD77977DvH6tdUfahd7Nqu/AlHrt+p4dUu2G9D+WNOCn GS9jpDVCxVLJRQV1BdIbVxPc13vA/3i0FgQ/O39FmGkoCFU2k2mv6YQTzfdPeF7nSqt9IYuySCIH EjDKh9EqolsU3QUKEHf4LEXit44Ya3YHRGj47ul/e/pUXD2/cDvrIbPjoYSO6eqBudo9Oc0ZPl1V Be6+UgKW+pqnSpA9hvwf9egeNBPpsuRb6aaJFCON8pSH8nBUVDBDYX/NT2/19Cg7Em0YmV8fhTN1 d8plxf+apzNE2VZeBZeIDbzONYxQ5N6OKKHsCKFrsogubDpJ04nGf/Uk7rrV20zAyN3XHamvxlvv /lZPF2HyCmRskD3tJF9yNiwFmc+32nVmkT50ofoUuLOS1ue81VMtBJ3DkKITYlLreVrY/Ca4cCAh zIxQJ3Wwm6hqu06c6Wue8plDtV0c7TtfPisFt+uVDQL2/+7pv/Vk4tW1++iOMb1T09EdYdtnovlT e6XWe7sjIjvVGggn+wrQU3S7YFVxrcMs1BdQyvn0kS3Dbvx52JtHUKO0eEb2IKD1ot3jxr3EQqM4 rSvVwJQEuYcXXONAjpsv8/SfzznlvSw9SGobeeh2rPvEFuALNcXC5rFok3M/to8mstZl/K4k6jNi ym6F7y6bAxszlEKWDQBBvBy/pvAL3xfduVi4k57mBfS0/EhXWtf1k7BhQZGpdcK2ZBVakR8Ms233 c/Mdd6ZbvdBGL3Jm45gVDDszsxFbN18WeZWvt5MPLR2IIDrqHedCVCEnw92jBrnV4ovZPXPNamZs iwYcbTP0jjAfbCIGMKwUEniVgDZltLhrhJlD2lAnJWAeoKd+AuN50bK6ulMP3QbYEGGVbI0vH5L3 4TTr1Sa0goqCLLDYtUCTBA2Qz27z3X5SuycDekL3zI02vg0WchYIc/lk2nkC6Gk4W4Iq0ql8HvRE /d6yONVqLfvaekJe4L4nxsRVADvvDtBTJgHFJPB8GcnqXRW8JBFwgu2QmYoT7LMykqepnLAyNXQr GroG0ROXYrtmoKXO0UyHV4K/bpmdi4PwJHEPYVgWV1Xr7ql+9stT794pNPuNZVWPKl8ZZMOHMsLu kfBDAsrPJEapUSEEeDI/5iuH4r5aL7x7+t+eHKYbrjj6DiyeZnfrCgV7Bz6QaMglJZkyhvWgTDE1 z9e+5qna0WmSJUV+REXqCmaolrl04PvOzEn1Q8ZdVVIFhRsl/L96cq9w/ZDjcU2km4tp/U2Eh/Y1 T5AefETsDtCyLbff5TQgEv0h8WgIMGFqP9VmFhthMkQ5/quneW3XyBBVFquMlc6ybikqtbd6yuyy TG6esvl8Sz00osvHwLG9/fupS7czIf97t0vnEjjtWz3lp1Ox+WBO8vqYZ3RTtD5lGyQ2mrCz2D5k 2N5SuPZkB77maYGE3wvZRkTDwIF2znQXLQOw/3dP/60n65bCASTsbVGb8wvLHvCG3AvPB6I7W+Mo VerzQpazzFRATw6fuveAmM9l5DUvjJ8ezFrt4ZEaR2MRhhGCG7YmDiwlNCES791tPvV+L+fIDCbG J0ltfiJ/+FIocG9HO+OaHEJlEtM8zKjDXweiaT2nRl1dWatEWFm3lEJjzr6ZuKHNbhWJt3rx3Ewy BUmtJrei4iP/jWTVvsv7FAfnu1mbYWRIDqAnqLV6ZuHV5scDyMe777bUZ2MTvV37XVgDAk8QZ0Rn 2i9bRhOky8V6qOMbV0oBRBEX1UkPoVjNcnaFkL6R1U1oz/mKDiow0eug+snc30xEmsBqtDdSYr/T /S68s6MXQ6Vg78JMc1kwCkaPYgpykWXRxALMA/TUs2DBj7aH3KMDFgQZ/7kENfs7fwW9tfjL6hHL nZ8uZv9jBtNkmr6THJPaV/OG9eK/7m2cerPapXgZxRou7BozL8YqAnp6KUo9Fw5p/EBHYe3b8AEh fuy7gz+RYzH0jw2oVgVWeWVAT9SUwQiDnj0a5CdzRpkveMQpN4lfLj5NC9rVlOclyeBgOvKpzgB/ oCsWJzgmMWJxXgr5KiW73Us+iA8O9aykQ2AA3cMMytb44GqpEp5cu/jE7HLihb9wdXFrhM8wt2ZT 8YTkAmz7DckUYm+zqELD0U3y3dP/9kT15NRzbd/QPqStBHrJr7mcMYNil+N8onR+NOFLhBrJ8Jon w8rO8VphLUNjuXGlQpQ6ksINglKvX5LPx4hi408ttH/+1ROiSX2m0SX24seyGLhLKcOU1zxZBtuV WjKkDa49nRZaIBUHWSrlB2XnoOy29mugD1i30fyrJ4P7XZLRbTpfb4ZwY6UyOIG3ekoc99aSwcA3 hJripe9TWOlnnYzlpqEFEqAz499VX2oqeaunX0dG1FnpQI5Z3/y7y6rWWiXsLOiXgcVBy7NasM2W Wjdf85TQxGWwgX0+XXzxmNAd8wwM2P+7p//W08QtPaT0IgWjt5VLArX9Nt+4NtoyHQHHT1v1TQN0 EEMLQE/7rc9mnyHI+9F+NM4DXYhqBwpNdqY0RP7p4WzUCgkzMXVvsQc6brrutlnSrcLm+OE37ZPp TdqNbAS5sXOGPd2XmDlUv0wXToOfOlNyTuvyCRQNyXAuLxs92kTrknszwklIPGBGaJqzqqu0yzWh 3cz/EJR9wsWtWfv5twE3bu4dUAmgp+NgbkmRVccezx0vfkwscd4ooM0ctMb21errb4zwBQncJUT+ H+VB6fK+uW63oIGKY8+X/VriUcrLUPiFD/Kl7RYTbr6D6bOvQi100xC9C2/rOvAE+d3FhU0lDZLs JwH1qbxVJ1U3cPaDwoolS1/8tUZxwDxAT6B8REdgLQWutPvWJ3pQi1npYy0xMdEhjCwPty3CUbIX 2qFdppvDbfnUe2njM+rBl4CerooVHDYHQuB8uRtxISPkpQA9/d4VB6fiBxcnL2Rmu5ve+lMfZETp FaIm09Sb2ON/4TkJ6GkftILhO435wDfuVeKWc12VnrIOs90ENZJabh5tTiF/jU+xBnIPKFmJ7bpX pjKfp4P2j1wll04RPuZ5Dq+1cretz9kP4lETg8+21DBRJX/U/j776AzO/MhDQWhYfzztfUVD7rgO cgwc3pglXVFzfm6F8e7p3dP/pSc5kl/bbYkmtRYf0K3r0xLP3upJ6foISGkUtSYYMzhmd3bpHpWY oEICq1MwEbkEjjIZseOtnua7yR73YW5nSqUVa4Kq437/lhahr+DQ0YzelJkGSnAGes0To1LPaYMa Ppw1iGqoVxXiEvWvRzPecliQIvQJyQqfqqi3evpzg8u3M9k7SHI/3b7ThaEKmPeap8HaAnIxhMpn 9pnYtPjWBlBp79CZD1G1WgWGPhtXwQJub/UEHAdcq2QV4P48DVHGjLARRw1UMeM04MAwP7GLfFVb lPqap7ZkUQ3qcxuLUkW+wYgS6a6BShsB3YC5LMRBkz6uc/7Id0/vnv7/5MlzJn5rD+dTAv8US+Lg hdgO6ujxofdA29mVisSVpHboGaAnI4xlhlljf1aeC1yZ853Cjq+jAdwseFm7UfDZnQ9lZjpSFkXy qsGpX/MF4BzUxpuOnH2J1bdMwURwxhA0IA1vDsMIg1pK3TjleTYfPspnw2uJkWMU7Z6eJucYQtNF /CbN0FQ8b90K/W70DeMH7vqXmdl+idmeTYRP2X9g2eUEbIedAT1ZYPE1M3ZbL01TSYhB1owkh0yW FKxlV1tyBpnWVrK00yRJRPHmX8LyLTw4fLOzO0wjIfjFj5dW6/1AQgZ+ExcK11PiK4Kb450Tlr6f yfe8w4nU9okbGtKOIlarw556MUJzT8eLO7dQsuvcjmZ6xo/DBjAP0BOkF/cDmGKJ5nZwS3PbNzMS E9tyTqa1VKqrOhELy3QGOU7K47yGM4lWIKbJ+15IHTBATxs6WDJMg5kU38ypdP+QXrQAegqNx/Dn U6tlQqUDC0HbJqbYHhZ1ZmRJ4YiXBt4WZm4SAPRkX1cSGybZMgz2hJqIIkKDQZ7lIQ7FxJzg5JNp hPU7XHcKdBJBKuVU3x/IKD4szBoydLrhKJQwtFlV9xDuD6xiW3TU8CEMqrv/vJZeizODHxZKyZ+C OBIuZHDzWi2aZ1jbuw1Nxl4Jn9UvBpn7JEK/bd89/W9PmN9ftMUdsLYLjRvUBdgN6nO9CT/k2W74 Oo8ygZQTn/y1/wnQEyqYXe+axnpQT7rzR1WHSC2T/ISJMCj9Kt1B+iSoI/y/7pu/2VOSGLNnAB0N BduyVcfvZojXPOXwkFf9qew28y/I0gFRlzDAs785gY2o1DvOHEkEgVdk/VdPPwgCjEXBHL6iGHUr eTkZoL/VkzSFytA8/r07Tx7WMnl05inN46KMJUVEv0kgapDO4CHVWz19Can55q6zoW++91ufTth2 N1WYDVE/baUvyofia3D+CsxrnjoamH+2jXs/NHC33k77kjUB9v/u6b/1dF6kEs2vRaNng62cDbOn sl5xqj+GkpO/9u0uk7Wg7ikK0JM6PuqQkn0i8xm9H32fv9j3nkAxGeUD+1MSWipQAxCW30v9PCNH 9g9ck3WVfVsoE0sS+5lNnWf8IdBVZ5JFYWbDTCQFJYyyNhoOV3yZQJhq/R731OMdwo/CUFWhSnAP Zl8TIXU7ffTI0hSugAOrjTG43GIyic2TQhrU6jIRPsYtawJ64vCbNOekhaet9AfzFqL2SrZkhPQ8 e/m8LNMcG5k9B0N3S9WgorsTInxyKFQbD8cvkyw1CG51gLvkarOLvhpIqdbP1H9RrOBtKM1irNaT orbbkci79dKg7P55ieh+Z6oRAh4KLvnPNqEoegmQn9YWHDJgHqCnDe3O4MY1FPXgiJapB5jWSIcA qvKYGJ68Ng3gogopjPyeqBAIpFFglOz7SEwHNRx3QE8hO0Wc+n4HerFJccKqjqo1gJ444R7ZZT/V hE5HeRtPmSb48i1W9GmeYli404I44PJ8bQH0VBALg/dtGK+fK4Ro57R7pTaGsIyUX5lg32NBoMEm OaB3iHXvUiQ3hdFPdHTIWUm1xy5p7RKFwYSh9AwOjU7SF9y7efrkyWMTQ/K2Y+Ex5qIR947K0b9Q abrjfHZhtZH+ZGCasuDJagrt1h/iQP5c8ee7p3dP/5eerkoUroaREcWucajl82emIt7q6ebPKpJD L2Yqi8iH4Xu9sPH2MS8xUoXePti7o1PHHsaVN3tqV242y2qOO0KIZN0TRyEJcZUIgX4IVxqM351+ wghIfc2TfHKAvzqyLCXK+sgWllyw1LXpN0PGjomhiOlItOjExei3errFLIquAXPiD0yn30NG3uED zHvNE92EZYh71Yh+rXWFucnpI6ELgaoidA6zdK8lj29yZdyvf/UEY32gWvs1f00b15Hb4SK94DVP z81UnjqwG5HYV4HXLFey7hD3Ip93CMUrO4jZN5LvElPfPf3feqJ4hHe33FXQL6eTB7/0wQ+3WL1F cvq6esBogWHGpIjpWooUFOXidyxfw8U2R/Zn+a/5KYS7Q/b697x6lLh1KPjj95dcjOHjrymQ2VRz s7vYEh+WfMh12QZvGQ33zprM+ILF/7p/5+CeZK43HgLiML2YpyENPQno6UqHYKLEEBcHPVT9UfjG PhR2INuIUV67wmKS0GkSjtIZ0BNC9W1SMjpuBm318w1W2ZfhJOKT9H17uEV6/rgfZB/dqkFJmVBW o+PyUEZxGZ2asX/kRVXriC0Yk67Q5ybS1x0qG+P7o29dxrMgb2bTIeTVVZS6pCst6OroRTZp7p9O XOO5iEA2giI9GYMtaTjocgCn3X4V8CIvVybZMRa5krA/jrzKp9a+z1hd+GrXYDT5iNAI6CnoxNec MSfD1BQR3wPE9ydYn/oUWDh1IteA5fbpt+ZwWJtSu5wgfc8v6vAPXFdMZcT5hZHJ50Sjp6c5bMyg HiAHWy9bIl9Bg+5XCSKZEjLh6YdGWbVWfAYw9DmFXfPkpM/0p0k6JgYN8/bOnCSFuBqMHgPnbYTs yiN7prwE7lo+JQJ6ksVMgGzYs6teAA/cjoPxCelfC1CYpqCuo47n3g+A63QC7H8NY/o5PRmfSCe5 hRB1yMuA1D4cvgwJGn4kmQwlY1vk9N3Tv3kyKzux6zQn33CF6+uzXj3CV0fTtSAPVhwiJdn7tuju 3Q3oaTLXcr13RFr4mvlQXqnLNgJ/bZI32V3K4+mXRQEtY8paembxgpNfU8hRMvufMAJTQhEp1srS uxiQw+xEitEkmWD1EqBCBzUkaCg23nugpfRV17yTigxpym+QulmyYcrbkha+Qus2dXIwkdQ/G2Y+ 1HB5GjMF0GgKiP546F/VQMalBPRUEE/iL9kaVSLG0Z9CyzZK7/xbylmT2dZSXI6lsxaJJ1KxW94K uji9fUSOuw+LocjVdNdHETNnl2c6UBk6D8VQT4uJwC7WtrBrpMnzMX5k0Bzsibvm9khJD7e1s5wu YWcmfr2a4Q/kGiRP8Y/+UCXxFcA8QE9qI0i4u/fYBZPNGAMWUnCoKl5AeTBdwXObPbZIeD8Eu+I7 3RrXSnwnuMU+ax/2i/3lyeQpruK2B4G8FK3CnyagSAbQE5DUfqc56mZPW8JH0DLpkLatXOV4yYU7 PELq/i8EhEM0gJ7I9mMrUh5wMY5jfp4evJwvO7XdH2g8gLn/WE+wRbCrsU6zer5HuNCuAEbyMx83 aLDW19tibCavmr1G2hdtupqhEsGaTLu8NNUYR/GSszIQCI6l9cFp/CxHuFbOwxbJsIL0PFNX+LnE xX5wH4/2M259/bun/+1Jd1rf1h7+YvWkuKBZfiFCEXO9mqSIoTs6DKvcImvEW+M1T5LQMDnaJ3Ut dIjFH7gRznn0giFQUvBXkq0tIwURWGym/9VTiTonkTaMtdUBgv2Xm83Fg9c86ZSxF3h/WuqA/zm2 GBzfMOxQrhpfxePmsw/Z5H0MtWj5r56yDv9cs1dz4ztTVDtMWUaqvtXTL0xEvfDbsnPT7kTEztXN 3vzwcHnnJLX7BAz6iw8mokhv9YTCow/NG+rZFEKssHqjSG6PBtt5JRGX71ceYlrt44xN8ZonczlO Pgic0uL8D8fryxXJPwD7f/f033r66mmnt/51zDL8KuAk2FCZbr1cpsqt82TkPAZUxt8PLgbQk4rC jSqeBoZK4LxsboDHmLSx/TfWq9Nezf93mjiJfK4r2TLga+dxCADdCwqtZ7uEZUXnJlbRNJ8fz2vl TWvJg6qV6anJ2yj/KuXTLlvRUBxj/XtyUXVU10AjAmkE5whMGupZN09iUanPKpRIVgiSgqj3uQWR 1kqcjYakbT07urRMHdCT3Uu9A0ism9rkXKihOY4/d/viDibHKtiPymPox4Cbn9G8TPpTmG3drSJm qeYMBXcCeJPLw1b84JPI6CxuIxeEA1PC2WX9G1d3Jf3HNMQ7jqhpjoInEp+61n7/ef7kSSG4z4Jn +3iwIRD6NRCOM2EAVx0wD9ATzOxdG+UNpEpGb54zQU2QvBgcqhisFAqFkkMxTdICqg/YLSyyGpRP IARss+qhXoApoCevz4uSTT5iToJIgl9WOPiDAT1FJsVIBOKQWxALXFWYUdqHWUTX1XEb5lU0MVKF 9udrRgF6Ev8BfZU8qFzoMmWHoSuRvPwraDCOO7M8Iju6YQhtzafQpsaLtGxCA9i8TW0z6YP+R86q D6hchEcRDc6YfsYtm/F8nj6d8LqG7q1Bu19ClpAO4sU5Nlnrb3VyiTW5dfqBG1mdjBeiqL8Qrdmq TznHCf589/S/PfXueUB9xXUjJjw23/5Jsf6in2HNdzdA0dSx4vwHYkM65jVPg3YtexRp0+GMLvr5 nlO7xkXuK3rZlKPH5zs6Zn2+tbr/6kmO5Hh1i/fOtHALFsJT0vKv34MBPa3dm+vWZXUf4n0dnp7e E5/r7uiRkyS/oVUHfkZgOCT0+FdPOeIMySEt2whUj4KcDXpLF695OgFfMpEyVyoFGRVNut/ehJuh rkUmL2oFhftTbuMvPzDJSbPDgzS2EafLpPXNlVmH4a2eOgcqolqEBwu+VjIOKepaODMKuguW5H2G K1+S5/VTO1J5zdNj+hWdGCswfbS/vC5eJL4fYP/vnt49/X/pKRG2c4Q45ufB8CnI1UYcA+TioJUq TIinK3R3PrKoobs1oCd9Tu0ZnKOwilwc3vRhtY7kqJ2jPyJw2fPflbUHGUVKJUQyJdOQnivXbzj8 qAhoMfEAPdlIxC0owjTRa2GwrjGp8TcCeqK7LOfIv3JYbB1YdSMrknoe+P1pSQHGI330pXHte9GZ 7l/zU7jFsYGqtO62rM0xFV7kwyWO5PnOrclVff9k7wesj5dYR8J7X11yIhka+3IduWGGL2EquReB gpx9/axRXLlW7hRWP8sEbCO216eHLk3VcAZ+TCmqlLsZk51e9dPF+Fmaxi5UD+bAIiq/4cVyi3+t krUrtd3m9eTvKtrGEVRdl38Ox4fqgE1vXJczOA9V76M9C+hJXlGNoAKIkPZPZA4w0s3IdlGAbWbR 8ydjGMzTu2OGlRp6manPbdBY/upRKa2+lLbrYOzdPpgzvjkRTG1Nji7qlh7kR4kVxv5FpPiLmOOK Rmg3GUQ0S0p+YVMBZvaonb611ZgYpJkcdYWrTP55diqCRWxbmsjzn/XaXKl0NMCfxjwBPSFjgo+Q 6LjEmW1qRtOWUp022kclWKmg9zbPj3uhYS6uAPafcEZIlksPu+Ev7dQ9f9o6OYDcWvcjm68gJ/MK OzcNbIPSSBWEMDwdwm6CQGDd0u/23dPbPJGWGi/L6itW0v9gTh7/eX/9Vk+hU+ecunSMrhsr2/Om gQ1glbHcZ2TqZSc5DcenWx5bkm/1RBSExdaG+B0E2TI3+yHDNa5ha2Ve0m8g/tk1msVZzWnkNU9s iJ+Wqjv7TpLTw2ZjNWgLQdy+/w6AOY2paKeI65zdgHirpz23rkJKXMxumYP8ZPkclQPAvNc8VUko Grf2sSuIZQfUjl2AYmFoaV1+Zyh04I+QdFU3sPv8r55uS0Nzfa8pV4EfbAe/xjLcv+aJ8G6SG5sj GHUFjj+xoetFvr8btnjrDPLeyt7bN3ekJ+/d03/rifhxfbZjdkreDe8JjkLL4KMYyD3LT7lgtiZB vYAmPc0AQE8LZ+z6J0mbH4S/1ColHApdLTAj5wzQE901VsxNLECQViDocGW3uZJGcWXQjgmx0TMC erKNDXUA4hDsV5qb+Sgm9Wce0BNC5AbwKb8txc99bYYMJ3EO90s98KxaEGWSJFjE66kZekBPDQEJ v9fHWNPNT1TuunRa78bwk5y7GgYm3X9BJ9rZKMrsstYNuzGea+RqeWxVOq6Zs2F9IYLEq1j0PIOU +mqYfpLTh+QEAkIdtY5cWtfo7Dcp7bJsuZxUvpxe17tiiVXlgCMkaGpKl+YEE+W+ox7lTFg4mTwq l9V67EymxjX6A/zn2K8hogiEmHVkYeUIdnVVAkBPZbkv5H37dKej1xnOIg/U47zTzIiP2nKZiW5w ynVHDYs8KunS6hDJ2TiuuxG6K7f1arhi2XhlSUofo/dmee2xHh2hFzk4eW2jHl6y4Pismaw1LOTb G3Z16bG/D4qbdaBv38Jw+dnUPQzu1voLecmZoG9cWsjcuucNxX3FmacwLC0F9ISLJQ/hOEa0N3MK Z2CvKScmOn+yoLjzAXJsMOuAqKGHE7B/OGKGGUEjKEe8jTjJYRWwJDwqqk9ikXN4Ul2PoAybrn/K R9oR4BmIVD/oWgXAMW4hvHt69/R/6QmczRw/aRD/wlrHq3yDY+4LCEg//T2jPL/yLlTeuvnR3Gue mq7Ta0R/aX+Og8Aguiip02KXNy3SPRX1jokgqh/51dL2r56QiHPCLb4cKeAE8VkJzHWpveZJ3zvc Vqvn0/gB5Icv4oWoJwcGmrSliBYdIfCdWDCJKfr/6ilsnPelcE3pDwdht8WLbYL/Wz2hvCC+jGVN 7xnBQFnJWEHM0eF8h48wiNhtFpgBJ9ktH3mrpzhWvSAnuszlQ3F+OtxL17RrH2moo/ian2WTw3ef jKCkXvPUCWpuiQHRJicRPe4L2/5TB7D/d0/vnv6/9CQkkifH0TiAgnhdwbhkhEdmcGCSgm1JlCIr RMbJ7AU+DuiJMrfNK2l99jYViPiFcGZBL9kybC1H0FWJzu5kYyNMJGosQYI3eFC9WasQSh/cld0c 0NM3cVBD6HhjijtbzM4/20pugJ6c8aanM53z8cSdwK7qDz6VK/OiejLNSDx9vqFHGEXAHAD05Blx r9Y+04VpGN9RE0/NI03D8qzzwfBZ/GCTqoX1Vwol328zzctBJcb1O7rZLkW+7d11+iraVKqB9Slk hLk5iabp8jCfvmvYsM2+KnQ+L9Xgn48/N6q9ZfS/ifhpKWmjj/TTI5TQfyleNa2MMw2ygDsgzISI 1SCCtCNKoIG6o5mwckotMUMrgqM26ZPYFqS4XAb01AbHobG6jYP0eHXyx+7D9eyzyVc6gaufUzTW EV1zP32JUvTHlup/efJ+sh3qssO7HrblLs4fyNObjFNP30UljMuf9uroxVfsSP+T6SEMs5sqV2df gtXQsubgl0NoQepCXT6JESTb05x2ZtIn2qYw+r27qq8gDpmGcFuft2eGkJl9HdDTYRDtDwQl+0o9 kirWkJF16LF7cl/6Sq6sIz/OzqEKIQTA/vWHbjcbqHMhLz9HUNWk3f0kXy6gm0Szh0aELGHwFNZB +PDr1xl7ArTY548pTFG9Vujvnt49/V960hZEI9bLo8bhcW+A0ecVIwfX8v0js23TERDmhG8NVo32 midqiUxbHTFm7kytiy7oWR5fzcfKniYN5l0Zo70U2m+c0P/qaZfZD+S7fu58viKdwjj4qdNrnlpe nG2SvnQPafSlA3PQ4VdLJTO3Vjqly/R93IRLj1QW/ldPNN79vR2VjJLsq4qdP7qMsd7qiennx2OQ VDbh8vYf+YncJbndUj/nGCs3Z+ZNeS75ovwt3urJiUjaqBLOi7Mw7yUbilGmtyP/zsi5gGSqVmeR DggPY/c1T6gTYL2kWe6e8eLQj6u4gwGA/b97+m89/SKwhpSvcegFDV4anKXnskwrPGSkxSkhkNPN tcHG4CoC9CTDroSYZ+5UercYJhMsw4iL5HCGa9AqlJ/Y0gc3YX9gR3q3gvb8jRSCudrn0WWgvFER tHlK+IfaPJn4di9Q2X0pilQwSbY2EeaERtYfydt2Q+6rjNyGwMZ0h2o88XH4ksefyo4DSjO6qPkf Pp0PeKgJLxyMNTrcGvXet/vaxvcREQN6euTBrf7yVGmWJ77H/1XUthU9Hb99eKNHTBei9Ctq0xIH Dv68/wdM+tIwQfsmI7lrgiwWZt94u+tK9ntn+2xDpECUlYRjnon7TcyGIDluKK4Gtu8MuHtJx+v3 ir7f04wcKYG2i2tE6T0UFol9vvt5cNED5gF6IuLdRZ1Z2urrVIyt/YrAbd1b6ZAE/N02iFiwTrzg +0sgMPdVqGp21IEZ+q8N6ntL77/2RXtWjYFWz9v0truhpjWg1wN62mFdKJfnYmLwGOaqG1z+HY+k w8U7kDOLGobrTuX7VVsW0FN4TqG4kTUtUhOzKn4eNfSTR0bWlFC0h3fKl1nieVoNSZSOpMB+TwZ3 TblsIKpiiZrGR8L5GNkiCINCBsgJORA2DooPZQNBbL7c6Ds4KHtRl4nn0CiY+DGmBz7bBdoQlwzD SPwf+rJHsl0D1UpC0k+G3j39b0+4NfE2emIcdBaWl8EQysa63pjOo3c7gcQKdwZXHmraf+0TA/QE ZIpHO1EWgWvyUvtkzNPqtDWKGzDu0k8uBaxSMOG6Vv9WTyILynobpgIqd5GYaZH0wMjxAWbb5bx2 FV1oPnKGHFSNr3mamLepxhEje+E7Htvy9tOgdcl0PnGxOR4OH8CKExVY3vhXT2OMhodgCYcYW8oj 4PCPWkxv9WQxcob6O2SWwuP0O56A2p3YdIXr8Gfm9EG5klx/XOkL/7d6goyQ0vx0W3VRswcl+vyz ZNOMCIb0xN780qTXOf+oiKfrNU+CF1l8xayZrOMNWj9BRyH6Aft/9/TfetKaej7iPnk0NqQxpLfw uaGn84VIpPoSuxEP/Zk0C35qANBTY2eSSPu+/nYFWOEAy0oWsnKDF+g0DfXi5tIvX1Z1vmnbg1NF qyXuGvWzPTXD/NBBNqiepN+jA6cfgUKz16sQBsN/oMYJQQvClzKD5keVTzY6qpR0ty0UxaxyjPPi 6Y2iRqoSBcby+nGV3KQz0M+3MPR7rifo1juioGZ2Wzws2lgCekrfVYuHCcfd6H5g+1XMkFPD3joJ 0YfkW5TIeCx77JuXV/0S0rAZeIqQLSSpRwIs/wR0moG6rU2JIEzy89tC/t5+xPwaIzVUDcZT5mdD yA/d+CXqy3xbf6RBmsd7qQ0CFh0XtbikohOMO2B2uqQt6m3QAfMAPTUaW5MdVmnzj8olZfiof7vz G9JhZTekCmsRvc66h206cqDv0BaeVC28pbyfXfvz5AHoqSsIwheLhYamH8+gMRV87jugJ+9goT3a 1MZfs4jKjVAu7k3VmMwGiQ4ZSlvrTnN6d8I+gJ5asOS26/EotiHghxwpr3c/Gf3sovqiHbN/27mB I0n3pcdc8dvsMZ+QG28/DU3qvcWvaO08XqQYLEMeP8w7M6xisza9FdQ7mo8Xv4IYQOmHVjoONzzC Kip/r34r9J/rSkYjLfMJkKJU31XEFNkYcSZz+/zu6X97CrlApKHn/KkzHXYcBV0eHrE1qHtycVQe EjAkaqB8E/TXfXNAT5Lb2LHkaSjhdBn3ilc9rPhp1JZm+jVx1w9KmGw2NB9f/h/27um5Dq+B43Xa JI1tO2ls226sxmrc2GxsNLbtxnbS2LbNxjznenfmzWR6fnOUf+Bzsb/PxZ49e631r550ctl4Xx4+ 6zAMnZlWRGWxveZJ3aa6MvKQ4BTt1IG352Z/Rdq2OynIKnoNojuPFbgBFO1fPXnGeqVq7h3RcDdG FRgNcEW+2ROCOty9yoQnNgn2J4qtOXehTUauI+ukW5czKHmn7Fuot3p6OQuGelThseHTAaH7WvuS QW0eEh+fqTlKjxF6Bl7cgPyaJ9wZ1Ax5tfjAg/ijAegfH/AB93/39N96aiExx5FdN1NSxKzTq4Wl Kv8wQrvPcOar+ekEMjpMjO8G0NP63ny0ym2DqN8FieiJ68NSVZVproxlL1YWxSyLnauiSzjJvl+8 c/1lL5nRE2j0IJ2Vzfa0uL2q9OwH/PkXdWeKHdIsaoTmcsgWivU2MMqRsOXSp0irDv+CoMMCA2/w ajGC2NkvE7dWQG4RQzmc2imT5zbBhqTmQzqNBu5HcVN5wYCe+nifUVPyEtLhuIHIeqLWq1LQpdBE FhTvQS1hVXAErfWov/Hsc3HiDRt3NTRbVz+BA32JGU6Ymx4DD4iiS1emcQ1EON5dtSCtQL7qqJ8X bkRpMF1B0hb//AGPo18BDSa36PP5ihC0C7458M7Tgubu9SxgD9CTdNgNbiIViL2tC7iGX9aIOU91 fVF2td7Fo1dDBWeRXJKg5hfBSRKL0AZxnq1ERyFBQE9EWSFnUTaVfoXPIpOz39RxAT1J8Iia7z3I eOnG2q53VeosjR0Dx37OPZh6PJ6af9qTwQD0dDL+KbkZZlT+uZWK352my9AF3QWKo6LWbO9Obiby 87CP0yByOwzFN06XKrpvpyhsolFD9w6tP+W9VZNwlnQ2q76EpSOUmPHfWNFjMiQGfJhLlytIAPEs wothJYuA2V8p3ebCPPjxq2iTDqzmgz1X1jTPu6f/7Ymt2VM/7moJwU2TgOQ6xtoKE6zjE4hMllOH q9k1svh3ztc8CVdN+wSeEAUH9sbs/oCrnUAr/l6/S5Lk+dQF9+ljqS7+v3pC9XOs/jq8JpEtxo/P y7p8+5qnSoRFEmNLL6Fn50tMiEMonBjN7fUcPDHKObO1K9OOdsF/9SSiccvGV0JK/vUbupkN3InX Wz2NeSFgYB7seGRx/qwhIf8uocud8Nm/jGJOOPvPYN1OsvJbPfV987wOs7ZKb27/aBdgv/bdcg4j 2VuL/gJ/tGBQ6mgw5zVPsFubDmNT2CF4YTnzJhWEjoD7v3v6bz0ZQjjxixKCDeojPZ/+jmVxF9pG r0QdTeITorpQTcHNqwT0tLyFW8Y3NvpFx0CjntiWVv/oPpRh9ngtLTVZw7DTnK0wT0/xAcb/UsYq Lusu8NsZ/Wl4wQJhJxd7/6Fc+hwsX8DRyshPtdk58Jr2AMdyEbrrjL3CqSnJwyB4kp8wnNwonUNd SyDKsU5fiP4cKoQsTiUVJd1XIMffZ1rCErGu+PVIAXpKlDxmL8UfwVSRR7rFZuAW/WrW2jHS9dkB nOjTl5OjIC8lwUwRNSOB4Q8WMy4yCNS2Vfu/W1d3h2w56vlNj91P1GOQR2yu+fiuIAIwQ9kXn1Mq DSIb888I2bV9baZ0XFayHGGmfs8+VeCvJUYzi0i4XQL2AD1pjcb04YjGGGvOyM+ks7GtwfSpdKtx Jy98TvSP9wNhNJkQKcDLrB6rA4YhK104z58H9LQTYM6qhgBT4yiAy0/qCs8O6In1i17U99RMuj5L baaPzbddOL3LC3Comquz5etxMyrWmoCePt41RoeMDdYgHjWiNJkVFkyCQKMqnd4PlWED7WnzI3sz MqiJCX6PLmHu+Vx8Xc2cvSOMvz2g0pGPaE4pYNOvkYkICxz+Gx75O+R3NWwNC/1zcq36zCqcCXWs dKSMbNiVZKIZqVk8tU0C7D1LgtjWrfV3T//bE8snqxcm9F/qFZfgura/fpytUNnh+K/sBTTDdtyf NQmRvuYJKeoHhj+uAkwf66I5NbVR5dIgLqfP5wd6vhZ4jS8NnWD/6iluU1JHrRE5igSoiqJAnwfi NU+lC5ebmJKpVg4TH6tFijYhMuOgZ+mP7LPLT48yTPMlOf/VU17abGqpwXrRnhIPtQtKisxbPVn2 fwhQxrTcr7SwYFtDJBMPHs8hmXetUaoq5fz6W9d9+q2e5K6uj8TdZKyRGiAUiUyBkLtSlAhL+mub O5phDyK0vnO/5slsi19UC/mRjn/no5OnteZXwP3fPf23nsoaUWpg6an/+O1eix9WKjmAspr06FmQ +KonBkj6q0jAA3qqqrNzwM6WHrWM3AjlLDhBPdWM/Xz6XL1NY3aP+F0XZxH+orTfQ5HfbcDQ9zze VhXZ0IMKWaebFDluwqEsYjUpVpRbbVGs0gcRvc7hREYLFP+xDd4fiVfO64FQuMFmzS6ona8prdKk ZtGlUMTQYSi1BeQucHWoydp9idoD+oiMIgzQk8mTbRDZD/MKRDw9ig6hT9V0wPkkaUOOpl8eKFKn H8gmdrkJkKGWK46P905RK3NtCqUHmKa7FZ8HlbDLKTIORs5oYqPIMR+EUJiX4cogCiAlC6RpRk3v XIadoQTYrbzynOi2PxRfkYjCIX32X9jaZFEH7AF6oiqrGNtUjLwnPnzeLTO/beW0OiLMZP7Jn6yC ZM06SMlz+ecg1vfDFymaJWyXQfuuUUBPIPHk6i0+qr+W/oiVsW0WAQF6ykTIFQIzpeJxY578Yg5z NWaSWU6IHnAk3/UbeKRHNK0K0NNVBcJvCoJTHu7T1lMqP9gMXAf7bymI4yDq48zyPi/X7vsTpl1H jaDE6w9P23wXogMBlTQzqGjU7eIHWr/nBQr5gVgSMHoRm23jEWO1kxNbND9IOjbRdevCWDpgmD/S 1IuKjzRqW+pT/na9P+32NL6afvf0vz39Fg04sCQh+HMHTCfSolNDYRaAIYm9JCDZpmj78ZCm86/7 egA93VJY3h/RM01slU85m+ixIu8wGDow+ipzqqygFPU6xom+1RMk5RFjga742lE0l3ADFDkmQuWz mG3wpPPMUpzpHnus6muettbFBzEU22C3IHLakB7GdlZUdL7heCfGHTluVPKAfo7/V08eYKgkzBgu FhTPoFvenGjzb/XkhUL2WDRPEsbT/vhpijWpNzlgRiF56uqUO8ZYQSSnU+GtnhZOpeOiuaDYGKUe O/N2bfiJKbBWn8KtPaBuVYviCLqPXvPk7745vn5Yht5X16o6Q8KYAbj/u6f/1hP5SIaXxHn27pOI o5sZVtS5yy7mmbmp+rOedIGH9E/TIEBPOiVaWrH4Dp6XlZZjZL8xiNDkjvIJCsYGB3xDWHMWzUU+ WCpg9KlOi4zWfpI9TI+tcU1gbUS7NQon9X201CsbfrkdilFs5+q8jTJYGuwMd54TxsZLD/Yw4WrZ udf+Acfm0DOvU4FuwGsbXBAf+0gH5XsTmnwrvcOzEdqmbTDaM7UN6AnPcPNpEIa07tfkfFK3BWot ZtjeqP9WWNSck8bwPeYsQvh3esKMh09ne6GdaYv0wgQ4q3M5vj8iQKRt1XttP34bbP9Eu7qyu9s6 1RDkGoQuQNhqaTWBCsFFNjBoTRwZfqwf+y36wOpg83POJCxUvXzdBGAP0NNzf1t50+3H39/1JftS hIaH0gZr+ykaL9pRM3kkOvuResQ1ta0tQivFrsjwyfHt66UAPcmXcxdnVqxTjmEdxWACd+cCesL4 qu9OzqhBG10TMrTNa3ymr71bpG8JfSD2Sdzo4WTGDdBTgov4jx8kKogt+Pp2C3kNXXQRrILQopXD jGYJvfiMVihecANICh13FxD7YGuyt/aTM+hRGgOZbChkNF2JcgcUCr+JkhMoCCpkjVmJxSE82ovv kk7wz8YLLMc5aiwRgjOwfKyafr38/nXkX95VuBXlqvfu6X97wt3By76eSfPB1jbwqyX6mLPR8Ym9 0wiGEm84VgrnJWb+NU9D5UCwUKDTUh60uEAyBTu7bjMritqQX+JoNq0dWzpmIv/VU1QWN2lV9ngi cjDUwhMsT8JrniJTUw1oi5RlNhc2h7wwvRA6JCaWbi++JYpor7ex71TU/aunDJhHTbiezsBkBoLv K5162G/15CwqOpma8fjnno6H9HN7SQN4g/FLbEXAd8ZvDbjj21LWb/XUDUPP6Tp2svsRtPFbUx0r taae+hxnjzEbp5QtTnuDUsZrnpwmL0l+g0/g2sCsjF7WjDAD7v/u6b/1ZCyW1K+iJCcpA4PuKsz0 JwsKxgSiRyUjLqyC80VhSeGv9++SQbmhzEmw0PMYYLJAoHcOcLRKEOeml/2op7310xPo5RxZygZg jzUQYTqKijoVie/yky+HYqC6hT8rYAtcIt1BXv1eU9vXucrhEdJC9Uu0N1+vi/+6ThqKlJxYomTG m8Htc7hMafzRNzG7CXKiU6VS8FMWGbg1vdrDR8HYgV7Z0AVAT6ZG4RjLaiGXGJfr2porMK0xOTDx UDVTfH8KPNxqUawb+aTnMYryBrbEO357Dc6opxD8sDz7+lFIeDXd43EjGjq65jCmiQdzp7RdYU58 FPVkEtkuUVpE+fy7nADch5X6AG8oW9Lor1Yt643e4R1LVEsRgD1AT4tOvdnMH4zhPBXSPn4tEYEg lh7R5Nj6KC1X8wIX6pQQKortIa/k/yvyZFFk4emD11/npUQ6xb3FE6yDXaGMy+FdA67++n+mEiH0 td2UqDXSrMo+vyg0p1sGbFZS38OWC4dvSv09AaCngyCQ+ZzVUMX2o3oNu8i5YgU5Q4wi9AVrRG8o BmDEdUfhz+juTd0hke0Nu1zO2V278HWMa3rQ0UDQ5LZchyNS6iz3c2w5iEg7NaKnanBddSGqit7n 2bdtS52pH/e5cOS0T65pdyEj2GQ0fHzbiA8Io949vXv6v9JTOB3ypTLYgJqi+DPQ0DE06ls9oTd3 eZzwfaH8raDg09BhJ7oUB18pPQ3a6vkt3B7IodfnrZ6E8JJhnHDA3Ew4deQOfkRY4xAX6B1XracX GxOnaN9F8b/miT/XYi9dsDAyYwdSFDpn/tscN17qbskNcUAY7kccDH7at3oyo4b9fj/CWKWFUGhK bTfQBNh7zVN39U1wlSvJKjeJj3i8ldfKVDsIZnwNpz0YAc1wo+oa8b96Cu8aukg57VTD84EQPWf9 s/qap9Al00mT2bJi6cyBxAV7Z13QgN4p54fDtYQ+fo0UFyfed0//rSd8qOzrrrYF67RfNmV/YPjr 7S0Chdf/TDc6yNhs/CKjhgH0VHlOD903l28hqivcI9iK5i8PIQfjtFpOKDZDkXZUXcaCGYF00kW3 VaH/ZHAErffnAtATkIBBOyqSGFVUH6lOjiVWKqAnfB0rXxG38p9n+lUVpaLehzkf9wctAo3PDWBw lQlNLbQAPT3XaS+MKizT1pPhJRyyU3hXxcSH5VOlHbvT4LLEWVcjyj9tge2ZX1ngKVonB5QuErGU oxNW/jhgCsU47Es53pBToo8h0kHf+cF7se9Ky8RuzDl0H3KXA81moUeYdCEhMUmZ3x9ojO//HMQu yzF1ZUPX2x5IUVsP+iuefqj9wEVnGAZkMq9F/vcvVcuE8eehKyhATw+5UJEe/h9mZeJya0Qu/Lcy ED3bUfaHWrnglPGQfvyUEuaUGZw2YdJhVN5Y+rQSqwd3HLz/qyzMnvoa5DvDE3bGOcUvlbpOiP4Y 8fqk2kWYhEs9k0jvxxYpblCygWdXH/Bl/4SVyzBtr+44LqXMwjtl2WAKkWCCxKus8g1axXrjY0BP d4WxOsbnUC/o7ouEtOUvk9/9vwocod0BUSMPsjo5BWMC7t/pNpspbwnmeJD9cv1r8RhU8ASJMKwf po/Mgimcd47mCGfPSJoQM0IpjLNKIXhoNO7d09s8pXrwjceuMDR1fxg2JWX2hXirJ3I/O7FazlzR OZLPQ8Jp0+y/EKAcsP3E93UepRawL3S+vdXT5zZYKRKYBo1B5E+IJNOGCPEjt2bsCCWuadq2DW3t y1ivebINNdGQwvSqP4ezYm7v2BMqcVf0sOjedNFO8llubRPFfKsndvhEf2BWlti81gyjSXYtYMDe a55kgJsYFui7qiL0MWvtk5E3KAbNLqixx2L9QXh82Oxbe/7VE+o2PwSiIzm5rJ/jkLy2MMhrnij4 EI0u95u34fr2AyH5r3LLLjRvFZPoSdqO2FQqMeIy3z39t54qv8fSZUen2gd2prJNk4vhlsGqXUH1 4yx8KsDHibXx8wT0lDn7WwPMeSadkijgRqRSfboYQZPRWaWuMTyiq0WgzwzL2bwPEyVPN1dXrb7n yQuxAdCTxmxPs1fdJ+k/uM+cbOXGcYCeojxd6VLQMX2WREmP/5zLt91bBmZSEi2ccNIWhlfqlGQC eopLpVyfPSnHVEAcjSBJgMdsMWLNPNKorZiu7B9wP7BnYD7dxbfH6fdlj8+MXGwm5z+68T2WJBaY NbW1jk/HyNyOesxYgu4mAdWvJkK8NS7pMPjBXGWUNQqjicZbBm/Lytztrlq0tBlzQV9mgi3Pba49 4WFjnzTtgCP4Fd1BJYwjnjRgzLBkdUTYHC/HmrPVrQDQk2K6cfaF56D9fm1OuF38vHVPKGWtOg61 aG6AU0NorVuIfrM3clGS4RcXaZ4TutgxYk0l0mv0fluXvspjx+bR0dOb9XSWnh9zkAsddXX2OW3S mivxcFIGIRiiM1q7bVFpJfbneWuM4kPSIZ81KuGmN+TCf+R/WIc3agMGL9/XoWcVA/SUUQB+VtKr SInizYJ/y3wij1JDeqzdndKF+aWktXrpAz/g/pYM4ijDxO1pe99qdRSusC06dnNW1uacdZXShvZH fY8RSVSXviJgRffuk0Bd2KYfKb57epsn2nMjiuAfo7hWbjFc22xnpm/19AWGeL/K5xgi6QnFjdTm Y5/IDTCt+X1Fs92ACZvPel/SWz3po5tqI+019zRjuxNyQ0OcLTVpx859YtyyUQah8IiEjnjN0xXI av/egMQ+eSln0NpdCci4rT2CRmgJdohEJDYU/kndWz0FmXLqjMsp3s+EcHvClRhSAfZe82R7awxM W0aUM+hrpFOmyySn/DVW8vicpxSoDYI+cImb7l89EXDP1FOptHl4LYcgR5VqtrzmCVjKNaIiG1mJ x74fjQCfWHDVk//cfuWngU/NQmrPwqnfu6d3T/9P8oQFcboRwRd1AOwrA7vueRruN6drDnGiu0/9 rbXXgATur/c2uNGy/fCrhwJxctF9r/2XCyH3/bQ/f/W/38jFr0pJAVazTU3dhUHBAO3EA0Zm1dMB /w2/yUQVcqA2kJ2JOxV0bkzRHa4hMidyTGhwmGIgXLGDG6FR5ostjvyFkNVK4n4TAlUUcapCY2rj UTZGr/3neYQI3+Txs8cPMOdL0zEFMNVmQE8GPp41Wri5u5jCHym8qUkOzywK/vQ6ghw6I8uEPBRr m8BuJEbifUynnKZTHftMbH6M1IVJeol9FBw9xW1dpupFs0Y+Xhbh8HPxAdizNzTZzj7q3gLOMJ2u F5pXFGel4QdlIiWxoARHpzKVlfRJyeZLN2AP0FNjgbBww9PdVs/+6Muv9UasX3MXYlhOnNbL12iJ oVT+0aYjtHCPuqQrcQKd2AY/o3cAPT0QIjOtawh4fbU2mIuv4ZYC9PRVM5zlmSNtXrW9SEs0NcW8 LIuMHbY5zI69PSOrQvPCHtCTteEkmq1dx2EhCZzcTp6KOBSV2Ri7qVhuLpjHVnLT93HTrs5CvfAX iey6OwRLUWOUkOZcoOjPX8RDtsCRQONYI0Z2zpodAvzkezU7nLPlwpFN47VUiAvu4C922i9pnrnt cXOfGdhglL/ozDXjNWus37x7evf07und07un/3d6argJ8s1mdaZsc5H8RozO16DFSxD8Pa882Dqp 36K9PfPgNU9SoKwjaNWYKL0psnxa2As/8LexhPcb7txAb22/wCV8vv1XT9rPKVpC2+1kv0AnB0qz oYxe8+SsW6g8DMk9SBbxYI43MqoCfhY6BXm8J3bMET6C2sh496+eipCjbX5gO3orXHizUNJcnbzm aWT/9o7wbsz06YGSGbdBM6N0jBnSbTas3nOkSqHnuFziD7YsLo7qwC+0r7FkTSbOYW/1hM3z0W1r BwKJLImMM7cDepwOaA5fr91BEa3HG9FapXnoNU9Ew/z6FE+JMFBSi7d4QSUmgPu/e3r39H+nJ8ou K3nNk5TkBgfKaqAJjXIHJSh45uDo++9iWEAlXvfigJ4KHw66oLUZ5/TqajkcT+Ho9eC2PL1jLHdc m76w3TMk4ScAFzc8G1WzsFGzDQiFLUQBehpATgrks+ZKDa5UGoCuRv/rfrpa6OSl0NCabzqoh6EX quqZlYE+TLvFPeIBRhzBmE9Kf7332q1qxpFyd/7zA7aVA/oz27fb6/0pX+KIROuPCSbK6PUfJTZz CUJGztFkpy5b+y/EA++VqvHdA3PM6e6igmAu+xPdr7RjjbHQFrmTvO27PiTfCAqobgRy1ZLWj4cN biuLWDrpseEs1nezf2C8Y4EKSFpjz0XZPn5sxnThuSzlllIVbtFdgseT4LhtET46PDyg1P3rfYRP ModnR2Fi8hdSUlvxxl7tOtOM8CdtN5l32r7rt+ZyJIlFKfP34nExESqxKG3KN8JcbjQL6J8g47Ly r/hXfFi6azYG7MELpB4rUD4so1Y0QHAQPaDAXEpui4flEazOVJTQJU1pwOYrX+Vt/AmTOjzQMJcI kNENCCkgg2IJtDO6APQEJMd/4lx1JL0UqupOP4su/EVtMOkXrS/rb0ffDqsV3mzA/Q3tjFWwavIF VsrGvYJGp22qnM23PfI0Oz6gUAVCYMN5VkrwbCOW+MsyGcQe/NZrFXv39DZPuppoN1wgbbxylO5I ZV2ycm/1hNwpqb9GxQEMB9YI1UTchnWJWHJvc7oJIZpTIHrV2bvzVk94aLkw2GAyyM36dHA2bRB9 OfJUkZgLFceNN98TKa6n2l7zBPYM+uFEShuSj4uLMB4tR2+FAGgQWawwdpLRRseLazD4rZ52DxQ+ iAupoqi2qacKYgFRAvZe82S0W37Ki0tfFeqv7YB9T4NHv/5Jfd2sOnO5kZvOcBSR8F89dXwQlUN0 Y8JpA7tQO2Oy+es8AqCnlnvRcPBgrvGqkR/u94+ZkB9/HDutiME/FDnqBh6FjzK8e/pvPSlR2tfj lXQ+zOHVVWf/YeyCKTdMhbxwKWj8ae5AotHP+tf9dEQXoMvUSLZ51WLDZ8KUVXf2eQxcZDEUCHQC Wn6qqwnkAUkY2gkW58sE2Nl04zXWgJ6u09y/h5xrs56pzNgJTia6AXradbpdiIYqUstXUnA95FFJ OQmoGf2Coh5is9h+zCtV3AvoSRkir5gr0CWgntSjb5tRnVFrIoYLZANtUHjDQtF+H2huQt9+8zqY BZmG7xztFwpXJl1E+mwzg5j86bGogSI+1A/gO1jZWjSUVLfcNiNk6PH87D6G6qG6M4PEAL75Zfqf yU09yCk60bbf0L5ZUcDTczX6XlSD9n6EoLPss0QRDYnMvLVA/RlcQB/K03/jKW0eyAXoiWq5UN1R 9Bqdu+4WlLPGDUhApZumUToc2awhU5+Qc7Saj0zyJ0lTpOEzLX/TRI328J4zUjp92uN6Nlr/8gkD NKsPY2dMsaK1ST3KsWiGS6pDGANPw0emXxp7DPXzqO1eBkznpaqbktZSqJI+6rf+aJPXkOLLar66 lxEyJOTfZGj+ul+lUj1T2Qg1vGOc6YnPMVRIoQ6ZmCAbG/Y3tfx5+KhRuxrg/u4cXL0b3Kc6VbVQ Nkdkgpm0NsVVMsxIwrBZdZ8oQ4771vbodbFBfqwT32VEpvZ/rXj39DZPfY/2TJLZn59peSOFRqmy SN/qCSy0JMuSLMPLScVGC4EeNFqRhn7YDTOkOIyUHKdErvnnWz1NIcC61A9/DRe+if4/P759PWnK TN8ZlnssbQM3nAp90L/OtwB62qc+gWOIx2wIl2P+dirhT4ZNYOG4kMQ84firf1ikAifgrZ6C5QTc OY2Lv/XPJuHx47ycAPZe8zQ6y3zk1r8vVHs9lJtsW9lO9vnqkrhLR6GfWrmPhNdg+V89FcIeuHkB CeaWbnk0FeAxBr/mSTOJG3Orw5v6/rbdCwmmOs0glB11nGxpdFiPijAlyuz7u6f/1hNyN69T5So9 DP1FmbnurHKqcVnRpbFdzZIptNaV/gKkOaCnImt9GPkS0LE9o0cYFAFeUbKVXx+RliaEe5XRKl04 0PuiKiB7xi01XWiKshTpkk+f/3r/jjoS8kWYYHNGORzKvuVLIKAncykyoV3Ra2KEpgl807kw8yOO XxxYPQbIxD7RK2stVdOAnsAEnZURtRiq83FcWvnEViocLHQ0amtfVie42XE0OliPWOaffiN8bnrC FlBgUD8a3itvqLNIVdl1xNPxjp7t3cKS+ZOyrhFT5Vuaz0n2ifFBJHII0s+Oc5BrupR2arsqvRLJ P6KqsZHzzgk42zcgPruqs3rTFd6ozHwWRVGq1aHLg1gU0raxIevMzEgr8qZKywHQU1cGLTv8j/rM XQ4KDqXHM3NFFVm9bGCivHEdNM7y8Ez7cQ1xHk3VprTcpAYbdSIYqhtbMcYmTVem06Nn+sWYW6VI KEFvS4k/nkLSDg5iEVq9aicxiLHOtzj6ZQb1j6G6dqEvfJKS4W13xgg/9jS/1A1vwH3MtFM3TZy+ dtdblWz76z77jqo/SE2tvpw/qRJSKuh6JCc1sPr49+XAk6tTXMpFNTEA9ze8arETCBWGjI5DCiY4 mi+OQ5yMf97XddSTADtiD9FPL1x5mnMXocESYIGjG3L2RX739DZPrRgR6hUNQxow02pG32WSgN/q yX0YlVSElz2/C/wmkWZC79zg+T7KKkeH55BTrQXThpDsrZ444wRQ8jfWfLH4tbvB2SSacJhZooLr 8qeS8je84GcrkF7zdMaaoCw0dtcESbhWtjxAQI4zUe94YUKAZ3+1PxvSki74Vk+DcuYwBDQhYkEm 1UtdDB4tgL3XPOk0Z2HX0p6nDKiSzgZ+2zdPkJIl+a1Jj0WmyB44+mcl7V89fd24M6OXEntGDbWZ 09UsTXrN0/asgwD8YynOqDzhy6Y6cCepFwS7DVvLZ8ZQxBAc6Xurd0//rSeCuKU5kMLBsv3gUeaN U9pxV1w9wTj272mjDMVeY3rfhQE9AQsShTntSGZokAIFZFfl2Ak+WLTpJCPp7ziZRWjyWkM8mpQY B1iEZ4BkESYOrWYaAHqKjdPqGUo6HxbeccbFlJXkBfTExOdY7x3kQDnOYnkFXDrhcOAN3k7h7vtn Brnzp238ijugp7yFC4+5shS8fiPXE9gGoDXuKTg3HkTaiopYIhRuWZW1uLQI2vK1KXqK6E31MzKK qivyFNCYgfT+lM4NbkPYeflKXzIlqXpglN7zT+E/2s6/c+qfLk93KJqx2Edc0AcyXc6eJsgucwh9 cAOZCgurj3APg9QQLlj6pTyOeTnoICjiCOmuDQXLDOkLczcPO0CRswDoCa9OhGk4NjjvM3xlJazH mq2dMfmXoK9Ylv6BT2dX31asvRoym57mIobpqt2xoPWeBSlTNkAmZOeq/Hn5eAYrZoZG9lP0DURR ZbuRkLvK3A8nyTweXUWny7XP+x5jBlVs3TlKR1TgP8oJw4aJ3a9yOq9kI9uSMwdGFXCiTyX4BV49 AXqas9h3qpHQVIbKQlrjY4g18+1MFBZDAp+KY9RNzzmIoQfc/2QhypjbCoKJj+TAzBUtukd489MT N+zPAyit/HOT5y5TkRgZ5KbSqrHtqqNJi+wJyXdPb/OkbXU0F/+JC+rn5rSIqDGyxGueLiG7NClb xI1ydME9cBH3TgNp8YQfoS0gVHoxm+/HNrF5lR7HKLUP6l8eO2t5wyzN3uop/8sMWhfQgHR/0MI3 dvAR2yMPNjLqlu3NBwYKNnCjnKTXPDlc38PHIckZkL3oWjxJUflCkvOFTBOlSdCd596bOd3bv9UT qdoeMXKNb4EQsID5bBaBB2DvNU8IJHS5xtAX/dfG6rUoRYpsmeRI+BnlDz7meRhqhEEaOv/q6cMf 9Z7sOCGXmXmTadA1X9vXPJ3eVPbpZBThWTMftNNenFoPzsHeRMCc0QXRMa5hOvjJvXv6bz353UOL unSrPeQHLwuBjI5cGk3c+VrBuY5p2e5Etw3ONAJ6oojTjKo7/MJjubSR0ifoWsA9oDCbeqTLuFNO RCXqNT/9uyOZ9rr5pdswopfPj4cqHtDT6Ljz2YsOAeWW9kPpblJ3IqCnMitsJJYMrmejsThYdsFh enfCpK+hLJRwO/F4YjvpBiWAnkpuOfUHimIFPA2kSa9qi5TPfo5yxU8n1FhLgO9iC5rGFUROF9EI 2G6+0MRU63PddrLrOxxOSmO5h+UWJcoE2FQY4VsBGYE2+msEM3LAcGd1bI0nJvhpmsdjoLBUXZvL fEtsY2jaDMkONsMyttw2PB03okkWBN05SJ24PZwzV8i8lPXaWplF5Up6zLIAptwhLAf0hIpvzRPX PUCZm3FbMEQlkDkfxyyWILe/WLwYBBlcbe9f3HCiXDaYpsNKsAmuNuKpflLreF+OWlIdbGqKNiZx uf6iiGqJqumZ+wujSlRC+xR3q/SaH6kBhfRUkLdHS8o4s758WUVL/RY8zD65oLpVwHmjaILl1rbN h45JVy2oFfkO0BNkMruprzmYzr6VmrcbZGwIP7s3e70+7fzojuuDaPVyI+D+jKX1NGXMheSkBFA8 RyvIv1iTO+FWYeJ3LQ7j98O54cfziX6Cb2srpROn0Jqo2vn1vnt6m6frKlIkTQqj5D2jyCyu4nCc t3oyawhhA+6LWCyK+XB099MId9kp74lZWA98z5O/UZRabvutntrVP4TTBBHtzSMo1KAGOobrojtT EljY4sAPi68Nq4ntvebJtu0w1OxrZ+el+DXrQnyapB733M2cSAYWaxrZDzfP66K3euK1AJ70phjm eMSqOOb/c8oP2HvNk9tuJiHthdjE5i1PIgK5tPvDwiQCM7vKReLXXwMHMx7r/+rpCITgdxlNxD53 A1/lrNELx2ueRk4SQYFavcNb+Zj9/WsrJC2/STWDf2CXNRjufmDw/+7z7um/9fTSZDO68Vnltn/e 1MkNqztNlzlnG+h6sjbTq3+J0r76r+9PNzViHR5JhwQwu4xluYE/O9pvSnPIfChILi5PpfNnAwfp MK8xl48tZl+OObbo0nf9AT05Ae+iiQwED5yeUK8l+2KEAnpqBC/9wouxA2afbGLjkXiQFiao+DM1 pWPDzFqARK0hYhbQk+B8xTVM93XqU50wb/yKHHQZNPdXth2TaAhFbe/znIHSWESxvABQZRBwdu7B JLLliKXq2fM5L3JenFi1mX50qfLaT+u4Mhm17EaJ0b5fQpfD4Amn5yt6G/SeSwYK875weu2uSupF TXZLvLwYVjbPMopghpKDxum+BH4R+WNUGPeRz7KfN5qHQfmsDb/qUPB6dR7Qk0/2l9YuIqHTjJGs YRWfdT0st7Q+oS15xD3rBh3lOw+ydAqf6R8sQdhc1T+Xw2Vnob2r63uZHLK+cs9XcToHrRp4fLOb hivtu5gFJ3+k5LyfNyc7BMbM/AFeNFMuQsEMjz1DOiNXZnDlZL2mFEZpgyqRcgIXC9oTWXahi28J lZohDOjJfzHnQvqgQlkV1A5R0TBj8qW3qFe977jIAfZrXVEDPijg/udTFzla/HpuJv0ZEYTpfROb JZMUUwSTRdMHpgSWH8JBC04nsi1gGU60XCIKmPWeGd89vc0TPOknKzx3OBDkTHcCTlrhvrd6quK9 jPngdROac17MdOTDha/fQlb6Zzwqpu3kQFZhsq7yrZ7UJr1dteaIuxYfZ+uW929vDJ2PC/1oZZZt jL022YG9YF/z5E4zKoWltJ7QmLG/1R9gT2TPp1NxUazyvImOXH8PnKP0Vk/Rx1ut33c/tYRbdYOp tK1LAfZe82SK5QtTch+v9CyWEhvLFIfnQckXnj0yOT6eHOOg+Cdc4189EV4SglgkxsqhmRuZzCCZ Jr7myRkEmTdVAFUKYfWjGGJkHvbsgJwDdmA6qtLeCo7VC6fSu6f/1lOpz3lKfk16jkvP7bF7w9VW bPqM+8BB0QpB01ckSIE1DEBPYE/cFD4gD+oqdoqLPZ5k3+FI79Ptl2iaepltzyma62SjiY0u3Xrr OdykzwiuFR1sAT2NjZ4m99pz8sKnjKL5Zt4IAXoa60KkPhcY+82AlopIA2uXt0l+ooEd3E1idHCn RosswwDoab5+K7iPryd9DoNc4XTWvXDPOLeh47x7xVH/YzWjx2+KDDm1/ejVuOBjoLaPOtYQ4pEy wswMINNYEbUE+QsTErLpEwN/3AtztrRcfomgq+287AnBXvdzcbe4rhwlSNvw0X43Zd23yL/xMgVz P6/jlZj/FPvrvutMGvkjjA6Gur6CCAXO7T6zgfUtI0m/+9ShQwCgJyYjGg7urNmQ5N97FQRyD8UH JaUWQr51WuoW8Cei7ltHdRMF28tBRtA+bX6wi63uvfc1mqOqVXZaFidVGQ5+wBxSTfutP7AmY81C 0swga7pml507CXtKRFmQd7Wh0diJIF04IQ+lTfi8OEC6C4bzu+58K+v+8PD3xnG4LNsMRkwFAHpS xWaze2FpZFlUujyRXyQ/C2UecTAg1Qp48kGCrpfbAwXcf/QhIk6xUAvRQcaLDngRVwg5iLLR7UlL 02bcp/ymxilYaNmUZ3iN+Ho1WzF9wsYs6t3T2zyFxzI+fEZyMZyW1QjsTRskfqsnoJaOylBTChTz YmCUq9p4637CdRppmR68Ch2woxb+Kc23elrt1xiXCDq1o+cSswDFmyh/Wd3Z6VW84h1jOlqL7j5a fc1TEwW0/IwfbY8y8MtSQjx7Zk0me7MS+MYSSLAy2ldrou23erLb9GBau/qkLJJWWXLcD+8P2HvN 06BT5+9Ag0Pjgi9LL0H9FLIl/fa73JF3FCcalO6gtkpF/+qJvMWN8VYD8/uqh5xl++lw7muebkgw ynP1qFhbNgtvBjIMpeCffe5QbH0O6x9l0YeSW7nePb17evf07un/q54iqX7YK4LGpSvxbz/5aQ/5 +ByhJpgG7ds0ABvKdcd1RAJ6umsdNq82ykXDBwX7I9zR+OCuVh94pEZiIzVgSEgyhXaGIC4nv7EG XJLherMEZYQRttPWJUP/1OgieHQrdt8fj6nKPSNnQ7mnuYqHVH3feXc8ktT161r0sVkhggg+UCg9 qmQ//YHup4UXpdKt/gELxpkmlV39EcqepPbUH9b8FKLvgJ4YVq9MPlwEtwyBt5pU2Gb8PKzzgBlb 5e2g89C/Vk3BpE8w581MLL7SQG6mTtzOr20tyhFSvcGPZqgjsh3COBi1xAw9YFK36lMOiOTpGeFY pE5zl+FJQkFUy0Yc6a3hYtNL2ZACMg3zmL/Z+zL0REtpDtgD9KSKkLTRLuV55XUN+zmmvff4kotA j4okNu1wZzhycRNeLxwEMhTD77ONBH+80E4n9yagp9+YYCraQp1OxIMgZus44piAnoBuzcv//MJn tlCxtkPOOZRdRt97qi3i402cKhwtgQMNAPREMQrEfkpJOpI9jMGPJ8od/SN8Hx6FTeDIMD8lZL+n V3GC39+/p36qz5jRRuwMFyk8wCl73h681nVWElovnmdHS3uZWjQL0YRE1SrAZluYmcCHSeP8XKZu p2Ich//+eCPgBWxxvkGq1Th8+adLwVKcxrun/+0pkYEXKRYykxzrCaLPcmSREOkpm/ajZqX7OcHS 5BRq0NxrnqRxgyh2FFwKtoINzg1j4eqzK3atyj7JPUviq0UWi8Tkv9WTizYr6IruNYzyru5N6aq+ zgGuilZsaldh9AxfUhwLXPxrngj3ZDGuVOu8zMKoH48sGRI9n5CyqtoqVYzy2z8GFRvL/6unHQvg R1+JSdnNXxdNGz52a2/1lNhgD7LYTrHriXGyHMDnT1+t5V5PMD7SK9JiKMMDY9HwVk8CXERAcyuc T3FmrR+0jPLJy7UMImKpQj+6dRjuXg1C173mSSgp+Pew87dwsbFbbr7zjEfA/d89/beeeDQbTKnH UloKJQvdlcE9D6mkf02xZRQyj5Q6ONTHHRgBesJycP1eA90Mhx6b2RJCNrLcAYLOy7yY09ygji/E +NtBg3xPXHLlrNJTsvXR5sxVav2wlJADgrLfBe1RFQXZONMh3w2+GQvHccFj/fCCKs82I3Sno8sp afOjQzxBX7D/8gDCEv8h4RXFD8Ef1ybQe+AP7lrEnAkV+52BNAl9rUMmf90/Pq9BHHxKzJ/uxLTP 8YXRdqS0DGPz6E+7mTBI4epXQx7cr7ks27CSdnom6A+F+jSRXCqrSWCXUBVnLBshmB7uH2tpvSjk GK17a+TtjSI+9ReXuHdSdHnA+I4XJAba8RUNvzAtZ8FK0xeYrvF8VvyhpyoK2AP0pDyVEh5q0jpX ZLIyBCNu9jlvzLmkABc1d/I3B+fc5kFy+cGORts80HGvIrHcV5CFb4CeCFpKghU+fAABnwuIbvix Kgjoibs9B7XMIsFgd9CJYp60dxDUgLmKlZcYaIN3lAz7F1MtoKdRiHoEyhG25o8SRxHpQwTAdDmH wMvBoVN0UcHZC3QE8mBQCF3mDyDyjKB/8jA7XP2DzRmyRNriIxfcwqmG/f0yJ+IpxLUhpxt2KI/L GQznCTlEgi2JCvqjkLNB7m1SW5jjoKbBwOgYDl3ikQJiyA7K3j39b0+he03ZWyznTV2kP79w2WdH nS+zfkp6Dv8p+ljHkovz3P6aJ4ytakdXmQkkkWTbukPHZbaEc4+ZxmG7thMZs03JEU+/f/XEeFdE OO+x+UkOkfE63YjE8zVPjl7aWY/CcjN6ylJYeRNQWUgSXRmfQw7Voo66UxhiGkn+1dMUmTfJxl07 aU8aFMJjBGTMWz05x+m7djHVi/i3dVJEZZJN1EB0taMV6m18SRiX9oUX8H2rp7jUwYzMKLvH4gKv hKAI2SB6XXZJiMiStAS0lvaIW3L51zwJ86+zOb6QzvimFh4d9Az7Au7/7und07und0/vnv7/6alJ tA924LQkQvon4u2iPGg4ubajQLtxmz6LZ0XqSHz/X+c5McCc7uT1B1L6PCOSKtxFOjJRqZoUETMw sFJCsQcYWeRGIllF6rQE2L+zuZ9NZZbSterEV0V2eFuc/RYxWVAkCTl4KpCytjY6/fYBSGY63z2C sgWJjlREte6xONf3mu2wXgKrJUthpBfpKxO5OMle2gPl9aFsWnZA7Hy9KvSanPssoKduY/QgjCfI ftziK84CO4npgTmF+OvqKZy8rb0Ug1rzOSUHusGfYfgQfqBWjskkqwcL1r1Q46cX9T7YqyK7fPdF BiZxOegf+tHpdx3LLNTZRAzrST+2w6jIZPGBbDeDNqDizOavyY+WxtBvkFuue+/QAfYAPZ1OHCQS mpSK+BL8hiuKS4FHmb2/NNGs3IAvJqxzh7pHY860xxpMasGRpPcHP320+et+FTwG3gjsFVDXoalr NVh+extAT8fM1PIYVGqtqzuF7PWjE7ibOr6euVSSziEuTTh1vfk9gJ62x7mww4h6U+tQs8d0BS59 wM9AHUCG+oxDPSBlgXPq8DX93OqzFfQfrA4a9Po17pRHwqN99v9MojmtAkshfse+qu0f7ImKLB+m jQLyD570vtWhbMM/goSRUVolqoe1xvxw7behKfqhIlUFY4QFjpSl4t3Tu6f/Kz25S/YUTz8L2onb TfnajnduvdXTYDpZEkNI/i6sUp1EMUT7Bk+B1NkQop6l4p9E6012Q8W3ejKNI23VzLXUTOTua79x fcg4yc6J7+wYnV6RY7gNnAone81TZDzTF1eUXVUJTBt08q9SwVe4JtNcd1O4aaItU6YToNlv9UQq cJP52cpg9Z7QEfIsK/IKsPeaJ87OusmxW4XcMMQVSATnQnZYuPVTHIhxSJre1TZIejG0f/WUHYMF 4lv+I1qlQMppCUc/9jVPyAymhjwQzj6j0D1xZmiPZMGZBZw/azZ7IEuaViVoZKXfPf23nqKEO/iU rRTU6F/OZvhjQWQwyNx7sbaSLuY8uLpVsdtIAT3VxM8B5RBxg8PHrlNgx87qPMsL5jB18uUG/h5J ZTi4P1eNMLXBiYnKMQjSxNHx9qgC9DSCKdvJni4XzIa2MjkxaEQD6Cm94Qq71JBQjc7p187I404g glkWUTOqgYpT3+KxuEZeK6CnzpBHOPA/DDhF1qdZtvNdbje5NghVMgwH5pkUBE0Jq7Z0strNTlkp tsEFLsgyoSAicn0nQgYEB135zRGgpU9JcY58djUN7h0gAsCIvLQyAaSyzGLhET5EdI2s7pLnFGJ6 TPvCMBQuCfr8sgO8xG0wzLYo+TqZUJf4uIUxuXdJYm1HnmsqEtvAacs0BOWkOVdCgJ7Uv4khejld 4rAGf/+TBpZclL1ZjnmGxHmpJlZpElWxguzWE8RtjX4FtVjJNZDcq0g9BZFlTo18TnMj400c/okD wZx2mvP5m7h0ZsxTfUae7DDywW/gI54JDe1YTNeWFvc53Kl744iq4qT0CVceXFsfRSZr1ibM7uu+ ey3hMv0tAi9AT8O6HfXUNwXdT/h0uJKzQTubBo5ooX+6DUizsT3KKaIzAPePoBrv2KfAuYbyj4TY DTDEyETS6aptcmKWKWzr+xBcomNVHhHBZpshEhwDjzfkusnw7ultnrT1kWSlwqUkrzk6SCU22mvf 6ona/AbYr1dKtMpA4GzzyZs4spzFSUKHWLcttQWIP1Kk662eOpjuGBeGxFPO+ipVVrJdOiaf0Clb +QU6FkiBEzPkKThf8yTRiYh2qIXGg46Oy/HTAEh5gbQ6/0Z8OeHuqkbJsydl+q2e4nvS2hv3z8fZ KhI5ECvi3QB7r3kigfMcqbItEB3g1sBId99bv3Di/MmKHyw4bHZ1IHtEC/GvnvQUKB476ErJXzwj JkMvmB1e80TVmIho9gnuY94NPILaGjXryndEB0pk8g3lvhZGtnE44ndP/62n6x+dm3E0UCSP0JYX Y4WIZ2Lwd/KSlzHwI1X+zDaRfUSAnia7j7hZZLbaMF2BtMRLRxQ3TJoPNmZAD1l3vd3hBpTKwEsb f3SbpZatGfHd/fiVDQ/o6Rx28wIuEv5gXKXJy1xwEwPQU0U5fh9QWb1oZLR3YOlqV2dR8uensWJM guV5snJaeYycv85LmXFdtwdfIgD1yOXoI4WNjXhV9ydWHl3/sFLxXGFhpDIEzlrB4NtC/6HhJ/dQ Fi31opRPNAZiNSAU5BHEJvmIQGeTmi/L+YnViGsQbT/qE87obTFN4lwNGBsMDz6R9dbe54fvIhgJ gmnoSZFfYu42RuBTqI0qgMrFX8w+K2QkoBcLkQARcqwZyhL84heRQCQvAfSUGi27N+LM3AjBII/b k4mfUyvDjMBBDC5wwpscjBfej4rWB0LlTJcoYxL7ba/8DD4jzxvcvay5UeSjeiaHHecGQj91tchP 7CQrn+p6lIcYBC/zBibbOIiCVCW0MtZseqZbhQ1wexSd5EsUQquz1WbbUmQutd3RRvF6VQ2TysLi w79+fwpTxJx7XNTbxO7h48JTe0FgtY2UuqTOg9KxlKO/96FaBtxfvpK4fa7aTwKbnRGoZ/1IFtPF MG9H6FxrMolhrwiyABmnpMhEtvfXMl4WDtvgxMD5u6e3eboq2Akq2dD/gSAEf2HffWPwVk+diJ84 lDCQlxRHqYgJv2OStV6sCOa0almVpnLla6jiBb7ZE/jTPryVldhLSEvl0DxL/CmFRpQZkLts69eY QR9f5MfXPCmwmBm1RRI0Ds2DsrlKWP4s8g3vKfDSYa1bOW40WDvQeKsnu31C921Y4aWvk1I2tBnw 2oC91zy1+VBeqEMPFue3hn5q9Ryf20Ie6NTkHkt9/o6R5URR9OOtngi/jmYWeZyCk+RWNTTtFTXK DIMQFttqcnXy6h+mUq5gvOYJvorksFX/sX7mCbLVoiu0r5CfKwCRxwlE/EanI34fsufd03/rKTiq H/d2yE5IFMprrPh5+LGLr1/o1CiIsg7aZb52Ga4X0FOcw5bZ3CF706/SLouT1T82uc4xOogZ+Cjl IkXfpFCob0wDlCc05eEeq/l4cY3KqFUAPekVUmiMHZmszJVXnE2ilrcBepovjUIGHrJYwxqOboHn VWuZdA+XMBcra87KdF4UMv91DuhpinvhUUDY2mUC5iyxaYTrk01KCjAnoxPrFWyeNeqdzsLadnWI YuqxGCHS9yVG1pNzpwMn1iQhQnALc+euvn2sgtDrhIuWh6zeqc7FeYKTqU28GhArKe5PYz5PFPgG jH+60IlOqEWfXAUUOpMbuCe36VHtHwltBZOgeIl+mi61MqQoeDd6ulqG/hKpzo+25XeqB/QkR+oR BqyiygjRUcavBRxZwB7kYCCy/6XK7IrT7dFAYep6PEBGIdPdRTYcol271cFftySkSDheG4ZR8GQs +bE1YUYWEk3dvM7rl9YG0mckCHoe3+Rkke+s16lXf3D4qbPVvjlu09LYaDQj6TBxEnivbIGMFqSl ifqeouYy3dSVMF0Deoq861bFLRIHw/uikr4beBlw7ztopoWGfHcO8ZSjN0OgAri/GGUG0geRIwaS DA/DpRDJZTgE+sWJj5+k76/BIKJHrRPHHkwUTMu1ofJrYmS3xsFP3j29zZPC1pcqGAogKlt/4Qmq 5q+Gb/VEFtbBI2Kj40HT2Z5OFzVKMStvkcs3eDDgM0CwDecEN/dWT8ci8Sn2YUV723JXX9jLDmm3 Mk16dVMH/ZLRxxQYVCNiX/OE4FoXli3Djc3fQMdUrw664BeUxUZ41dqBNo6iSa4kLfhWTz/C1eZR h2hGybtkYLwDqBoAe695yo+AyZgnHzry0OHSOrmzir7k4uYR5Wala8aM0OdD0Av8V0/bZmqFxGwM KgyK2UTIakGdr3laiN2BWpuXCg6N7Brym/KtVWldBZNSZztoksTmokVvpnz39N96Os9mK6lNME+U z4TGa0Uu7aIOCUA7iiVbiTauqcQTK0ID9DTuj8igKqI/M3otINJmySzOLKcwMf95VNaMqjznGSmN MOKS8Btbt2MKBhhhPt886TCgJxW4nTyDsd5eGe4tYV3s3GxATz9R7YSz+Fo9zzTjDy4muPDKsKsS n/ip1Xvk7cmZvAv+ug9jUZHX9ghyVAbihrGGV4yWG5yFEpNLzisJZQNexUPkd4dNARiRKiUGN7Wa 1UZpkf+Ty1TJTRSycygQP9agiS0UDogpvt4E4/e4Ga9wu05E3iEhb0JPYUIOLr9BdxR8I/AWb9SJ vEpkFK/2Z4WmZ1PdeGbeF9AqZKn8o6ITkVhf+T/FH6MzRttpgdC1ghWK5BnHAT0RiBpADqckaJMw btzWflQZNd4it4x1sfIL6y4AwY1rKkUoQNZoDtZojNfbX98gDumKrQEujc+72CwppaQPHpHdtyxC 4dvq30KSUC+tJ9+Z9eZcGppAI8WPmTDp4F/BNxxMA/1C8FvEkeSnXYe4/NeBmIucXgzCivz1IWo9 1ruGvH1AT224a7d+4ERN+h6VLxD+7rZiJUDVvDk8j3UB8pUpceskgPvPfo+B6Zf3scE3QY6W8NES K75wuTeph3Bsu+W06OmEnp4b4ORnBuMeeejLeVHHS6p49/Q2T5XGmpAOgvZ75rKRu4ag3d5v9VSt KyX2zQAfQcuz6FpD9UbdxrJy/GJeenGnR7O+mX4v6a2eHGZ/9TF85uaCzUwr5tcr9WDoOfM626mb LO1GCSE9dXJ+zZPzk9ihDn0zCsgnZcwe8dKegSy6mKRvqbE3YCmYHkvFc2/1hCUqPSSMyeLoDB1Q RUkG1gDYe80TUmCqSGrxdoX850oIfvgQAWczvjyXlKAy2IYGGufC2d1/9SRQnsNxooHnQCBuiVfx pbvoNU/uRkcR2zbYKbUxxbKeftpEAQu8Nso2OtuyN205dbhQKO+e/ltP/fcZfvBrZTmnkneDHO5m 3HOWuyCCt76fVTrci4zVI6EAPcHWCyMf/gFBWtYMSikKtveXj5QAC0VI1qAbvn9pb4nQWtD91v6A tCbAqHflSTTXEfvXfRg0VDAreVfMKNQ9XVWg5DiAnijGZbweC5XseotRFJsYPCdc6Q8op/JWp2XV z8PQQ6wGAT39FJ2OLu/VkfqOvEgE1fRnDhRzMW2SenK9vpb0yPTpq1UFoTAyd3OdKxMUjiH1zDqz FqhlINW+Oww9BIEKXisXlQ6OxBnn7hHOy5am8uJWefoRtKC/z9TBz6hcExXGEjw9v1Sgunj2aane Aq6sm++yjAwBcNCdpCkyJ3369bBEnytI2glDU2xccBGjwtAFBApSAT0pi3Yfz7ddhk5HBexi1Rpc mKdwPUXnOsYZfW0GZtJXS7Xb2mbWMOHNFJi826DANdEVgtQuaiRzU7rRQo5yCzpED3Uf2LxP4R26 Hk2na7ZcqIb7ZHQ7bBiXUYpp9+Vzmt96gxrNjr5lBOxqt0ppNF41wxrsAL9oV3Ib0uzuZllC+yWg JwoaLdfrhAClq7BaVsfCa1gR7n2VPwONjh4PNhTgmHXggPs7ePrzIiKr/OrkNNiCCQQT8r7zJXSL yx/vmfcQT4ITzzo8olU6M4lSVIquDSi8+sX57ultnpIyLn4N7hBISUcyKhGJQB281RNPS54FWEJb e5UcLaxkGsvRBRbWkVsryJyErCRk7aGp1Vs9bdSNr406DbZwaZZkrygl+AxmE4uf+gllpNHPIucJ 0Ni85umjAsjl5ixHtdLD72RcEGKUGe6DcstLa3amdItdBH029f+Dvbtqymvb1gWMS/AEdydocHcN 7hbc3YK7u7u7uzsEl2DB3d2COwHOvv5m1aKorFln1zn8gfdivM/FqD7a6O2tnoJdYqScqhl6F8k5 0HFFEisA817z9Dwyi6Vg0+6rhaXSNGxn5iqpPHhRzqdqTYiPDF8v8839bz0dMPoBqSZ5WPl/F5yg CGqpes1TySPKTiJhEPLvC6dw3fUPOjw6w4Li9dCsIOfUJQ6kX/3fPf27nvYPqbsXhyA1V/rL3c9/ xQgmZBoi92SdcHYZXFEAH5g1AnrCYsvuOx/SGwxzotxaQPK63F3QXALaTl8siTr0QFzSXMzW0wyl Ke4rkyoip0U4NvrHvK9k+Ljrqf6nfvcLrNKq4s05QE8zzKxcXO6CBNP6QX2onVmhssHan8fjfrqf 74MZ1/Y+NwJ6wrjY5ItKM+ho4zuyZzerJQJbCISAe3nMTGII1Z6COdZa3Y7XUT/SCGCOURABhwDl JnUnQKJ75D5OwSnoylY+8c4WOu3XDYdmlF//6KTRID96OyrcXI7niMYdyf27pv6z0DKXsFGihhlR ZuJPGoUJI0lV+l1WsXqYGDQiI+/24dNRllR1NrdzvPSDfrdkuZdC9H+cj6Ovo9Z/oQeplNpUMSGY 9a7HYL5ADpnNmk3rocAcWfLxptEhprLvQcqmkvPsGW35EVH2gZzkgZwz4DP/liyBkc4kL9rDzXgJ TFKjOQmyEE6gruQM481JJY3Yr2PMGnatahuWWvML8euZMqjeACz3PAyaNCwa5GCB+suh2IfhFmHo f8yPlwnDpSCFiA9PIATkTxCxDZvO8mmDXrFatDqID9QZaAH2P3NSHmBHXAMOzDmaySzpoEdUfait e/qsDnXSr9lnYMl7dsjg/oiGcqe0z2W268+A/u7p3dO7p3dP/1s9nc1DqBI9o5gj2tsc+pA3heL2 cRLdt7Sm+MPMK42GEiC/5ukiK9grnY6IVNQFzyIcWvMm0JjhAAGykTx9Nb8zwB5n8G89HeMFgo05 enw5z68aO4CT/sc+akBPGLrDKk6lT03X4TMVlbC7VIy2tO0dW/60xdWOy41lKY1/6+kHMW/gSu1B rdKTuVlG6pnmWz2ZivLYwCvLrR0Pd3YoFeJfM/hFiDJj4K4g2TT7wJUZq7zV02zS7JzhGXhjB3Gp r3bxo1GKfSfoCyVdIOnTQRp9IHbia57mhGO+abTLuKSgucl48y7rA/b/7und07und0/vnv7/9JRq ccpqizOmgVRTIWrc30QwSTefMEm1hBqplQYiX9VFAejJyeVzVI17miI3xG8NMVHR+BB/jyo0EWbP EpVshg0EtNPTmtRerJK7CaCqeiIdsQISPNVnxnxC68Vuqat6sUiTb0RpxVZQFo7rybyh8XvEKxwj TPhHEa5+H7iWVb/VARFdbXpJ+XdnMSc/B7LI16yEmPKWHyxTeARpS2Fi+jgOAuUAehKaen65MPrU AQeZkoFYatW/TuI9VflnSf4cdSgw+nOigVdlQHaGyKplbubBwt2RcPcwBBFoMBIlB5Ae/J3mXsqV 0YEi4cSMPtPLeeqymUVyBvtxgV9iA2k+sBbqBfdeYxcB1iblyHReF4JBIiFLdrMyYB6gJz5+cIbr fF0kFHWEgcDtjqMcW361AH8HMeHp+A4WdhdWZi/88mBkNa+JT09qi54EWICemP0D+GjkNF3MNfFg mTLkFQA9RYG2uA8f3NAPZKpHCl4sZyXUYUWX9ywmKqc2ATN90toC9FQYt+Kk+yBB7N4rkPmCSbUL nHDw03kwo48i81JNfHwhTduCxPEDNiJNNFVxjQXDXf4oUmzjat+GKMOs8kQcPWwaq6duHIoOTk1h XHiBN/2f9OGSGOuLln5DNX5msXx8X5hIqhNgWB5fE9baPK0xGNaEd0/vnv6bnkLo50nAq+jG4RC3 hHvMz4Jf80QbTDMX2qqrjQ2d2UZmdBKZezcSID4KBP9d/FpjnkWaR/CuloGs9Xsz7m1Yg99qO+Rb PV2gbPMXSJnLXxyRLfwmMYnlFOc7jN3EpVeNRLpqUCFdes0Tkz3PB3dSkwcVjhDv2lbva25faXoU 4S8JOtOQQdm9wJdv9bStoAEkYbm87umlYxk9LYgBmPeap1ZQcmEn/Ri98aegDS7m+RwhbnmC4ihl VvinfqSEDqjMv/XEVTudpxox6z0NZ6V8V8n/j/3mgJ5shBYkm8DFgdjOapjN2ihD8zb75NcVor2Q teQXY/BXS989vXt69/Tu6f9VT/aZgrpUxzVnvcAzjwM6yCd37SZGepN8fNHOMRMzPAkqgJ5G7VKB j6yXRRs94YTINB3HfZVGk26ckM5Euu5wgoOxo/nHo23Doal1Zy5JhqoV4Y3sRkbYm1vH7RWvR6Jh VxC/vliqamngsmPAUliaLw08iDjF8Yuwx3gJj38INP2eZ4QcIe6HZHtLtFupnmpkUPE1tIKFkn7q KU2E7gsDBD+cbBegJ0P/zHwElhOX1iCXxIT8/jDED3vzlCF4s1LFpGV2H50hK8MpdL91zv5BXnDk 9LG3pSiYnEqvGYfOvX+WvOWpUev3/vAHTnKkinJ8b89TB1jDB/2LelLEddDugtx6MUYSSLrSEaGc IZpPUBWajQoP8AUVYB6gpwhDfnMuootzEHUV/i9TvzrU6owoOKbqvv7UqTqzY/hVDTsJ+2zDMvAR rbDsd6B6sg+gJ2A2rm4sm13P4YfPNIHTSZKAnqTlTRSJfMU6ZsWkPyxHJSXheEt3ZpqvqXFIPkBk wXsJAXqq4lFJx1ECh2KXlogZIWl9QbdW2bz2C56SJxtZu5Fd4LigpJvSpMz2oJqytw7cyyoVDNGC 15fhaFQgi8UzcnSdRQaJyzlA1e1zy0NlW7vT2i4hmhlryaw0kj5d1GmsNCrCMOSNmc6xjOiL7+xG Mq1Oeff07und07un/62eYscTcCuDVR1IrpqlEkh1Vt7qyZ2FfbHxV1W/XoGwJ7CQQN7tDzEa5tSI TKPitUztVWzgt3q63c32qUo/66/M2jqSgOzqPYbCnRKCpa6icEwuDcWZ/PCaJ2a05RmRaqALvN4E yRcb0scX5oPr0oXPd4mcWS26Sb/A3+opr57zT6PWYh8B1GmXwm7TP/Je81SYhDeuh7feL0dv2ap9 +2Fqwboon8NK9HG/NFxF+wtw3d962s5WIEsuvoZsUfYqqb8ibnnNE17MCaqdN1B2i8ssZdPQogJ4 4M5KsmvYBz567RXwVBDnd0/vnt49vXv6f9WTsNXQUlfkrVU2eZ+Mq10Nszqs77bPBzHVUebC60WN hANAT6lgOyI12UtN81WefxiDLWb4+dwNYKhqDYdhCdQxWNe9RHOUca5G1O30jTlSKR/cNy2nOgjW PPVyL6DHG660jy9s8iPCgxEVRXtWo0bLNy+wtcJ2EPTdOtbNwXZAUqydc40c0QK64zDxXJEdwTlh jzopbMhqMRI7thWMIHOONNCpAT256fm7gyoQkOQ9+eEaPdg6TX4njNq8vcOXQYEkKKOAzpeGavIW pTn87U2jjXO7ZV6ZL6RbhEJ9j7rka+icvP4pOO50Hc0ZFdF/8Bk6UrJXOPc3eNjVR4V+3F92LFc8 Clm9mfwew0NMZhI04YQ8HB0QS4B5gJ7geswuCnTBvClkRvc5RXtMYPBnjIjh1WPOoPJmoreUGVFC GOfG5CI/Fwsrr201IXkAerI4gt/0IDHh7atFISOhm7sG9LTp+yUh6ZREgY1Bgc4H+ONK7eY9I6lr OT9X87CZphoU7j/OC9Bq6dVUmrCATkKcXYyQ5UWI4fwJmcJBgC1tIT+eQ8m7wnMRhaTfP3s6zo4N lVfY6Kx5Z17AGO7xUZTeeLBd8leqxGUvvVQ73SlAlIiErn9vLlI2eMr8JFu2Qa+Lao+0fKp9Cjuw eGreYAUlW7Ipvf/u6T97cmLsIICIhMDFkOknor2FWe04m60xM8zI5r6vLmw+vz16zRN0MPGaXyWI 0eggQfVzn2sFJ1Z3nupaVYLU0LEYlT6kyN96iqC3562hNiNAov5AgqjhUPiaJwbHJlm4LcwS1lVX LKXiRZT80SAGk4sMsdoeSrGAelbqv/UEX0QXdCCIfppOZwcuOARe+lZP+eCwEW26+QxJLXJ0d6oL kcv5CDd02Yxd0Ys5mNm/Oore6unXpPt3pFrDynSPb6MJXRqOGA6r4PUUlp4sVZeLlkz29695atDw 4jYEDV6eFG7eweKhUwTs/93Tu6f/m57McLlEe/BVfn1jn7rwd2g15JXRgib0iG2IYiB6whlUHwT0 tNiQ2coJFtl/da2vJUFyqAtvGUBmAhfd4aIiRK64zM+mDhHM5KS7lLHLjaVzZ2l/B+hJf2ia+QiF YifYV/nUqGVHB9DTOSxuHfPDQjiuMOtMYbi8N08B+Dhe46j+2f5YxoXo8Sagp5FSEP1Fu8kBW2pN VA5CL5/q0hiSJuYR/dm5i0v5QOJQrrhEKDgVowvyzEMc+JuYLG85a6NjHAliftRKq+Af/c4WyiU5 OTpF9xaq84Sh2OUXDUCqCYIHee3qD2Zmq0sKZcYq2I9n+48Xg2Y+GsQJtUHcGN4gcov1Nbg7JYUo hQlUj7bA7NbUmvgsPHMbN7+bZAA97SjR8XxUxvfEYYc0tzDBAaEbqfeB2q1E7p01Im1r14xYrU/T VOvAKRiIAOWqnMuwdd7slIR8+ZrLwic+Ixk144vA9n3jZ3apVNC9r8v1PLLfVr1jOgaeyh5+R65f iNewQHh1yQP0pJ3K74fF3g659kLQ8pvG6sVlnXOzWc3Ys9QHQE/jrp9CydUZyJtM8yOFDeq+Jod7 CJnvQq0Ak0gE205YTgH2vy4w01AtooHsl+t2KHeuN8an+aOlNQzTmdI9XXJWzbpBIKsyYCGSHxoW JFzQbD+x593Tu6f/pievfBBufVQarZC8sGugzl0eu3D8jpsSONm0gvIyHC0np9c8/fBUUh+v2DJk KojzGE4Y+ONDRO4pOidFfPhlgeKoiGXnbz2NTxyzD8mELDf+sAv1rnk8fM3T2FcfRhDe2xYL/L6O pPAz10hcsnlCzfKsOoqZFaAYpMa/9QSODWZj3d6SOmFF6i6/JM7yVk92J4/4TRvZwlj1WJT0303A 4z5RcL/g1GlGlQgBDYYzuL/V090Ae3oNzdhn9kNsxw9j2nTfy7CM/lSw2waDKYWVYIppveZJ6lDa G7lR6Pu9r9TOadpNEGD/757+XU+X13U/k/dddtMsVVlCBGESZMV3A5NVpWLo7yPUPk8FtgB66skJ o9GpFS2aKMyffTISTT23Ydh+YJZ6EGnNlM1Xqy9E3htcQsbavKuMYS7CH/0Zh7Uy/L2hk4xwYYF/ 85AlCoI2rqkp1AGJscd0tddrbEeWVE0seMPq3MbaCU5/1Bq4pgUrw1oX78PHumjKqRxu++8B4glG 2nv5Rb80S+8jeYLrAT1JajzpwFX/2tgOfGj/OokuYNB0GWgf+/1DrvnwUkNZM/WkYCCXTDZd73zh 2pfLlwOZDweQ1AuTpNM5qv6QLH0l7q5gIATblSh+t/LxGTYjmnoyMcR/DvYZPL6ik6LnRtL/ZjTH zqefhKvrztIx44CBKQTMA/TEMExFbpYasyw1RwgHfGm43idxT4rnL7vGRbqdzoas7XCsChyQ1wo7 duvUfKWN1BgC6Am8kWhfWDifGZSSkON2TdUa0FOR51DpouSGmy2OZ078NWcHLBTXd/kKLROrxrY9 aXADJUBPj79nXTgdl9zT7MtZRFGaE9jE+8qIP4uhuBj/UX/hDDxeW588+oPlnb5Nsejqmwyfe+UP lXWUUFEMrtzDKU+ORk5ghdKlE4p9EMiO8KuMKD9Xipwg6hj1fpFELdJ1resHK4hTLpNM/SrrdJ/x 2oXqrPq7p//sCVqyzCtyGgZia9zr6hhpwX30+2NVUxCO2OZPKPssPoHt1zzl2AhraoeQCpD22i17 x+R6UCcSEprwbvkgFmgKyus5iv+tJzwIq6gTdcWYB0jvRFpKX8vXPImFnNb16Zt7MfKN732I4+0j n2sVV1BriBn23bc/evEb+1tPnDIoY4sBfziQ+kAo+2Jla1/zpC+oEkvHJfmVCrZ+CLgBD7L43lhJ JUJ7WFLxu/YhVo9Jrj1oXxn7tggZsZ63mzLS+Vs9+S14W7pCxnYZY/5U7oFU844YCSZSWQrMnjzu lY8eqot8zZN4rdy+yH4IRNeWV6bdAOkSYP/vnv5dT88PbZu63409eqLNIsCV10TLKGKMxruEVKt6 bUypotouAT0tcaxSNeFH48rr9HzV1eudYTVvxiev2xVejBIb82n3KGb6+a0dXBeOt3WfwzjyfMn2 NnPSXeobfAsI23fFseCiNGf1kQZp99qGC2W375Z5qTQ+tSEcVjE65DpTJaeeFO1ZZrNdJ2QREaSn wNpibZTOqReyUnbXN82mO6GLmvpaWKCAnsy+eO/jceXrkYLduAvf3B3axRqcYJeCI3+EbKOFxgJ7 rsNyVVIKmin4qJ3Hb5NaUTFJZRpBVdrhhEjZqcnmUe171kGzSm2yVuyu5cEhlgwdIZAFubThYpFR Exbs1YUfhqxk29wcEGXqzA99HmyAmNcFmAfo6dat35VSPvmnoubFKSxdNZHsBDudLm7Dvf9BSZQ3 dBEP6Ne6SCKLYjtO276BwohPAoCeBvpkJupBicF/QH5NIrSvMwb0FKRQpiHvcHGN4pX0TAPuBRKV 6vSom7LFCxUu8llM5J/7W3LPCeKOfl9V6cnTSS3PKxT8cXk24LMd6qhas68Clf8l1OS7s6j+AJ85 ZaN0pzyD9HQgVfLrE36z52AKCUxlPZ9KkIrWYnjtJS1wPSQfzFXiGWNZKb5TlJjVi5e4Gs54ZPxI qoAd80taiZw80qebK/etd0/vnv6bnjQbDEwQrCb+eHcZVn+moKh4q6dn+zN0iQQS8pafI7O46MjR bjRLwFUlWD6MY5dzO9UonW/1RC49z8Un622fDJYuGkKrOA9uTfsb/IKDsVfz1z5I/GDQa560frmZ 8hmQRZ1oli8KFvj1IRR+Cs15MXDTQiykjRGWS3mrJ8RJNBc3tHMoy50ESJ+yM2nAvNc8Zc9vRKlW 8X1C1caE2E1q83xxRlIxlGQh2p1t7frZls72t568CKrRP6qKafuNEwL5Ls0Av+bph8WoJEwCiXV+ Vqy1lfH1Yf9seUdcQqJzqUylvPp5vMy7p3/X0xGbtlKun/eT8c8UMJFxAmdk6m8nlk7ATdZcpMSF EzO7gJ5qluH1HSad8SGvvAQafYxU2D2+MNlot7nxh5/mh4ZfTKAgf8m9IrM/B0L4ATQ2qtwC6Omz wbl3VygzWu5Aq20jZNs/PDEm/6Dr1RH++AhZFCB9e7Uwb+JS5DN8WDs6FGtMOBvTDOjpq80l9ySB eWplRwXbavWgF5esxsXo7HnUyDFxAbuFQCMG86YsTbS9UeeDJd3UwZndhK8JmjQ3RHgYjVXyaMO4 IRvTpUcm3VWQ8RGEJSg5JLnWDaY9WqQfA9JTHln4XRnOirSa+6/dKbFjrqEjv+yEcFK+5QBtArce BwQKeUUvCy0FOaEAra7VQu2TKBdhYfERQE/kKIoVCXeCcOQh+uhkLnE71QK2nBJaXyUxdJYfoLV9 GaIaJTEOTA++wXizKPDIMdeRIfyUwKQN287Hh3CfwznV5vJntOu1sCnL8uCm0JOcpEp0Cb0Rsu+A OVTq1dUEMQYP4/1Soj+JeuOWqh4ckGuPad9zLkg2deJo9njBLKJIUAjoaXDFoBG3m2JW81fc0mcV TqqQpSNnDJIXu6nIqZlB7T1IwP7bDqE0sn1X491dzeCtrVc5Aj0ZqdPlPm6zC4VTGFozTXQXoHEQ 0dj/ODSjLaWKokF99/Q2T8UJ5RJLOI7tYBALF/lsTntv9YT4SY5ItEb7zhq+pcEUiPdQck/AZKlk Nt5K4pAz6aop6K2ezLiugAYWiFqBcxUcqLc3tQmb7D6KhLIcNdiWtnzug158zZOikqAn0gamgvyJ FyPrfk3EBRK/RPgKXMS1oEbQ1k2p4ls9FfIJShVbBNiv6NF4Kqu0ywLmveZJ8XNJrosLti3IGIG3 nYtSipJZY0rluXlesCdO7JzVxsDfesK4I3nxgwL7rNg+8cwrSAT/midEjJsvngXb6lxZOm0Z/PJl 7jVa4I/ie8lUA4nm/pfY9++e/l1PLx2SaJ/nIvuyhRi9YnAI2qncdLyGM80qbSfCnLCohcoAPQ2m T4EsqAyu8KZ6l2vspu46wK1n9EUFGJuTRkzVHmFTayWtmgC9QPh9bm7Pn821EgX0xH3Zs8lHASVA Ap4TaJASLg7oqfaKtLOMAqSJBBLaCvUnWRYo6KBHiBDeQgGLjFkrqn4PoKeocUTqU9Y6ntxLdUxW mt+tjiN84VznqQOxjKiIbOc/iLstpcy2P//yRqOBTEqJKGfZ2IRgiPykG09V+mGwaZCYKrFeAJ1/ jftxijX6S6mZ+HLtELdj0ZXIy8w439euLlq6c/8R/WPGyOO1g/jG5AWqXpv6SksFNhvsrPB07TLz Hz6lZ006DL2Je57JHMt8JAuMgJ4kRGl9r6Hs7SdYZaQOHCiMGveM5NbLM4YMRBh7PT0/jkLNr/t0 kYQVTZebaNDDUkDGCgekH44nhwQZup+u1oF8+/xgdThs0rXoQkmYdrLLeyk6gRWSaxXc2pUkBHOi njrwCUbyc7cdZTo1b9vPr/5M9Ifiz6jslvPerBYHuaU/D2ABPf1Upwti72KGgc0Ob7WT5jWbt3lk F1h/oEhd9xsXj5CjAex/VV0RT1RGiPTTjWiXbCOXqR04sT9FTZemP6wwNvfRLmxaulQacOsh3tlU X9yDD2zSu6e3eWqU4FD/1u9u6mCusscTlDr0Vk8xjo9BZ+7ubpwDC2tyDSFDxaVHsDb3pLjhUGqz 8IpzHW/1FDHRAsn1OB7BADMcOoDYPoGgn2UU43yEs620KhlX9WPtNU/7emm7QG5DdkQ1IjBNa/pE dWMvG/fJROx1gR8av9ab4b3VE5DKfrbYTbfXZozALJbo/SfAvNc8hXp0T9v6pz2FcfzQ/Pwss4tX i0uRFaN92qJTCwH2pfUf+6Xe6sk7UcSW57YmI+BSXmAjqSDhNU9yvcJ0DYYZiOXnHF/a+rpT9rKB 78Fs2dJam40q04Zxud49vXv63+RpgG+SD+PQMhIUR0jWxkNhyK/oUpFlNnW7wMBGRfUIggXQE3X/ 4mnfyqTWp4iaxWcxybPbyjbQZmqIq8sMSzukhYZgFmqqeO5FP4H96dx6ETF9w45ydU/ZmMWmUzcc 2JL8+kLn3GeGPn179/TOgRlVtXRbPpID5Fo4iSA/7BT1Lb98YiuE8oPShy6sNgMqTEUx6x8mNURc VN36T0ZieR+BREnSAT1xORe49M8/NyZOnpvll0khqGmyIlQ9YDQ5YoE7pJd24Xix0qDGZ28qszYF ghpe83nJoU391Ht49mGk/VV3TY047Cl9WtMLDK5AGTO9w2ziYmLN64pUppcU2i4plrY3IxnRzpLP yEfa1iEjwjnTTt9YCZgH6InCT04WK0S058F4RYJ/wmu1feGRS1z7c3uMHJYjwafyeOV83Ow2I6Aq qHs0NJwTzH/cFx0YHkJfVqfEqflhlJle7NsfQE9XCFIkvnzPZUqZ2IRT9d9tPEcHf5ctPo0l3o/L hCOzjwB68jUQofnjjtN39mWAVJHMdD+ra7MNzcOKMH9xiUdGkbrjcxYqcWvd9cELFqFqQ7on9PFD o/g0dweNQ1athR//5wK7J/hgAeubUj98IcTAi5ie6S9gRKFT1+dFjJDVe13hdoG/cJrWLrIGjnjy 8Gt3RzjePb17+m96OmxB6sIqSpNiM8SSoK7qHHmrJ48iT207spaR78wiXx5OYOqQUa9a+XM8v5EF 8iDimfZ0v9VTysZ36CkX90CsFOdd5bhJOQ5cPaiNE5y2XeBZ76vwNN7XPP3Ps9ELwdTzM+kKls6G +rpaIAvmgrgVGpCD6cL6YCQu+1ZPExoNFOJMtAxOtQWfp4H1XADzXvM06qsY9WQI/4sAGUMFvZFd fgyb9HHR+wVp2A7nRsc5Wu+tnvrSKvlM/NRufghPRarpyJJwWOXnVkT5RKBIh54kHj1vvObJKWlz vhDV6FFj8pTGvqr9SfxH1M8xUJ/Mw5/YoKpY9UTvnv5dT/dZTxhVl34Hc3+6jhIT7PG3nJax/Sqm ldCSzYAx8/wJAT0hpbA7bOffK2LGhhAYJA4Liw3+2PzYVWFrI9xYP+OX/3P3ezePjuWTNDJz1wQ0 tJsXoCdXB5wH5ATjxVHxFeJlIkpXQE9GAzB8MCet3Qv3ecGqY2XMPR+rPt/j4WV+3WoiSJ3N/8d8 wQRchxSkUrTX+JX34RTY6MIC+MWNRRqFSchgbvza1SbNr5t1hXADyW2kChlF4opq+e6gmt9IbFog cDMZ9th5/SBrg8K2t/M3tlV5MHW5HkzrrprLJGwp2QHSxySQHwjO1VysNJPpwRh9ILoMhXHVc3r4 emK7mrKMMj5lE/ZvqTraTFBtQKMN28akPHeDDdGtPAJ6UszfjM9yxJK0L2Uf6cCeK2s97zDjKnl5 KpOF1BMYAZHLvc/XPPUS3ZalMcw4bo28o7TTlI484kOsJicD5j0g3rkmrjl0H1BNr5bo0G3G8pOL ZRb6eZjR6eEZxmYd2KmNASO+nV7XOCFgDZagQgPsHfaLRw0zv/pk9PzbLUsGPz0koCeqTkRavu8+ Gb/R0TDtfT7edADL269eV2V9ZzZTQaj4mgTYv4gsrzCYNNrhl9E0daCxLwVY3vVFDgSDUK3cjupl LavzPxE+RQSaEFF+bax1vIwPNXz39O7pv+npiW6HFlp3Q4mtxf6qjbo406JPotGycfB0/6vYGqMZ 19BrniBzXdy2PWSVaaMkW+BcVhCSy+59z4efzWYcXhqZ/Eoc/9YT00Q6QmW05laPkSeKUl5R8Gue SlyjInV0rIaPcuVm3azJl6yciKPrbB/6y52UNM2/igb+rSdgJ16wmIIAisFUl2P/RsPNt3q6VqA2 CHFc9cg09RVmABVcMfU0gbbFEkj/ZlecWAznAfJWT/MXoqx2IVguN6WtGqPV56G+5yIu5gcK+Qla h2UVGQSUr3lCNH/0wUT1AUXmhQ7wW0r9Cdj/u6d/15N0lEc9ZZpC22fI8MHCHjHtK+GodGcucDpG n5oGEhOrrn+8P523hXzngPxZaIkjS3/L6DuuGUQq4fgZFm4Auxuq9arMvdavQYG/clQ9FQw2LRo1 5kQXkRk3LfIok9/xmxh4V041x4+Aj7A4BwnV3WqVhm6VITRZbEtDzK1QQ71eEvKC7K4ncNWrVwLo ipQHhr8hrVjQ6jC+jivLFogefm2oRIKGB/REO0hjlZmH34Ppf0E3O0Cy48y/12M4jGCLaQbVYH/c JrzmqcSjBWdNpL8WSiWyDfzFEh2dMjitF6oc7LNDVxFZnM3B6SAeyUd/woPZXXgSEEdsAyrx/gYN xj8c4GyIOT8sOMh38M25HSuFhkvnyxX9wAHzAD21qUOLSYagpZ92o0WI0maK/mQaaNb7KfQ1J/An BhxDYk9WJsLgH6ZuL5GsGAemfvx7QE/yGU+lMN6MwHXprPYmYxwBgJ48RYTqY4VgW2rHUGr2Xh54 1Y+bCGy3CQhHWFqB416KiAA9GfzC2/5SO482NoeYDj4dSGkJygY3Myfczy8cmhXd1sG2gWu+Nj3+ K49VSERhMi9SopwmUhdnfODUeFkUIkwVkvR0NXCLPJt7Rn4nstFKlMkGDohg65mV2J0yKs1zZDxf gc6M0NFSsweYFoOTHJpyWOzd07un/6Ynw/2rQ6XSMXxB9sQpzud6u7d6qlw9gd1vztn7nCv58GEf IsToW7pK6Ozj9M9I7W/P8Dpxb/XEkOg/P/RJkSbZh1Dhl61Hg0d10bKEcVjD3Rg440eSVc3XPMEP Z9sIS1Cife39niI/AEO1DKkuuORcmvODtU1bWKkf5K2esKn5iPu3hFKZj/CfWPonPgHmveZJ3YMx rFLC3NU+3HUNGlE3fYSa4xfTXUI8Pd6qGS63dOvfeposNvjKtBzay0ULLsRnfXfymqcvg5BUR+Ve wu2oOgphTxa6z3kvyokYPJPcGZRRwHqd+e+e/l1PQv48q0zqRfqOoFHTh/zdmjVKa6Q5KzXaXLQM 92YO344BPY1XZyshhFa2BFWsCK0phJ3H36d0w6EC6W7ORVoLhgwO/iYqD2hGEDL/pRKZv30h9gjo iekkfRdYsJNlUGD9Z9f1Hx5AT2LXCvyJBvaU/suNvPCPlv+DRZNbTQU+iwtRGB23iOYf/wcP2NZs OzUaq+5v26vaYqC4EFnbx6zmFmHVCwAbQn2W3vmCzlUrk4rijCIY+amofv0DnTB/uu+LCmMYRNzX mDaig0FiUD7LgjhQZkqlL4gy0o4GYc8FsMNPnDXfyZ00H38L2RGYVV0eFX4fFGx8YgYSpydkZsmN ExIyt7WT1Twv9P0A1ivwUjfKEwnchp+x9XPcHtBTF4pfbthTGYdJTyYLFPCA2oiSwU7mzo8eDSoe Antsq5uokml3XanBa5TFkZsPo3TJQTOroeeIef3J6QIKndQJMQEYCQbfkmWvojjwZuFCmYOJw4I+ /NH1T5OBN33MSoIgXzD/hOm5ekbDdGQ9f11CbV0n4ERMal6LAd4z/mu3GynmH57oaVxnu85456rq 59J1wZVCe3SNS3xVv3YAT2qsjG5+Aew/YR64RvU424Z0dQslBA6kok+C/GxUNb9l/Wq17iDMn+pc h4Wfw6c4x6dGiR/nhqD83dPbPB0nHsAe04nURaQl6UV4chm/1VNFfU60n/fVj1hCXJNCgq/Khj7E sgI+tMCC4n56KtNeVW/1lMdQifmAosRC9kAmHOPnsENHi8bslw6MIcRf41zFx7f8mid237aQFvDU soA5GH091MaogNywnvxrfXAcjo8gykMhmm/1pEsFSTuP0FaShSqlhPjrIh8w7zVP4rdEi4rrDteI VQ8gI2muLl44g5B3FS6PH8UFmcaVVwv/1hPqIEQTpFDtTKK6dlU+VDDsa56QsHmohoccc4mRtcBX 9U0fcMzdKqU//ZztavIt/mw27v/u6d/1hKk2DiHJED6JYrvj69nxKYLO1I5ku5815c8PJvEII/Yc QE8ESXPkMpF0XLQQMu3ry/elNBCt3s4vg7O/qkkfPrBKWjktcT0Sy6SifqALsc70uJ8D9LRgBoGp z80V7toJdn54bIMM6OnOC5f1BTUxWJEU3LAFEg6IFfTAhy684vFCTRc4qjlVHNCTPhKMUXSoGIfF Vejy/MgqE/ITxobxC3GxKjwJxObV7ddSLM8AVZTizpZ9UUq21n69VQ1x5itjbxFGYFBUevDQC5k5 hgtw/UIivY1HyCgyEKYAY9VjtfhhAo40ExYux/Rv5G7XbE9ewxYfg5Olj1BYgiZrqdlFFeGTSHEm OFIjzKqszzF908Cei+sP1yjPQL7/Y17lsP20cqq+/Yd66qSVVT7MnQHCWuX3ALCjTPGKPXMlsaN2 5gAtRXxyTHMptvHmxZN8fd9PXtjxYaNKtNFkOXk9sd/FB4o/G8349E9YKFkkF8Rkx5lSmTojCDzo 3CqZBTOioDihTysEuesYB0QsFSiVSekRb8+28g5+MEF1lfhOKi0A6GnFVkWxNOWTG/JZN1tCPDE4 bv+KLG9o+lAybPZk/YYkOGD/rho1OPD3rvKs1szrCPuMvxF1idHyPXiHpnGTYuk/chV4Gjvkdtvn HTh5h9NqGi7dvHt6m6eizlL5AOOSAq2lKnDMTRCw/SBgMHaDLKdQiuwBpdpwSUBPwmO5aU/bq9yq kSfJElbAnuit6Q1TMvIVI+UDF5Bzq5pHqVj9l9YRZeuzbaDOail698YX5WVG6TQkzz0PRbPIuLGL wuR16/XTkGyqoc/3VpUIDSPtS7l8FbXFqBVkxi1FemqXI5zQFTYDE4k12qZypFDovfiFRpofm1Zw mltBcqb/8f1OJu+evCL3HrXoMerhGApZ9ZxTUbnzyJJESciBjlXJcAmxW3U/XIKifhIyRz8OqwBy olBNzJgLgug8VvVZ8CDx4YbDqnnm9m560phx7gwRSlhEuaKls0J4UlFiv3CBFnf0aZDxAOlOsPlp sSxHbGQdMA/QE6OyDd+FujUM9x/dL/yCaDsZXmQbQAM4zUdzHWcpg8IVvUEELn4i+15bH8X4h6y8 fQE98Vf4UBW7sz7uYjsBmflyKQN6uqnhCLAx6dP+YC0sR8tXzlTcIuda4xc/tOdXrlRx//Mf5wWn vOWcBfiGLF3htBYqMSxwy2J2JzCLlwE0yAco8QuCO+R654/R2sCpyyfUYWyj2hKryCOw2+XQXVm2 nIQWGSIfvkB2McFqfG9xb6huLwGd4cuj0kXUzU3tFq0U4JMbiueghIPOu+MEaaW+YBqQvvkx/u7p P3vya5vlo5CFmQNr06ZUT3CD1YfcsitTTzrAsjfbQ9C7GH/N0/c4RYvhmMsFaVSsHmvjWHGcUqgW 0hOhU63Jz3cVEcL/2B/8Vk/Rx1FZkWnskwwuf+IUA6NKXvMEWsKkX79xFzm5/fGWM7DRIY4kS4Ig PUijcIM7gzQRX+VvPekfNoQiNhzMORalYtNAF6295mlTNLjPwIuq6igjWTfejsiiylRnSIWXVBxN 9bIy5myqW+3sg2UEVCN7QberEEQmv+5bPaUjlPn8jrkFyvi2CM2SHKs0Q2srIRymMYTu/RTTYqJh 95qn4yEu5cLGWJA5ph+uFM0xz4D9v3v6dz1NbmKhWLZOo+IcUOmqdU7+eplk6N9cYZ0yRWeD0kZv 5AP0BN36m/Hs4uJWr9dmaE7iwmluPR8CUqUsnVnjqt3ZFaKqAJa4AP8Slmh4VKUfaakTGh2rtEMp 4cn2IS3tSdYyad/j0sB6Dws/dEnR0GlNUPADD8S8+vcb0ph+5k6d6V03r/Xis4zo83vrW42AfuBD fw1/grVrM4cIEKHPw7KszJucgJ6yShcZcxDoMv608dQxHsIP/qQsX2gBNgdD7Do8YXJohItmD+YS +lHgRpGSwzyccZr70lFQE5k0L7mlJE3258sq9s9+wud2CxSjkf2AZJEtxdN6lvtqUWebczzc5KZY 9kiW5IRmlRpOU8EGoYAbF7YUGsA8QE9j9L7q/rAIIKln/k8y1alVkrdIF1eUccu6Z3ZWGhkxfLKV B5145jQD37T8NwSdNF0APeE4sOLayoUHbOFPoXOXXIkCeso9UFIPTMU8ATLKZhvTXIk2fXwwBtvh u3Ok1VUiuDn9Auip5tszms+HRLiRmvCKM47czbbz8nP6NdufW0+jw4qYnsyJRAWdpk61hrve8Hpf 6y7J2K3PB5hI8I4/ttVK8k9wQS5rOUOgxI9TO5UFTK42PrqXJH/9nRKH+6hiZx4zrOhjlyOGTxQC MdN5KzdfeeYy1fDu6d3Tf9OTW1UefLtKBZ1wCtwGtB/G7Vs9XZ4cxXZPbruNsi2DqZNsZE6Atu7n zzUxRdEPb49Ptem91RPXUZ53LU0yOScY3EGcS2ZV9rhSE1X6RaqZegP/jQPtP+7rAfR0QcyBGR15 yMGygD4pEmXxqdbVefbZAAJLNz7D/HbtVv6tnvJBOk2ZOyFMtW6Z5rdJolgA817ztLdQw8lcWrUE cvFx8Wzu283MuWQRzGZP6PdA5OQZrrbGv/V0PSirfq/HsDmLXz4ni9P4j/2cgJ4YaHBAOggbruyJ SNPd2Pwy7L2D+UTv46sduT9EMaA3Z7x7+nc9ES1eD3MdlnZFzVpHnzg14jAJWQAf/rAtPuFzlvyq pnQD6OluvGCCgb9eNTETaV4ocDJddg3G1LQbJLwziGdc6savtMGtv1UKiNUmLIh29Ixg9B+e7NZy whpY2zKaGGKTUpjRy/+x/87yUctFGujsKWITyIRKbJfUUjM+gHWqpfDyNtTS1LUM0NM0Ktcd3Ohy CkKBUlwjUupAJlxdXj8Q4QAXPGhJKiO8jOKHWwH8wZWbci2DyPPeMdU2D5hvuNfxDHsP69pLJsBN 3hTmVLUzMYjsNG5UUnOl8V2MgjXcw4qHGQ/qyvWoD9QHpCNUUsnf+uv9fXk1qEA1rAWuczkss+E4 ZbjjEKhyMLtLac/dd5d1vDspom3VYEQAPZXYrMklgl9DeQfEs+vN9LVo9qPQLUkaxH9jntQk2RGY CcRAR+QRWIIu9SY1E6M8Ag8gToaXiEaKk7tSZXEV00ihxTGf2nVOYReo70kqdLaoZthdhgTbxejg 3K/bZSzwtkEUi7WBfujmnSafCEkR3KnS9wQNTvUojmouR42soivFA/Tkiq00P2SiVLbN13N40z9X ZtHmfVrWIEjjo5NEXqmXowDYfwLcYl05lecP0dCV755R5mB/PoDa42wCiejoMwcGjRFAi8v/MdkB fmpM9JBqnaGga3/39O7pv+lJP01zq/VT7vWNYB4UDT/lWRV29Pn1nQuZdWU6pO4Nbuhrnh4ob6bY mfRwKSQaedGHdsVv1k/y7U6kd/SYqeA1lVyx/9bTnMtHqPBHou84T4821q2Mra95+onP+SNjtt7s Ls0WC9ttBM41V1qTDMQVrFPuJp7PyQ7+bz2F+a0HkS2nXPQpOUs4K2U7v9WT4BBwY0h8UdFv17TQ Dl5NKYWKBSiX+OJg+uE2mQQ4j7y3euprAjNn7X9IakVzc1qSb792xiTNo7rLSWeGoORBx43+x33R gJ6MmxjBzb2tyIz/1FySz+bpAvb/7und0/9NT/1+Tgld6xD7DvCKtSXTLrPSRAP1srs7W0XxTeHL V7QWgJ5cHux2vw801wgDfd24O5/yBnu0Nxguq9tLt1XXDvrcLiltdYbv6sBxqhE2NeFHecYO6Mkj dIpDe06bq7K0vY9gzsYI0NNuzA1IAg4eyQdvUlGwPzgoXDyax7feCytB3Su/lNPV/QA9EeubV68P 496ljtPC9RlWOxXmGduAbvkmeMN59R/kxcTC+d9O7HHaK+oH7ex0F33l24aBvZsqSfZAH2uYIiGb LZcoclEkWcEmyvQf+HWCY9PjY/3IkzIIaXFpWV8QHTaNosZTY9WTzncU0Hdh2AfE86n4xqDkW3ec U6LeCoHZxcuvtBhYDuIQLTVE7Z50Kzf6OEBPSGx5qGPzt5YgeebWPbJBOmdNuqfnswmokVi4kr71 lhRZUV8OCeii7+zhxrmHU+JSUS8JPSvJCQexhCQNmMSVAvJr7QZLnvLpTZTltZqaG1QHE2Pz7ZAC aoSQBscgH6ssBpRKFptdu4oLblEC134LpwRr54AA7WAME39HqZFf1toD9HQ/XDnTrWPxWfpe+iin SXDMt55kO5z2e0/PxncB/tayOcD+T5qPsGNou5cjImop7dwWlYib/BxN/H0rf+/m7EucyM+4XpRD fHVJWse5+1yFqSrl/O7p3dN/0xMnGbT0jSVh+scue0JEi1SPZvZ1bn/+LpINCcP8r4Iuba958nDI ipopfdBGZGzfa2pNU8yNQkL2nfCsQdkyCi7/wrjxt54QSn52Rc1/yrvkAhF0TIHIfc2T9MS3JIYu 4jVEu4c1D1ZIaLNrFE0IlN51wgsxTqUUuNG/9eRy6tRHZh4eupqkQ9s2Zg/7Vk9zmSWunVV6M+nC YaINECoL1y9jjEnlGZ8bywjiOUY75N7qid1Gd5WqojYPdhVGeiElvp+LKFjErRqjAhgE6MSAkgzh NU8CXtBD4KHYAlPO2u25FC+bgP2/e/p3PfHGGOCveJH0Gihc+OwYEXleCB6er+DD1zucwZlbERrE A3oihXZtba44ZxTerKr8SMRJ6rm8JAlivGEPFQ9858OnlF/P5BzZ1sAqchVkWAzpt7QTdxf6W4iv 1mSLjaG90YrXKBAdEYd1hwX6UxkEJ3ouId10EUaX9IyfXXD0ZJkpWVvTA4cfMbryJ2dTd6yfmdsn Exi9u1HPNUOSS7wBH09XgXABPUWdxRTXJZQq9VCnl7L2FSb/rlGeuiU+ZrouQJ4IcGMtLz5DhOac OIwtygaaQZ0LO6IWxSWEuvajyZ2arPTqbeIQCJafEzAtj+3q3T7R9iUB91UQVcmj4JJaHa752mFl yOvnaNtjxOtn2oOiwsKZBg4BmAfoaYDazyW6IsYjz8Lhh1oV1BC1vVFVn1lfcZSpSqLcFsreF8vC Bn7GDUbtL1k1+oFI6ICeYrAWJ6bu8CgdT8cHw1sxJwE9be98MDkH3dxa1w3SZE/F3MzbmqkLOFAx zthm1i9Eqv/HvjKT2pAvmmm/C9tq7Hw6uhB/1mmGh/M/3Zvf1roKjeY5IVowit+JCBIdSktn+GJc K8z+BBmUC7SBTp2xxCYeD5rBKAudnjzSzNVBv0cqqe9Pjbk7EhBZZDIi7Wt3Iqoi2ILJdEVfsrz2 1nelw/DS6xUGe/f0nz3ZXK8N+7+AV9/g3MWq4tvunOhzg8WnWShNJMyFYOHda7zmKVVdG6zppMDe odEhPdNKtLqZniRkIXsOI8NnG2OlfwvxrZ5SxjLXOTmDOXIFNNBtsv35d+eiRlxit2IGaF1N9jdq jV7zNDFloPBo3izVojXKgzEJmeRQBi5sgUvPcpih0HzlyCr6t54kkpEeVwMHrFKPDCrAyBk03uqJ S04ZRmgrI1EpcznXWaklpXOiw6SaLcN791pN/4vY2tVbPQn76GodTMcxm+73+rnjy9U+ocl/pmkH 11UNDARBoI1jes1TL6yXedWxtMESLvQD+wFNGGD/757+XU80o2zmiYGtLtJ0JWVqnih36iWOsUFm LgQ8tOsSyVjcvICeZgZmGjp5BgrJIEtikS23oiCr8oTtK0HA/Juo6W0U86W/UZdbEgCTpg9YcsP4 S/Cqpy81jKOojwtyFCZvpl2A7M6Z1tEL4mZAxYm47ev+jBvDNzt9vA8x4o2BYr490zBcYwHenq40 7A+5iVGKJyieFwCFdzCrQ8Ch2niwCrEw+yIL6Gl262ONd8REkvrYqZyQ/aSmPrwSf/gvMQl6b3El VBTYrT3QF7hfFS2C3ojD8xUrrn1sj16UbCqMoYGzSyplj3zsS/i/bphmshnkJ5KTYzGjZCGFUgxV 1rufljp2ixDllxJt8atHJCpi/L716Z5L/xn8BpgH6Ol5SIbnK2Jz61ejGz779JxwQVWuk/z4DevE bllz2qDjXxeghILC4E1nuiXDB/MtP+cBPRUH+TVR2XRwJ7VG7Z2zehcAejKbuQKr0JnD6bHXMfdy CbHQLo3IFtO2Av11MYoAbPfwB9DTJ0nTEjcHQZW5EZIfqCXG2Nx7482n5HzChEcbVJCSERnauRn5 p+gdHnhIGDqqjVaLhTesvkgK959kvlE3zwqyPKE29M5keK7KHqzFZOcY6BLmbdZd3nnSZ+6G2Mrh 8j6yS6Y65QDROWxwBg18Ra6dbXj39J89JRezZ2qo/Y6TI+szrGcUX9Dl+Upp29XISay/8Ezr/wL0 micUsAUclFv6+HvOAapCmNbYBtHiWoUuMlc0bk2hnP2cn3/rqe4sk0OvydJ8OkPn4qgKjOI1T8/N 1IMKJRkPCVifsoDN1HcvIhsS5BwzvXCAY/WoNcPA/9YT0Wz17h9RcqrgGHA1scqYwrd6KsnkzQdD /36dnvKoX6Kh24NpmP6EShlGZ9Wk0mUfKBT1Vk/IW3JkB7qjN2j2LxHx+ac++Hv5fLl7sqgDR+y6 wWMS7K95EpXQIMyry97iIC/uOlKpfQTs/93Tv+tp9kdG7lOUd25Uyo7HrALduM9dRJimrqS7TIfT QSFHszugpzWvyC7w1rAgRUnE8y/zyd/wDnQUY58TobGuQNT9Pw4p+w7O+ELdqhDIQ+MTctd0XfiH bCGRz90XfLdfEdCMegGRJJWnGV4ch5t1vo7bN2LTVhj9eHS+6B/f4t3oc4i9tOEKm77DylAooCqT AzG/J6RD0REaW65SFEZbtgoqK9gRDehJNBM8ATt9ICzSkD9euXnxVq7G4uMVF5EWIQRDw1hCqcf9 2Q3utAXb4Av+NnwFqMK8YvtQ+YbEqb0LurtOWApbVk/4l+iKtuaYC8c/U+3M90L7wvPSpKEXeUWj HfwoaKq/zaygvBiumjCuq8NA6cEzQADzAD2tNTZAfzJE8Mk9Qr1QjSg67Z6t6tRDRQliQPqTsb3r LCTm0GU/M+chZ7KZHNfhabkO6MnJ0uASOg93FJF2UqaJ+TcKoKcxWWjfRZzWQoYBQjVIZdAXBG7p wIpB0yuOaMHR8uasGEBPttCko60a/DPWqtWXR/xjuiWi9o/38tNFeGy1RqBRZgc2I4HNzLNC5C4N htQ34TjQbJTwQS4/5NnNMbOMu5ohmpcGT4b0S+TK5acFY64V8dnjVqdzJHBvE8iNNw9LHJX3hNwI so+k8Lm1b2F/1df9SHj39J89gX66UcfmnrZH0/zx1LQ3eCcJOvhhZSi4lqSNkxlDIm3hNU9SfV6S vNJnktCYMRUWH9i6OmF0Cr8QbM9L+k08T5JCcv6tp5tVqg7NxDHrIFEQSW1UNs/XPCX4WjhMmoFn snOBh0eA4/Jhd0TSMp2YpBnIlCzh+HaA/62n3RU2GjP5nHu0A8/2Ltq0s7d6omYk3K84KuJmEvz1 q32jJ48DEw3EIKvfHbQK78avrJzirZ6OVrqSuS3o2n8SjP/C2VKc/ymvOd66fiJXbfjj2kW6/x/v T4CebpBXVlpLaQsGrRyIDUWWeAH7f/f073oimLJH2y+fOsEL6PiygTriflzCxD7IP0daMDN+6Rzk VAjoiXB+3jSNuV99vpJymBHUondT0xiIY4S9kvQU7TifzgYOZsVoRAetU797Dv5JPlrpg1XRwyUn c4aZqh0WVhKDfVkqd46a9IEPmPrtGikxQf3e77x7nSxl6q9r/Ne7PoUx/i9xcYH4fzapXGvnbVMi Dkr4UyC7f4QmTFdh7xpdIlX+AfTE9v0ztCvRbQ/UBt5qzQ0WnYqKhndgx464r82zi9uOGVknghBs hlKtpnNjnQMS9wYVc70PEoNsm7OCzUXZbtT2byD/JPwV1sFVRGqQwSnXXO/Vy354H772JdFvkUBq SaaEKZ33MR5zx6gYIN4DbeVZHYB5gJ54d7KI6Ag9gxSp1A5ndFbwP7Sul3+GK2nKU2rBfbqpbN+s 88n9xPGYwtDhVYMtKn0K6OlyarNCCMi1IFeqoJUkRG4d0NN1ivdj5IBoqe+pCIch6TGrQasXv407 2GchRw2UlKWqf5wX/FqC9+6hcpsJsTv6U42UiYfIwbaqdERM3S3VNvJH80XgydmKVjawgDKRJbFm 9KO6DRW90cKxmPtAC//OlTI6730tcrD5AvDd0TIMXnoazguv1rfZM5/FAhqcVssS270onLui6XXW WPrGptjxDotS+dZ3T//ZE2ePYQM1Mpvk50wOGb1jUkcIZVf7vC2fIFHTrRr503721zz5ehqbPl05 4bqbCzH/lpH9SH9tAsb1yR+XrxuF2+ISrP9vPVW4Y5Yl/V7Ux8qJ62TlQRZ8zZN4aysd6MDeZNxH 9qv+KRwsO/JYJ7fVvkE97MjxIuolur/1VNVVNmXMW5R6Lfm878V85vJWT58YshO7J+gISzxZjLPA PV/2NkDziPHXpKV/CNLSqeMrvdVTtKFpGstu+IdQYe+egEVm75Ux40ro4y9dKyTcPB5mN4+veSp2 j2cqA/q6gM+ojGWU+NgO2P+7p3/XUwi4ByKafi5XtEl/r+XGdgDTQWidyTarW/K204AT2QQboCeZ RSPZCpuLrvzDA8cbLxCKehcTXzE3Pse+qLR+1EFxV9TFTwwhYqw/qWgnL/cCNm1Is1eQtoEQqYaa ppvKi6h7GEh85NKghNR9tkurykpr2Wy4zGzbEgKVOn6seBRsOlF+6XIiMcoMViNZihqY9AfFZWc0 Y16Zh9pco7kkse2rZQb0FKS/JnF+lyQsibOF5warQTWbGk1wArrHL+Maxu+ji5xK8NKz3fCtOxBX rkDwpO+jvCnKoyFTrRytdLjOL0NyKOTrezjSl5xTkyT+GQnIS1/QUlnOMDB0jvBmKbqZhjvlQF4F y4Q9LBdXJkzC9WQczmnAPEBP5+6z89hqPX2/khUvsYZ9mCDAL3iUUy++NweI6tzU9wskk0mm4oBZ FtAGNdFNfneUB/RUrUJRq+1aWfWtwFYOYgEHBNAT09BT5WZaePwBvDGd5sjQnf2idtPGQfhpSC6L DyxRJxmgp53UktXwciJQtBwohjY2CRD9wGJmFlfsA+wcjI+t3xUpbmTZykLOuFFCGFeMI0ht4xq+ dsB2khLnrPxISGhprBC65HTKE+2db9yNqeiWTP5Gp/Y7Uw3t9zy63Pl69kDliLm9A8VIusROXym2 TsIZuWPRu6f/7AkeXnWlS3PrUWYK/oXe2jZRAJp2fNR09HlNSm6ZkL2n6DVPw3VLzxAp3SNYjDyB aHXbbr4wsUTc3ijHMD0ZkF5yWglv9dQN7a227Hk+X8HgtoqcMsx2lX762Z3R/Zrwej+HUlEP+jVP g5FDwWHjhp+0cx1V0D5R3YzdIFR5mEWbmoGswdFxnSD+raclal0/1g1Y4YmrWj0jrvj8t3oyrvHw ms1vCw8kK6Um2Fc4nWDNKEk2I/IwN2UgKKt8SXmrp8nYiD5UIx34vjvsRPfngjvRL/6Y0jv0x74j V3OtdULcr3naKxDESEAZpY7HFP2M4ArRBtj/u6d/19Pv7aGbA5TtLkxqXvxlRShXWNr90kW9xHQg cwTTseF0S0BP+0+ueB1DeE02W1JpvoGaW5Ff0LokK6jVBib14uxtHsHAwV0GfTk2ZpG/Hj7kylEk O06pw35zKicWc2IWvPJ/Mt20U4MimWhaFdUPYsNhAe9YD2LQ0yy6aAuVI7O2iDNHpr/JQwi+cu39 P+zdVVNeXRcuaNwS3F2CQ3ACBHd3d3cN7hqCBXd3d5fg7hAsuLu7S/fxk6qPorLf2l1d/IH75L4O Zs215hg+XmvfV0aCbBrPdF0iEKRQLGlovxsQDegDepIS2D0CZYTd1dcbhR85WNBdnXSPOkssPSV3 tLf6vvvdI4KWlhXeo7L4eZnwwA/IrqK2Rrbz/lO5aYSYFEllfgthfKqKCb/ozZOeQWv5B85PnUih bi5VWnDs4wJ9WMVdafuhVE2njskU7NI24CXUK97YgHmAnqwL9sQyuZDrl47aJJdiygSQWf7Qn91r Yv9hOam6rDvsA29qHHXldunE/iX/IIBm4AXo6Y8GyJk/ucc2QutuHiT5A/lf81VoovRr8wRFqgIw f2CHkikyTltzzgnGerhDQCFp66lSAXrqsAz7hmYT5rZGI4yNv172hc9uK4tSn8+JR0kpXcZ2hcHL So2qX8tUnGmSo88EEooariwqeCykKVnZo6ABqEcUeas4supAzTmjwzRuLA73ilQdyFs5eqppKqeV c9LRo1YdZAIYeJyiaaOfhMREHy5O/N3T//aEkx0Dj62NHHVLTPIj22583bnC0iC+0tMtuihPflgh 7NtrnsRyY+kw1RsFH8FRz3iW2gjXR8hTjn/+OrzjnTvUjfi29K+elAglFj+fAhutBXZG+z4q/TXP HtBTJ15tJdIL5zFzP55AQfzYFZ+R9Jah5mBDbG4PpomvKsu/eoqVYfb0Hij7tU29PrRY23D2Vk+x NhBFoiej07w24FQKXhIdf0Tse3VYW9nnUu0Y2KSmWd7qibRaQVNlTBQJrYHvW5PRQZRQAFoTqMVN kTG3s3dvz6e/9lEDehLkvC5CV7V3Hfp0z4Z46lIH2P+7p3dP/zc9hbcu7DGtx6+GllyMXhLKcm1h 6ur1UQk8Gp9fiqAr5voDemoUQBIIpjXyjDAqM+UCpm5t1YmY3qREZg07FZGl/VmFf4vPe6RBrqRM ynqkMJCgSwPoSWR8sUS8OOCiZuH0o5jrTS6gp5AAe3u42HiHTxoEfdWRxgG/R7vbmk/dvxJ4OBGJ NdH1AHpqwUvxNl9AcCusHWr96r4Ni6YbKPu9rcy9juBDHW2iWrl9RpCgHVJ6bHLVORPTl2/C1bn8 BSEQcTNNRBQM5c53iLaT6q7qW44NoVFuLk9sue4o0g/XiMQv0oF0LTdiaQr9mXDwzI12jwMEftWr +/aDWZ2Z1plCoPjoS80f9WJxjkXKIUZdncHVXON+f/kIfpNMA+ipl3QKl8UrKm6ksl0udiYPsQy+ hQ6GvmV9iqORFSXx6KfEy6lotXk36oFaiUuvf+hQzjKMcW2vd1sJ97HWheNvOM6RNs1xP44D7YPk hSsyNZlUnAsT+GJztOlL5igyj3oqYxCkW7csZJiwybRZ+NWnP5tAklXDnO65Hl+pfVf8BxMAPX3y 7kgYrP5Z02Zs3SUOehwaRZhBuadtvklzRe6tr9RDCdi/Jl4a3GgsG/cp+0jrxT766KGGDrjqcYOi xa6ZUBXEsH22BrsFSBS9qfsVmF2ynPzpu6d3T/8nPc19FpbHXj9yl80MNDwHQaCX9SGzmuzvJ81Z rlhsjvE0es0TnQ+CdwRwLS+yefCDVVE4+bm8wXhTQxNu3+yWbAun1NG/ehINljKeBmkL5jlUxkrh dcF4zdO2K1GbU/iCXdcmHfiOZX7dGD02c/d8f2sJbxbzz2mJ0H/1tAPi94t9yzcgjoIUdEDjAOSt nlRllflcVcfRXfbjbEYYrq3cVP07W8xn0Njioi8zeytk3+qpBcHUnK5rbtsqUPSnOVmTPSrGJoG0 9akiXDfR/lTSr9PXPLU/506oWF467osRp7GnLEoC9v/u6b/1JJ5Ww8P4y/gWaRoBV/yK7wTytn1N m2v4xqu40Ag3l/v0r31APmlTJhVwEvK8ED+lwFTtg445cAO3xm7TaQSBB8pcxT7pY/OodTfulgBh 0EMxKNz8cW3zHRSbo30mUf+6aVZPdHmcDeT2i/j74fhnw1mG6rM5cazTlVXtVAZa43II8oA4bkIL pDIqNL/cFmg1T05WizGvRFOUL/s+emX7wFGm0+6AnkzURqamDvsWSFfooZHOyboHjf4w2B0Cn1uo Ag1Z4Q36rud5GNgJpRoKIAY1J5IbxpKFuwGfOVSL7v4suVwSXBeNYgTlepDhj2dFgZxXVsFJVp/4 JmKt1ufdOXBgmEGhGNWJJCPEcakzY/7to+CuNL00YN5f+4MP6B98Yi5MG7eiguIWGpeEHpf0ba6f gPLyi5lnKFfPt2OTbCn5PktKHuoJqfgauwF6Qt4nBr3BUSlTz8ZVm6UW5gL0BHW8skXWcVFGYNEU l9yVzFHKsiby2cqKUjSvxQNSunwB0FMvOp+jlsgxUsjCk2lJcM34bwPtKZmAP9p+lhcyv66Vv1VU 8dGpyXKKcDgZqM0iSKnnCeCJ1tDhTfLh5tq1Bc7SAumVUkzI5ofVIWsxtTR7+UZ0naMEedgmU1/C Z+AeQnQsKnRr8T9C6WJo2BpFxfACv3v6356C09yjdpevC/6seInLRzxVQUi0fK+O8FUE6hZIU4l3 X3zNU5qtVFM4/qo/s6QkvdGnVKkZH7gtQsO0FLwK/XgjkyKpf/UU5ISNgkHvlGwhmUHlpbox8Jon JSCS8Xzue+RGHXJG6QDu7lv9+bY1FndJlrP1nN0w9r1/9cS1nZDBBdQXkZgk0bPX8iHxrZ408IXH 0KF2tXioYEIJ1f0H7KB2siXNrVulDepECNIgrd7qyaR7dVk2fyFDgwWt7u6aphT9oG4OZ/RmRGt9 xnSa/tv2a56MFRGsPLQaWQN+kek8L+FzAvb/7um/9VSgFc02ePW78vDOtRgDKnztuNXNOCiKkRNT xP+YOSn4BNCTNhLwV0aWtvItkZOdD+4zsCK7aDNXiB91bPVkRlyIhQl4oGEW5ulnqrhtOOEHyoho ymJ6jhyKtkJC5yM5JY2Fxae6d/4AjRLW4uRBPFRpEiDi74831K4/Bzc/FNvGalrDTaUYOGnAeWd+ j7QzbY+koRJ6lCYNLaYhPYX+Zo5PFXMG6CnS8AdK2c1o1erhYCfby7wN1XcD7eyRdX9oV8Tk/vYZ Mwo0vpzvNTCzsU3TefykpLW3nZ/ncY2w8MPHm/w8opG4Ctf4HJ7ZUaq7ZLuUiDoXlgYuk/d1RsTm Vnsa62ieh+GasNuAjTWSA/mTu3jHBTacAfMAPR3TclU3JoLCfQxhgODQFdUlFbYF+gVB4nX+QY/6 6vslellPhWmHP7UzIbeUlwHKzAugp0wBrBCMahFZrSkpDDuHdjZAT3nppYxnPg+4L526wwHYKG74 PzOoIIkwt4Xq5aaR/KEhAT35t+nO1lysyaA8Jz64js/fVQ08jVfx3CB7EoI+WEITlhqP+QxcD06a Xkc0TR7Mlmcy0mwZOCLyY7SxQ3B4CiDF3Kp++rQplhNYSKeR+6xgPJQXZnlNXWlcN3Tb9tubC/KF Fet2/oAiYawE/7kEueEQ6d3T//YkQ8phJcAIRxfKeUPWk85gi9C4Fa7dI76Omf4JrG/84q/9UoCe 7Ps0eu994M3REEKE8/vyskQOGD8g1dnszol9JYu7oPtrXs9bPUFYSdkwghHWfkfwZGXiZRh8zVNR AQe2xI0f7EnCCeVjrk++F4tEWeEPob1ttoyebJ1Kjn/1RASv5zFWt1P0BIXMyfKD6uatnoSFqccO UbWBn0WR0aCNJPWdQqPhGKLw2JNFllJjamcU3uqJpKBwHiMbeTPQFK1C04Uj7jSOsLv6dzPn1083 fzJO2eZf89TbjnRE72gqTKx/H8FPNNMA2P+7p//WU3E2q88eDN2YP9u8UYeQlHtSu3xfZoYvYkSI PWjRXtZf/4//Cu7+ouQ1fSe/7mUTO+OWqejlMmdX/UVcf6tF6ddvS29wNVTaJ6CJBwszoEU1e8pw tyy2rz7Z3obohHQ4JCe+ZCkRi/Nl6f0WMfkJd4pkdqOJBOLHnSktQ5P9nnP+MgrpHIMx6TS4/GAB uvmJwEca5CSwpmV05Lf1qPoJbqnTwKyAnnqWw8ztH+VDeU8C+wkfV1MQKUIyXGq2y69sNXQSOOw9 9zN9IuyUZVayjwju2zRHGfCN3dESr2BbJrbQX3SxW3LMOBefoEnMqTsYUvHdneO3IG9id2mRctfk Y7qOltnvkLwoYIk7VZnJ3Rqdf35q6moCzAP0tOCJk2W5/DHOYUnh+Xp85s+vUmvCBZ1Zf/AmkE/f Z+Qc4kskCTeMnh7dF/uqxyCwxwA95SJ2UatvinSPfvtT3uQ6Mg3o6QVOyrt/tNjPuSNoo5e8WFDp +EYyfynH04WtypRtYGkM0FOpYQzYncBKHCfOZ0brFV9JeG38i3bYRFd5tLzsNIy4DbB2XvQvGZoC kenAXl+xaZYmBZXTKqFXFBbJfBsoGFwxNW5uzOVt6a617nO3PaXI4o1vBBoT75IV06lJTJa+74gm xvPqI5V/yrnKUxjrtHJkfPf0vz1ZQtW63FcHnOyB1Q9Tz5QZNfdIdtmxpKfNKqrd58xMor3mifJc GF7JRg2qe8aprRm9iNfEwiVVFsvs4YpG8UycrSPlXz0BJ8F9keu+o3SgMrSuHftI/ZonT9xRX0wr Iugzd8iG0cAIPNjHKOBxsoGOF1s5pDtOtr5/9SRg8fW40mbNBU8tKADSW8rsNU+tbmKsUlVBEXSh qnasSPE+9SpJ03kZY4zCJFwfGkTH0ElKQaDWabl8eqTRgaCz6SDf6knaTmVxK5oTxYYX7JiRu4aM sqqiz4TOcdsN9OH2lAfm52ueXCw6Q/mcRXUq+PJVCiKXbQD7f/f033paRgpTLyF3TYzo45m/JkY1 luz17xqlpCPyGyMYgyzj/GseRrq+kOlem2q96srWGhsUNQyTdrgWI9rmt2LvXzCif2g0+0DLVTdw UH8399KfdAj8iNh2gLp31ovZeyHdh6r+mDMMB+e0eUCbAmbXzlivO6Z27QZSGOxRoB6HLVXcDDqG qCz26IbW9FJdYxgLbW3egR9wXGvrRmwSEwPMYz5lUwYpBeiJfTrOBkH+fgahCgchgcxQZWgMI+PH DWxSGS35TEf4uZtfkB6WJjMM4RHKlNU+173BvC8lQUyAmk93chvmPL+ft+3jBxtJ7H0C0wglR+/g mc93g2gX6mveox2PFXHrD6wNzXtslxg/n0tYEcp/h0/6CQLmAXr6FLuJxaYsnqzrVUUP8ovAwbxJ TyMA+Hpst0o486XRcON7y4vP6v3g4xVQbmq5o7/5X/fjw9jC1L8ES45uFD17/sgeAXpCT9cC0SOR F9z3RpuSL81Nmsoboqt7WG50aQE65Yku5wf0pHywZsIfqmM13J+KRnS36Tpc7nlEoxxcgd88zU2M KJiLa1MqsbCNgypr63bhJSuryE/rqzamN2JdtewTNtIMO6Qx5BubgtLdK8ljGcFrrgorACHV7My6 DbJF9oU8ivT5MLCKZkY+6Hutumdo4FO24tm7p//tyShsdJhnSPzCa/sIqmIst/VLxgmsXOSWtqsc MMv2MTn+a56ydoLXMjfpKboUYKRYwN0F4MvvcNVEr6E/RUmoWrQoaP2rJ3q82t+VXjFYjlgzE6Qb aquveRqIeVlGeJQKWraNN+j18ypFGZjZILCZx1oixvMCCbMC/2dPrqCJXlvrzew39Fur6GFUb/Xk JiW2u9ecABNgUmHKFkBWNiEfhrLL0R3X+Xm9J4vcDOytnpyh/UmqLtUPWMDrkG96uo0yzLro5fHg Qby5TkVF+CFDX/PE4bOwD68NjqbH3efewznxA7D/d0/vnv5vemLamuk6RsSyZscvYQ0P6csazRoF 0Qy+TNapkXpuUJCsAvQkbk9qwQXsJEXuz9vvw5G3NDhQLYpZyLMDW3IzUGizPkkR2NCEAWNjHE4+ dxl6lTYD6Im6OdAfxpFFwf805MJOAakN0JNv3mZUdtQnfDR8hB9FXZtDAQ/JJJvowQVRXCKihTJS f80vuGNnpT/pR3lmOi49uP+MAwodjB24oHHt7HFN+lucXCijjzRNtT0dnQwKS4T3jxsudE4CVcYO vAnnj0V23wCsrp9IMQN4NsV8oivl9XoCXBJ1hJPnMPpWqL06sl8aFHqIpB54NRabf/l86YjJ0nPn kKXRPppuFOlyOTHk/3PTOFjgX6gDZqdsgAt68GPAE8IfxgDQ014yRGG1GGvKAmOuS8sZ0I3rM4TT YU872Tr3tsIMxVgTByXCaS++UK1lyoRh8Ii48PXZsEPxRClzj3sBDiSvtZS6ii+vZZU2J7TuyjUR a0UmXwKUXG6pg7O+GPT4Uahsba9zgpPZFsiB+TNfJl9WYs0NnADLdyq3Yy7VI/CvhDwNgJ5aYDK0 WIhlzK4mOLIn9gw+r8mGxPEQQs0/K8NNbvvJawL2D56YitEEue6GeWXejZrujk9kvcXCPKjuXdNq Bk+vYcCng9h0kXKQ/F0O4XD8ACVR5t3T2zypDn0X/DJHq5+/0CyCNP0Z7a2e7j0lwKLKjqWrVZkO jRKnqofkvOQdMDxNCwZnm3LtTbbe6qlAoEXFD6r7F9WpSHvWR6Ib88jTL9YW9x5nHMIVAb9Ybl7z xIsrKt09V3YqSqLsPsWT3rS+JEjz1BwXzApMJsx3Jh3zVk/2EaFoCaU5IGIDFVzUmPr9gHmvefIk 3KInJ3EG6/yTx3RSXo5b6FqR3DxO/RH2AX+U0bK2+V89+dz/oQkSzQX3zqBpufyeTP6aJyIXwnBc UvWVQdwKO5AbKxR18sf+NvLt0nKTM7btJPyVd0//rafyruLAgRXRInF+YfWQRoIYmp7OCbmHi3zp r8QkmuPt4YCeaE0Zg+RGS2KM+6KhS6w8tgaFxznDJC17ji+unu59UHcMJsuToOCCvm7QVcpVrnlw /7VfCp3PBeQ6AS8sS9cfmZebF9ATA37NSyHmJSihH2ye5KftYNXg8u7PH+uHpeqMztCrIL4BeiLt 7iYP6emrV1x24l8zyEYrG0mhpPT2ZKiin6u54NaMP4ZoOX1Q9nh5FlM+fDRvqIKANnNSFyLKZc4V dQm0x1PZWONsFbJmYDbY0bAQ04M9prv60Nm5QTpdH8z71TfpqeeA41cC/s/FTcurU61r8VE2JZr4 xtko7TvBoFusyo+KOGMSUuDej7e3FYs+4km+Lb8APVkUFDQO0MuLLn25GoftYSwgbloiczDzWB5z 3oljgC5lQ0UzZ/TvN/KREfx82NeS23rv6kndPT0F75dcHHuZECJ9pz7ZcZj48WY27sKLEv5F3SwT 5HlwuOvAAEaB+NT3wyY7U4a/XuXS6qZq1u/HVUUXGuWjOS2E8cg5FocvOaYTd4Ce2jiI4RHuDMC4 d6ZF6dWYNfbd3QhnYeF4p4ZEuAq/xDcA9v/9pBk2grZKhjxgPr1XbTA9oXLKJMe6QhA0AWOj4uCX Y1kOU4Cxxs5Ye3TNVwax38Xvnt7mCXyg6YKWl51mNytV4kK5s/WtnkLCv022p1RnfgGzi7oUThtQ KUKhOI1D3JGm12DaKfKofqsnm7NxffPRAkqExY8rvxyphHfWULDtUlB2wiiAM3hgDpNe89Sr8Ic9 XlmN5PwgMm5pkC3Q+VD6MMwxn6KXCPY7CPMN5Fs91Xx0vWksZoorm1SoZrhSoQXMe83T18d6ulps 9i5CRIaRjZlMGLRmJUIkNUMbDbFY684Y7V//6ukZ/PppLBGrbglMiWlObN/+NU/J5wY7uGSdxNtf fI8MxI3HprNUSszGNNo64kEFVa4VP757+m89jedw3Jt35+KCiWsG799htvAS0ijfGm+w3omfpUgj Xf4C9KRUUuZIbshZMk+k/BgxfWce0XbG90NjOKJO6rBzXkczVUlkERfNeWrKs/cHUR5qqB6gJ0wg REY9+dvmRabgi0/DP8UAPaUsyyhmRpPIUV7yRa/G2XzUYuZs1GTVhn20itIz2zNsBfREabbTNNSI C+XQLk7hoIqYQ1XN4B4+rrdEzxGzHGfaBb3RGe0ZiG9Sw5rC8G2Zgvtr9vEARKOLa8EIl918N9R4 OQ2xe6QaSL/mMaSL7OwPbeeXTI+pHy/E1t+n55BrmlkGuvtlpupdEjcgbCVp9G4DCLdjWJdiKheY zi+bsndlSf9sJXzkBgH7gkYqENc0exQJ/9f3lh4vjsoPkIeokJp3Vg+MK+QvFkXjOUu/rnRfROXv 4WOrUiKluVN/l6UzWjj8OOpSc83zh4oxKiGDECO6Ho1Xm+HmoXPxUoL+3SIGWyrsa1POKY0qZ6Ht XAHxxyYXItL2wL38Eta94lmhEZFDJlo62qzperqZ3sZOzS26LuT37sIToKfCezRrTUx9oYRY2oec Mkx+kxrvaRi8H7gOpit2VsExEYD9R5OWQqGdTU4oCUJOK5x7Ifb5O1A6lovM99CWNrO3RjWCFmz4 LnqMurDQHhZ/PKVLfff0Nk8rv4dLL7ikjHHo4L5d3aDTveZpsJNmQlihK5tqAth1ubOSjGfkp6bl RumjFNC3EAR3cTq5KCIRDsbACQzeGqCs1r32t3o6BGepkaVovKrJkmyViL+zgpKcuMZ7ZFrSqaAj VfuZRfSap0UVI37F569+SHWks0hRlZOgs3dcm7znqmCEgTtIDxm3b/Ukqv3BCrxaB3Gt9vgyrJcY BTDvNU8UDrSaCAfuTs8wH9jujT/6YCEsHZ7ye3WGJD9Q8bgf/LUP6K2e5DTve+DH0hxFClvWJbNl Rl/zZCibaNvRB0P/uzRQvGlbg1/8Dzvkzk3eBT7GT1N63A34d0//rae8QnWY+9x9lx9fScCMSemw /ux4TSRyJIq1VWbrdU78FgD0JBMy2iXj4Od4ReuwwqG9oiUX8eWia2M6WqO74kb8S0uL+SJQ7nWJ 3R6Um0I6XqXbAaAn0nnaLDJSz8ZFEPxLJLnf6oCegq0iGyBuzQbJY2ly4m9/m8WbEbESSV6HOHM4 I2tldXH8tf+O6xAGcgffmOkBM79tiK8Z/TZlHetCSxKSjWeTX0M19jjUFqNdOgndvmE9AYu8boaY cvm7+pyFrdfUkLKScRruiVvoEgr68PAfua/UPHSrkZjsOYXq2iBy3be5yRskzH90yfaahGsLUjhL fAPBXdLo8u7HfhRUoi2Ja5NWhwztZQ1AZcow3tm2LcBvSCOXW0b/dT8eMy0VTvHxp+x56iBK/x5b 0hL2YG7r+jdJKsRyIjrPZSIivMDYPZsPw+jLhaRSrD89jL9f/NgAR5HoxUgemuCs0X1AV65f6czG cU4jpAzIWJDS/3lyN1cbTWPvZMZrMNb28wH17nMI19rvItYnW4aStOsXcN/l4rReIwYBK+mO1WdA T+KJFBnuux3zq97VOJdx7Wtqz2TS/dyCWrn2uzA8m5LLgP3vVE8KsB30/jmU+gbcRgBJhB6R4JB/ iEUDdJ2aRGNJVCCRgpNpZLu68mAUAh7rmTP/7und07und0//X/W0UcGnlALtBj+qD9dRt7uffIl0 QaJj3wJyy7RmP5ArqP2ap509DjU7UJyBWTL1cCI+Ol+VFUH1Ak1Fmm1v1f4s++/b/+qphZtMHj1S tEBQRyCvuHTo02ueepNw8sUquGTncq5srNd44m+Hfz2mSx3c9kgxgqJyikv9qyeozc29L+onJy4H UvNdRhjdb/UEpU101EzkmAWsVz8IW7kjrzzIVyAS7dI3ybNX7nAM8e2tnhSoHxjs1TBZJx0DxKoq N0tsKlzwSayJzzZdAlcQL8zWXvOEWGWQEku4XgQzXsxMw3hIDNj/u6f/1tMZfOvPRDyTazIBJSR0 Jgk7WyGr2bVnr1swqfLhI9JjG0BPGLT+1twF03rSd92RjxoZDoNF1G7GZIcHnkbmL4a8oCOtsF6M Sz+unM++aYWIM3EUIvkKWRwvIDWqSGDeUP5ww1J4ckqRXQ3S3Y9c3IbkImGkvdKFfn4AgmGzMczd bydXEp/RPVaEpkQlSBQyN27t1opWJHdttZ6R79uxYac7feAG9HSAX310NbPONA0fwEoDq1dr3OP3 sxeWIch19XGTLacANuXyVxeUsQkyP8x5mla7tz9+KI3IODTfxCnYJGXXeO54EpcKycEAY+ee2VD/ j5dKrEp/WBUloSH2ZTPsBqlVFQXY4p+5QUMLtlcVaCB05Uj0gHmAnsgt0RMJI+6bqr6aUC248t37 hCyZmsnaEShaW34AOUO5hynNLpwvyWgJcfGE6cr+QQboSSebekNGbg0UE+Ne3yPrFhLQE6IrSEyo nIk4s29Ed9jJUUejq9y3BQwzm+SvBa26qHl/zafz7FzmN651Hesv6QsGSfa9RvIhjyTz/Zkp1DvG 13Pr1FUChJeRb/g7maIeHh0mxCOW85IGlce57XckVZw//5dA2pchn5WNhgd5lzbkIAffFh53dke/ fkhk/qffYFcJdjqPTtFGDCcjRredRSsTFs5V1e+e/renCWIw/08BhVZSvjASw/l6nQriLOUTU1ul UDTQsoMc9t9f8xRBURKyd3ozjqJjCBbRPaf5vW/cK9n7xJ8rfqbKrXEq8V899WURKiaPOqdvIOc0 McBzTL7myemc0VzAtKBfJxl6Uh/sbhQ+HWS5zN98orEoRSR+Psj0Xz3hM0HLuG5ybruUksjdTJcS vtUT1k+wqa5DQ1QLrxgorNOXNDg3pKH4Yy8OkeFeGh23Veu3egKe1fKB2/EgE6xGcGpvOdz6gvQJ zcO61j4AncKJrbNX9zVPooX+4gJYBzA3vW4hbgKPeID9v3v6bz2FGaiWCdvHNENUwN/OnEmSND6e gBlB+7Vpx9a02fTY6QN6kmqGErrfEQ3yoM20OJryqr7q1bJcKxO8vMrvElbFGx9SUYvPeTifulaI J+AoEDIyWJdvEFmJ2lYiHWR7glGIrYldQ6u6dFpYsTEjGWu101eKxOk0g3kytGhbJssIfJl/pkQI tS4z3i27q/Umm467PETpMy3DQGBHXb0hs0HBNkYC9ORDzVRGQ8XtXfOAqTdsXx7nT9y842JvL1m7 HrGNii+CwIxMfbPOz+Sq7gUPu01go74QAFSq0uWUguzz1SiFrY3takKRT1HfkbZXdaIG2jEhiRjJ 7XlUsu6j4F2YDAe7NG0OrN6zmF0tGCvuaM8AbBE+YB6gp6HnvU+C5tK/lgpTL2khuvaabg5/bIQi tILIPA4MLPy0pJhG95ds3PrYOUZlPU8FYgroSUjEfAjUPGVBAsj99HZr3grQE5IjgeehzFbdtnim oN9124mJHOwI2Ae/fArCE4TaVeiMv+aximCX0T1EKZ6eii1ISwV6fou736GOJvXD5RwekJP/ZmaS cAZ6xtW+NvbDe3sBMXHmQ/eZsn01TWu8heQ5G78QlwfVR2xtFIhavGtP3UAGwd4Z6Z9UJtsHDmkh XLJF9WuLc8+PMDDazeetAyGK+Fm7zu+e/renD7rk0iRgsNfQAvCmH5Z9a1jumUVHOO33eErZlVl7 U7Ne8/T5jppcq0yDrlW/0zenFueO9HT2Olhq9BzfjUgYSE0Z5l895c6wYJpHp8QauJb/MFYJVHnN kzqFLWmWF7tDtR5tKQ5ii0bsyaDXHj+0jw17zvMxh5zcv3rq8nUKEdPIox96gvIkakjSeaun0lVK eWYi4xtIVLySTzfiGZFy3+kEUpri4IyuLZTlH9De6umWGw7HG24RZvfPnefTrOxuFu48qN+PdnZe YgTz6Ee1v95LAXraa/OFp1mzVZnkHzVMji26A+z/3dN/6wmJg9oqTFSNHI2pQi8q6UHpZd50gfPj FWVAHneHTTOKIqAnXquOImBVGecjRVb1Xld1o4auugfgMMMIdWkf1iQJr62zfDuWJNfQVMm1CTvC O2NGr5NwHtfoxlkzaeGOipy25GvtxyMp9a8YgRVtrWUHESOoVZ7Pk4NmuAtD6LGEpJ9dboWi3bIm ruy9UfoMMuplkG++j9rvikvTswxYqgmrCgf89d5cHYPMn0hestlZ9XYreyWquPQOIUwIxA4e+RrK 2XrGf1nWoSf/QSAHalf2ogImf+jLjg66jXBqUNRhQb5rCe7DgE2PgVhRGZ2026z4HObaHFvpMsE8 SXRggceCfPA6dfesZtjIgs9BqxwpxOY9+DIxYN5f9wXRTb+VXTeUmhjVlDtI95jZexFGWNUulbWQ t9yhJY5nJ3I9v5mI9pA++zsONgt+GAf09GUPq8rnU/Lpr/mQR/UlMX1AT/V1roFEwgrZnDdSX0ZA rZfcWDd4h0ODic3FU1IjHNBxAT3too/eW34H3Ttvwzi9vh4VjIKzZY2QzYw4lSE7IzEmPltUuMFm bh2qHsVGyEKycHHyNywv3I1aotbq7kDKvd775OMWKTuIPNJz3dG+LEyCr0aBrcYwnY+7SeX0LJJL yfAIIWf3cQ/ZbZ/V/GV6K2AE+N3T//bEXOrdcBSGH3G/1rGgNgs3hebCjoGPKwg/9GQhIbtYuvya p51WSTs06UaJKUn0RVLBPZdGtRNEehC66J34GhYD8lGPt3qqyd1BamFtTdbPCRV8zjAzUAWfGpLX 6pz5tBY0gIQXYviap45qlXooE95FxcXIBcXVRdNQl9Fvm9syq71qn2XWDeQY/9VTCqKcxmqzoq0+ Hl3rRFk26Fs9DczUcOW26EDrX7BOdYR/KwhiZ7/ZEzqx9R7tySi1ubx9qyf0cQOarAJnS4b8gI8x oJ9nJzZnXHNlDwg2Aju16GsvMF/zlFv8lS3lUxwN/NOVfaU4Kj9g/++e3j393/SEYM5YOnc7+rJV h/ijedx1eI6ONSjF+Pf1Z0KULMzROBJAT3nYLQ4n0+OshZBzSzvc9RWpcw6Ph2K/NxLp9NUq70ZR Plapu+2O6v6I++VC6Grrhg3oyR6huIZj2I03y72R9IgDPwzQk3JuOXnd2cywet58YGWNfSGam6SP fpV5woe0Xr14bh+av96bF7FYr+XLIZufiLuM2ii0nxcFJXJIUIWhdriqbPjcpIs9VHltwIC63XEn NNoFQ7r6QMmbWxa7D2vbh1kq4cguHHyq3BTXKUGExibn/zqUDGw0deXPnsVU8eTebSeh8/jIRwPm r8yHzmq6Q+ndKfBU5+dh8RnNRXpgyQPbmfrzErzzZcZ4uSPQ7zVG1dj5+r4MQE8s+9SpnUmF+veI H1eFQY766urwkv5kXAYSNWfh/7Fj/IBPS0zr/OWg3BRYm6Xf1qZtGF3bjKyCRYHyJTqQ2T8z8bBd JyNyW97TklSTZrwb0d01naLQFenGJCWdXBkd2GwHVoor61jBlRQTODpHNyrZy64k+tuWiVpNTJLS HGWVLjygJ/oiS4luKou4TXV9RaEwQ/Hr8xMORSDcx2jUT8sLIgGqgP3LQ250l9maJ/8cws9YvVF1 Z/zmiAXuXUluNt2NdRYbfQU3hINv1yNGAmWAK6VbpGr87und0/9JTybUJiDspSBbDfw7vOtebZT3 J/mzVI1H2DCI0Ts+aNadr3kq2esNN8W3wUxbRyMRf9bBnjy8HLl4gr8usBhmXnAljvhXT1dxJjdK 7HrHmsd6zEi4v5hf8+QCnLhjGyi4hqu4kNqvl7im40nD5iVcpf9gVIDj+wI19a+erK271/tM0v5I rAMFeLQ5Y77Vk89ZyyUm+rMjFHBY5bV4aBBzeZ/bxnxrRtpoamsY+yeEt3oCGsOM48h0GWsO4nMu s6eq3nu04L9meok2iX/gO+9DxnrNk7A9W/I5NVGVdZ45/qp9mBFg/++e/ltP85Oj8PloK/DMUBS2 uLMM9ul/jgMVVrAzSP12uGWwkEMBPa2KhUeYXMTuMUCygnCDFKWZiLN8tyBZ5sfkxwhqVIwEgiHx 2wDNgPIzamZQuHYpptx0bciUyMpacZoRYlVI2/AvwgyYnWMu2e5uA1LsEdJY54qr5yKJ/x4lsAVB yHguRgxKXbnQBmcKdnRIyV0QFQ296nKrN4/f3bUyvoekbD2a9dc+agoeIHYbjrbD0j3TtpZFRfm1 88KkO/zVsIXgGlg31t/dQI/NhTbGckxt+sV+1W6F1v1FTCXP2/yGFr7sqLU/UEiUsPOAwBxp4CeT mxfhyiyxpT9YdrKqnJoN9pE0dEGUrCleXhSYkHrQK6H09whFgQPmAXpCW/Fd9IUuX39gqimW3lu9 Gd1kod/95m5KLNNYZcurPVeY3om/qerMWSOAPg0Xq2AG6CmPXEC8xQIC1k/Q7qdrsVIhoKfBdSlh N+7CBMXaKB6JRpBCWZMsK6Eyq9yjHiIBUtHsdkBPOeteFywQ/VYERTTwyeqRaXBsC+AuUWc+XLdK dCKovT0hs2QUyyUz1sYBzBQDgiagJBk+6t6Dlt9FdT6RtvOYMDIHmKuP9st/bdl+klAq7Q6HhijZ k2HEZlC5z/k8PzDiVjV8Z1TcCIanTR/Xs5L8s/3d0//29B1jYRRmEMHVlBxINocnZ8l1xbgv/Wgd kZhAJybTAir7NU9X5AtYLzCfBENa0KWwLbURuyE3QMEqRtphVzxDRLzSlf/V0ySSU1VLffHF/Yv/ 2SCnCd9rntJAqlPO7vB4RFe0lG0cPiNxQlwKtVptc2mOm11E0o+P/asnpAVkOcNH5epZ3baxCcFH hLd6qpFTVhGZFu2jpkYdq2moH85oxoddqw4E/tO7+Ms6BTrrrZ6YnqG+0B7H/f6T4abmZymTW0Zm y1l/zMWuDxR8NraYr/2apxe6vqJ+xl6ROBNb+WCHrCrA/t89vXv6v+mJUiBy9TdT2PrkQD3pnCsB j98JA6QRq/8Tamk4/TaZnhmgp9PQOQo+fB5Wert7mbSwG46bGrrEI6Fk+o6fcnC0sy+fw++a0SLG VzXoV5qgKXtzjQA9ybvQtTboDpN9rrp0TW1rrAL0RNrcW4YHNDbi2vpHHi6Qc6A5AOKMjGjIvG8L e2bV9fMwoCf0MjLySUaCL1uh4pVuCfMkxmukbD2ml91/nh6e0PGIxx3M2u0YzLs/xnWguYCCYOX1 V3UplmFk5/ySQtOsE/3FKLhPDNqKsSj7Rxr54NJIpfya1x8zFiYnMStgwUtVoRebJnekHGlCYzAh Fj7vd1lXVnrTspNIcfbSNq7bZS5OfNrw3tbvwnq5am/ddRs6jN6vgJ4YtUX/LHrKpCrYw+/Bc+f6 w7bNf5prKeuyKPL5NuvZ6lA61nNmwvtTxGfdEae5oBFYRzAGX+F+44up7Ur1k9LRUKvdBbuoiu2p 90FXxV2utc5U6HmEf8Gu/u9YaiLoVodwys2UCBKY5WY32MmoHOCtqY9n4Iga8GILgrMpiCGJDEyA nhLDmqClHVwdcNHdcJInNetdL1Pa2yS02UNOtTN0v63IAfYPpA8Nk2828vWuZxGlRh/X/DCJGV4h ixbLOxeWjP0etGW5pWDyY0bJlLJ/GKFxZLHBu6e3eYJQlpGcMhwqs0I9n5/GxyR9q6fCpgY6ky+n 8iC16YdhzF5o4V1gDtHgvbxKueTtBGNB8W/15Oxopw3ON8f8MTQVTtnSNpIjjC5TLy5MRaWD8VdY quRf5ydAT6SfRfN3LYUb3YdDi3NIbNnMykkf0XRjStptOcZpitfM3+ppMwI6K5aszDX69kMbGdSj KGDea56e3V+o2A2DaUhMlqtUEKXlDEj9qEIE5Y4YPNmQTa1zVP/Vk3EYqxMN6fSooPhch7shW/dr nnAaN/IplsE9BwTCQ75gPfBZyNwTlbtGmyoiGx6mOjCxv3v6bz0JlrD5SVShEG5BHyzIfLatvvp6 Do7vRvr9VL40KWzeGQfQUyvwcZJke4ccR9hYCb0cox8jsGDSR5p718m+npJv2MpcEwfkPqgaEDUF vZw8RnHxsoCeaE/gWZ+cIvGPD62aDITFVf/63gKTHjG5gW1NLl1QITFz4MtBUDY8DXQXr3C1C7Ec TVoN6ImWXGkM6txqFy4QTeFQ7HIXpv6sMB0GMsAPzbp3StmA9jlzxJ8KXCy3cjGk11GPaap6P1Qf fdXnzzKXvYXKkvLub1LirwvQSaA1OG4mSRKficTtdNM9zHLrtpZWBrXK+IfFpnbZCe91uwefQjIr wgXGH4WZmo0eYpGpm4A4JzARpQ/4VGEsw/RyPQ6+kQU/RfUDegp6Fg93xtiAt3iamZw+q70H7aL9 fmZD4RdyDYSJK23vkQorWgrGfUxzJlc15dCtSL8WZcwnEGPvTDXfxbbmSaAe60CmtavSnO2qkU03 SDwfyDNZ2UnXQcNO7RI9m9Lk/mzlXnOPWVHUyGjvxU2/RxSQK2n5/WFrspAB7X4Ikvh4ENDTtRIp 2bjNoeiZG7UB0XxzusQKf+wEUVP2B51QFGugHlDA/s+N2axO3fR1ZKaLcCcdzz8UTyJqe7vQI1YW QzJDwaDCn/5wySS+gdCwOSoFrkzWDn339DZPY1882wOkP/+Rg1Is2j9WDnmrp/BQn8A7N1GZjzZo AhwQg4oHU9ZmzjWUD6XZERmFfMhLb/VEAgyGk/hUwnZ2B8T6qc57Mz5JGzYFXZZHeVPO6JJo+a95 PYCeKkqTg/3RSm8skALaru3uS7uJgAs8NYWCWLovgWkljWne6kn+AShanK3XB+gLjlXL1UdLwLzX PGU/cYA7xFEj8ZuhXX0jBMcp79/sEN6+MlvWCe9iw7H66/z0micu4RcwJBfuQUb3FgrS9o7HQQwk TkP9srF1AWgsJH1Rhtc8DRYe+9WpAEd9RdRLzA7R4IQ7xWLfTq+78ebr9VNia0N59/TfevoZZGbR JEtok5l6VqWWiGJafE3I/BXd8OcsV7RyAplqP6CnbYPVMr/AEy7N+BqkqFkSKlYK/TbdxzI0TkyP FrCfWZxi6flS1Xh1JBd38d1giTkLgJ4+zv8iM2TqCwlHufpM7oWMDuhpZFlL6/qzNlLL1OUvVEr2 HYfwA/it+zXvSh585naa71qAngKQ1X5rxnGHyYDKxTN9qsM70T25G4KoK/FDc3a4Kv3cn14CRIiT ME1YJ6ANaSP8vbH+g32j4SheBK//jQTSL7lds/gryoVObaB76Nlu54RHl3n4tce0fOQfH20fGZVu k+YnmDVenhf3u1E6OU3Nsfs5jKrxNvxaez83r8yjxYgJc1DsUqOQMwT/wajfnQqRZPhrPl15z6DP D+X4ufRsCS49y16KErimVFWTUijFBqSB/g2S/o7DT0BE4RECzG4UFftSvem/7F0XRcJrdXkkOZhS g9E/SvSxki4y7NppADfyJRfJyycEk3754szgNsURZf4cPi7il4BzLf845q8mGqR2ZD78eSkxPHsQ nzPIY9rkbjR4zRDQUzW+001a43fdGmy+vPRrwmQgflkoAoxAZVmxRuyPUCDEgP0vdJZ0JNWCfXVU PYqskplzHy2BKCZzGS2YlI1CCqX8gNi5MFp9jsetGAw0JTT/0G327ultnmxeKrgSmBl/zwmwH2Uv g/S81ZN00JJ1H3GA/wvk6IhgEYnfrfBUiW3Drv/GmrEXM4m/9Fs9ySxARbpmJZ5HnW3H9Hkiu/xY IMcJiDzGBwZHXccWSk97zZNFm48uEga0oxJIvN/d+cruV8leINBxjPbMS5MR+wXV0bd60taes84V FnCsMMqS2IRN2QHMe80TdGRzJQzHqEi1ohJdbx8Bu8vmIRI0uq8zOo+akrzf8uK/eoKqZ09SKi0B TQmyocKl2UN7zdNh4rd+zasKPgUFhi+ZATp+PaN82YLAA8R0MmVQl+ie5O+e/ltPDhACHGuU6frP QhGYlrbKnLtGR9rakrwJP7BCT+awPIcBPTHss2Ja+fUJFB8bEbsN6eehbyQyOH+e6E87dlHzu/j0 GT+U6figHzif6DixQQ1j4RnQE9FeCfqu/GlaJxW8xfPLuAGgpyUZL2eV/X27YnIIWZCEmikOdyRB pClrKrXmUTNd5JS/9gFNploba3DSmJmD9h71D0S5OotxTK2dZohaufKM3g0KlDathdQ5ANVlXLk0 OLifg3hC8NoPQxxsExQU3kJ/8QiCkXe5oIQvPuCNxrMCNVickYvOD709JEUjm7XN3qmfORCSPpEJ L+lkQSbGpgGl9sQOlbwBnRETHBlY3OAYtSUOLh7Ks76SO5ouaLvq1a1SI10H9CTWXBYLPWivQ3Vl iSBzSZCyfUBSeEJAXmtypKIlLNzfsvN40aAP6VjrHyoyFxXYLUGb9kGmwFdYJI0OAYuWn7ySxgyf 7DppnxzLJFcUJuAeH5KjwjzNV9X3hWK5+SD+4/5xaBFGFB0l/sSNN86nM/xgdsPiSF29o47mI4iQ 1pPOTEBP+h50DO5PuavqGg4rMUBnxMhYmwGjP7sSWot5wx26hn8A9q9WuDl+0uEuUR7HAAcaE+0i UAtm8AmcvqzpRS0sjZg4hAuF2gb/kaqG8lZb+45gMODd09s83c47G6BlUC8dKg6fN+U9a77V05dr ch8m0H6ES6QoafnWmx0HH45qbV2682Kp0Nxpcintt3qK/7buJJ9YuYX+0ThH5NB8dItdjG4jtqcC 735//eJT+M5rnvixGpFLnWc2m3UZyqWCW/2MlFVYwXDTpGBYjmObK54e3+rp5v4zTCvHDgKq9ZSP SdogEWDea56qgJV8Cb7xpVbY/ZrZ9xTedRMejU0LR2JTRSsIz01RH/xXTwsbYGDi2TFZhl0GFyX3 snWveVrSot5Y5UBfxR/esM68r5q5cAWznh+DnIfGkuYTAgF+eff033pqeup02iAuRcHB/81aUARW miHNSXvgkyvqKDjFA8G5zgvo6XO/ue7pt04V2QzLkg+IiRnqK4twJAdNlHLXvR9mzEQjFj23208/ XKm1tWJfn943VQF6IiA20tj1Wyhka6nmqXzeEQX01MJ/hZF57Yg9Umhzogx7Dorz7YudEIEH+u2n DA07L5UGQE/JDZO75kZ8YBOMNe2fQnHM2r5Z/b9HjCSGOZ1m1T3wQ5WK46LQQv9iWye8K1MdbzFN 05MU83v8faVRJX2y7QQjrs6liJWXqPXWIHarThutRJKs2qRL/kCL2pGK01SCfCNm9SDH05V9SEXt l+fzJzVQyCZLIY+7LlAW44yJpwAMsaJ+shSwCxcI6k1YgX5Xa2hOQE9ZZcKcP1KuhM1WVV2uJ9jj /YWL2JWAr2xZHfuGhRbyRV2JRFBTEjFVDyfagqUopfTs4K74jxqPftkSk/fAz7t0TeFPGEtWcqcX Sgdnf5vkth2bqAno3BdWb9aKltXOCK0n8VogJbZJEVvDo3QzWWNNPPtWfwWpQ8i1ZTykMgJUFQzo iSe2aZ8X848Sq6xa2JrsAVfVedvd1kKS6YVH23aUynEeYP9Grsax7LQNiL1r3cV7HNSaeVwFys/+ jUyO5E1q4eJHfQk9zktZdlZxW2M+OJbeKqPvnt7mKZH7Ts4/gH1QIorMi3AplOytniDrC5qQv2SY x3//cHvlaejArmu9wA8l/5DBRaV2Po1P9FZPDKs2IDKVRk4RHhJh67wOc8LLhcnQ7A7teLP2nZmK p/WveapNEERm3UlWsq4+Zw0Ih1OXngnwV54zKq8xcbZ72JV8eaunE71AIk7dzN97EScGx4lYWYB5 r3m6+eh1lTkx4+XIW6Y7ySPr1ZKp4TUI5MEUfnCQuh/d7fCvnpgRWkIg+KnNMDNdvPC/VhC85knL oreKtKe1hwyyQZGKMi/stxODDA5T3ERkBQiE5Hnnt3dP757ePb17+v+rp08OPOuCAXic9bHRFDoR L1jSjsnDwWL0MSEp1sDCUtN3gJ6WfEPS62X21xQrArnuL/DXIvETSA6PqQhiHz/mryq6/Wyvmsax 5llqm5hlXmSDx99a+8AT1laQ0QCzGOQMJpsvQJupZNe4v9X5B1EoVWZbKV/PywFJwz2NwZflpxim 8YgXqri2/YCbVCBODDTQZ+ChHIbw3hFbQfjPg90aG5BoVn/dF8RhmNWcruatsR6C2crsYVdwhYNT GMubvpSdHTwSpG4tZuFk9KrPCCE903mdkxj3MNK6H9A0GBUsl64KH14KGRVIrd0jHYjyNRqkV2yB XsOIGlcuUivJD2vb2JHid9uO5noaeHlt3H0Y6zLSiKCc+9AMmAfoKUSZpRZcv70/1Ov7GJuklqi5 bAA9y3GK4p5BhwOMC3l6hURiyjQDwweGDNaOQbklG0BPMDeTmOPFlKuwEtGq2LNg7ICegHP5YUSV HlAU9nlbPeN+Vro/oPvMrtghj2TDLSWrQv71nrNuCRsUnUYLt8MfaSqokLrQS+9bumv0TrtOh0M+ mwDtrXpv+of9rqPJMQMJIUd5bVh9j3Dh3ZUDpxzH2DS5RQa44K8bdBjrfdpyoYWfrXm1a3bQSWCg UHx1yKGrn86bZYcYPl4yGJjWJlJz0InU2y3JvXt69/Tu6d3T/1c9JRBPCXNBnaMao/pqMOJZMb3V k/0hMu70LB+zL/bF6QFsSyRDmK9s60+xRMvWVIqMcsLZt3rKqzOuUy4FVuk9kj40i9UlU237FaIp xpPyxzR4ao6dxO81TyCKEMsaBEKn0w2EqEshO2IylEhl1vlXyQS5s7ABQsOlb/X0GcKFe1Nlo4E0 Wk9NEos9FjDvNU+MgbQ6BL8cp0YogveZfmPkjX48ykqCRXUWKWkVuBfB+2se61s9rUomIP6EZjwJ /hqYZD63iPmapw0j66STyLTiVKgR4Rtdlu7BHEXeWmFb7cxzMf1Fszyqd0//rae0s9UKyYFa5UAo p6YU6tmlw1HHxTBsSgrSfWIjJH/2fEBPeGSqO0SlTAY1JNROEjCGNj1yyUTQQjV02Rt+4Ana3Mu1 fOIWTbcCTensdZf8iCV0gJ5K2uvBfaDChtQMj3ZMG8KcAD0domJ/+jUutWrW7dSr2POFacuaMYnA OyaIGysI10s3+RjQU8tGtmAOG/QwhRds+nbR4Vc8asSD3k0BFib1kVwhp2ggE9d51/zA1q8KJU29 1NaU+kDnx5FBWswqRdPLvIutYOEnE6VM2v04CHCzLSqsx3HmMmlEre1x4s8qg+4U/o30Ju3tgl96 xkJ81lsLmF78dTh2dTXE+Idocdfl2/2eJa3OOXawiVrz3WsmrTTjeHtyWAE9CUN6825kG8anVzB6 wN0ffRlJ3SlJJcK9IwyPEshQZbFEaBQH0YROIN++1s/TtHe1lKVAU1XaIZ1hsX/Y/U4cLquVHufW JZioWmuD35NZ5zTUKS68JSlBmJYQb6SE57S7Kqd2aDdvkJFxBYq1R2VGaNc9b3KZ8MMeV02MaSzo ThEf0JNa6Yo355eZZrCFM3l4IfYvlzixWx/LPYkvVyjdv51GKAP2j0lzbUnjjtEuxjkc3r/X6Hhh M46hzVPBh1+Ov+5AnCcoG2uEqht7MhaFUwVplWyb/e7pbZ5EwbiV663dSSi6p0JU3Xg3X/OEmb4/ DL6FkPB7OT0JqVXToHFZ31vG1pgE8+YpWjB0qd/xOAHJyohm9DfQppqIRW/HWz19s2z/rEa0ytBM yr3kaOBqi1sfPNd0/7C+CeeBgVdML/Capxiqg9Q+dzcvu30gy2Gto8MKyLJmw1wHDzjcGlRNPkmF t3oSy9hNXuBmqqwQdZIKxCBJB8x7zZM+x6kT6nC0PeIZxw9BSSPqvvYWCJ/RZE1eCl+5y9rboH/1 JAgDf2wZsxOBGJW94OT5XPSap1+JrVkkA70J57Sd4Vozk9Weq8mL6hFUQUSISwNZ+DI/3j39t55S dcHO67ZhzbSSgsTUeNNracEQvbIaRCJ7LuJbHKccfgJ6cvvJRW/NQmaNiJJjXR4K0rx8wNruwOKO TauEPHwp0MvBYf5rm+v6IQI22W9YQxFECNBTarsZ53ruYmfEGrjKpT9PNKCnxXWekt5ny8D8aoQV TZOucmaaKjZOmR10Ip8ALxmyqb/ec6okdGh0otg5O4tRyor3MBHqrHCon2yC3WipWLQ5IeiO74Cf npav5zFR7tb/3g5mA12Sl4dPNqzqZtaAW+ej0XykIA2CejGs4HWqOYoqZ4fbyG2RGA1auf/er8I7 QjeccV7uhM0kHONXz4oujKN7a6FEjnhAOyiMOs957ZLFAPaBcTYayAuBuMtopzXtJthtBgjQ02j0 p1/I/vpkcC5bSbty7gs3fqAlxr2GzyFBpDAyPRu2R8DneZ5CEJg2NU9fdwlZj0tSUmZl6PH5Kpco oDNFTdR3fetnSI2AOfBQDAQbS3hXqcet8tA4QHngC7nWaaTmCvG3H5hLeARtxgJ+Qlj7Hx2Dv0Sx 4RuD9enndSLFapndA3qSOp7ooC782pehLan1WSCDwi6gWumqjNnskb/5zjfhShuwfyGG6Rl4VaHu 012pvhqCA4S0cNtgRtzcZhww6WUjLawju5LG4+YN45fI9ovbdrA/Tu+e3j39n/S0pVSzO1zGNv6b w27L001HcXOZhIg75/pylmCbdA8fU+s1T+AQYiIHaFXOucjif0Dg/U1+LCmxHBoAbd7ATtV9b2+I +VdPS1QeFbg82XaZ1p9Sdq6QVl7z1PZ5CHNfwxmET27Wqo58/7CNF70pf61tNjffCiFHe6L+Xz0V ouv1jzzTdGzR53xQKfu4/VZPOfhdPC1NwzEI1MJPSMSQcoq/J7uvlxtJxxBySoljzbjf6skzpS67 7jTOl98dCGpEmlWhpPKIl3Wj0mQVChkYpIaw8DVPYx+qFL6VAq1oWj0QV+OefQDs/93Tf+uJJxDs MvRpr7Vhn5RjIB61TJemm5tkwI6e/e40ta9GJhDQU/WoPIeq3BX8AVmhAnpvIVW1tGbXzNeOAzkh SnE6jZYDIPge4IfrExnDOsXbySWIp69MdKBoOLJk3B4LNVIWmbSmws519k8idn7xC6g+bXlP0bYR KBzxWFOBy9RquIs2C5d/ytzlUjj3wiJN5n7gNZWuykae3LRr+gRWibUMxcD89Z5TkyT4KJak5/JG i8rObzQLmSPqs1Dpl+2icCpSk/+nvXt67qt9wwUe22xsNLZto7HZOI3Z2HZjp7GdNLbNxmqsxg33 Pv525pfJdN7Z+yD/wHVyfWbWM2ut576xSjfv8y5BbqJP3ejhH7Ei/nAd+6x+pvtoRuqzFfHUTjwz Qe2DuBIg0taU9/gN2cVRcGwgTq4CwiRfA7GvtfsaviSdgPymYFL9yh7eZZTTDj1YQR0dMA/QE6aT iql3j+IkJnqwfInXpprxsQapXmb55RkdJRolJF0cwezXs0HOFcsozAY6Eh4lTEBPgxRIVF1uSY6O QWyLhZ+gbwE9UfPYOm3ldW9KTUxw/8azxT3wisjnA29fbjIvaFvIx9ID9FRmBjJFuA8itl8NDXKC DFRDRq4ta9/CJ/vCNmR4bQwCxcDD1xBpPl/wiQbV3UXVC10chBarupNZav18Kq+I9M5ybIAviQMt cSFrvsaqYXduIO5GCjTV5IO10k8ZJI3y9BG6TNkj9oqEBT4/VpoI3RPSd0//25NGLpb8g5bUBdyy lx5dEIR8Ex2rvnhRb2D/w+Kl5y/rv+aJAXrCtyuA7wc/hD/hKNQMkDNK4U7bZNZL6hoGXy8gajY4 y/xXTw/usNDUUAJGzjGgjNjodzCveYLT/ZY5s1wpoQkb2Icf71G/4kNg9ziZfJhjyxZGaNHP/q+e qsdhLjZ1Cme6SmvOey1FZN/qabjcaRt1S/+q/Ms1xSSyX53RODrBRyRrBAQI/Zl4JbG7t3rS4TUb 4c1eNPHB1+Py1avTx7isRv1MIqoegEK/EKviw/Capy0iJihcG62dkXLjBE/RgTLA/t89/bee3OGa YzTdOnoy4Rf8TugQnJEIU5ImQomYmAVAIekvDxkBPQ11JMBh8cAval5vsXAlQl3AsyHmziHNn/mN hEmLz3ykUszcOezpzK4CbvDHLB9SZw7pC6wkWr6aSJNqsmBToqX77XKYQasDxwLcBkcFQT6ZyH7o DTLrbKig5Ej7YltvAia0wcyNlj2AP7PYb4B+Hjy6v1dhybXKRxPkkkVBjBTuDOjJnK9/3IAgfBmx Zj7my/Tp9Ah4LXTOliUHiZ/nSKUwYn5u/icFuwJFKPbNLSBPsw+ekivwxYYjA7Yh1j1mo+Tfv2Wc TM3fWIUClQ9cBoKR2v36HYeSlOPvjUN12lYpeafJegL70XfRmxJdg2d7UqpEDR0wD9BT1kjYRAve vttRd7bgFH+QyOLYVLSMX9J2T5WpqQW1l7qgp49NI8EdqzSTybZoyNU0oKcBZ9zH8+wwSPuf1pDB TCd/3ZcS9q0Y/m2W7Ku7E4eqybvoOgcF4b1DSqin3wxvR8QTaA7oSRNV4Pp0vUJqMZp05n4yGYiB EZNh5ZwCOQrfwE+oo5Ci85pVtXs0pS8gCY8EyPhaEvwbp5Uqv37K8LVgUhypwdPv8pc40jAV2KeJ 5cRfabgpC0SMJFuMrrFq/pht0Zs64X1JZcnM57aFEw5NH0/DP2++e/rfnorWL+9C8Rqad4O9dG2K d5Qv99qNLRxNPpetanJ6utn+9b8voKcuJ8pPXsRpfnB7wfPEOUCCQur9je7mw4u6IlByyOuiFf/q aQmWjdUQaFTNsX8FMXaIlvM1T/yzhTsRrrgdQ1BmlDqwMygRHS8K4cPT464rYL9+eH1D+ldPEcvE MsBd1DF/xL2phvuk2t7qaYEF+1BexZ8qLfIzranbzfKc8FD7ihNbOMTy+DkJesDzWz3NT+FdGrU5 juLpEEh9lDwInFPKbvLJ5e7oOixCaIDspH7Nk4pJPNUm4k4j3+9nsYdIEEfA/t89/beeKDh+gx7M Gdh2uXok1LWFS30HahijfNK8KWW1owIti2gD9ISMPfA7edHY6WDqNjq9rVBVEpZ0u+r6ixRwFZBP YkaKxi0JoeLLAccF7on8vJ8rqBpMXH79tNOmI4EHuGBll9+Q5rA0joJNIMSqW7vZ1Cr3/Y2joqUZ RkEP6vFErOk9N2UP4XX3+HPdlacqSm4J/WS7BNInGOJFsfXzF+ULpn4oPEBPEUupzD0bTy4F4ml0 bHoQyEgH+xiPp8F0n0BlWv1r3TxLBm71fOjvV6GjyjzvY4CJRuIo2qUTxEzUQKDYdc8CW1i+U6kf RH3mhhb+UnIvSKYlofozFWeb/kg2uNAK1l5nLH2eXu3RKZ9F9uiOK+x2KBowD9CTioNayeWWqjxK n7Yxjks3U3vV/ilil8mdhgHP2bD2E7TI0TrO7eLD5uNiIi/9Lfpf7zOJ8diVzbRstv1UYgn25tlf AD01QLO2AZvjaxn/7rTV9SkGBsOZP3cJQoRcCgfKUIzSbQP0tNB2stSS79oyArbJRkM4t4aiyc/0 1cwV+4uNmV3wQCqFr/b1YXxd91eLaO4UtLt2HfKLwzohEEQwnNboKsRgoZkvKNgd9mKzHwUUsXRw 6/3mRWtmOqz3W2n6kuVcM8T1myxr3LIbm4mknjmQo73LLkLePf1vT+e90JgQdlYvoqstHxJl4NkH f3EAe5GSH8PNRK+2y8XLv+ZpFtbGB13DxjrRP+72pdqvZEj+6vhkOlWYEOQKD0ZnQulfPX3Z9mhT wSY9mMfdnOxhua54zRPeccP5Ybe3qeOG+wxL5hSGdx4Uc9Q2g3VQ8/OHM+oI3n/1JFpxc/d0ec9i ZjX2ndnsKvs1T18f/xxPx2mT0OWSYw3g8cG3FgX0/Bn3npVK/sm4egMRh7fJtZm/cZY6ZJTrUXYc KvRWT1MG/IxLv/fFvzpa1Y32XBqHCxbi/Bi6HMNnO2VabkzKfs0T788LoKjSLdPHO7SQkdVcXcD+ 3z39t57soGw/sJPHNlN0KD8aH9virzJXgaAhqgTupTUYMmIdCgN68v6pRCEaLD2hiW06gL1tNKS+ MOA1HsmxuxxqR3KyLPib50B7udme3tBOHAP8g+lHsLhdSzkBOtnDsmSrGaXoCEEvdPYzCyXwTc3Y jr3kssbbekEGcqpBsqSZEBW9fiSa+Y8XFmzZBDKJNrFk5p4jhVejRwRGdjhy40Q2hkahYpU/AT15 Zxbq0j3BwbeJSntrORU+8tBE+Yzoe+IIVOK6ufuCkqSHLkiUbmCKtgj14mUJxbB6P1hTgeimYqAw 83gdZExrEm/zqiOuLCaRdK6b0tFHzs2wNacwGcXZpcqjrLKw3uR+Wt1MhjXYezjGrZtzveNqBswD 9DSYvYdVrMf1qD/nFlBK8TW5k3zz6/ziELgkGFBOM1foDJwfYovhWJwxuHYZ+tKVcgmgp/xdZZOz dTz7a9V2bbu4/o+AnlTpA6U41bJs47QdG4Al78B5BBT2sKnPCOe4xVHRKxj5AT05OOIhyN1kpWAt 6kcvwl2kZvhkIEgdYjjqTz8VfdD94/bFX2leVUMLzXCt/J5/5GITW75FRYPXd7IohcqeLs+qO5nZ ygWbopD6BUfJFmkxT+jGDFrcIrGbrZ9K+0qOQKBCX+lW8DbLfUFIRK9zi/6M4d3T//ZUfgdK+Dka SabQG86RZjPl62IE6oHPzB99AV3nrJJFFY3XPI0N6oP7nZY21AT0zxyWbXgJSo+6I2DDGjrzv/Ra TQEN/qunboTOxpuksWxZDSS9lesg+9c8iVQDdyFmFjFh1gjhGlj4DDOQTdp0M2q1SuxdT6A0njj9 q6fruByapxpkp3IoNGjo+DPKt3qqflAoJCmJXVvo0zouPKHfLIl6lIr5AF3FP2uH9GyxL/lWT5OO 3vwWdEeOg1bHwfMj0m6UxjHtwnhiMvz9u3cKlMwBr3kyEa4DTr6K7qXyh5CoggRxBuz/3dN/6+mF cKvA0A+E04BEeJmudPTecHWdVBnJh6frjCsgAom2EtATdHJxCpZb7biZpoU4kjyHwsih+L3G8BK2 LliGzYBeP3vuworhM1Bw+Sy11DxCpVQSfTF2dWjYYo9AjLCfnuRTAi5Kxt6BnJzdXmhWTDdQxNNY RUWtjLLsPjM+VRUbXBOvdWGbiXalVGmcnEPS2SCZcL/fHwnoHihzyAnlgpATCzhAT82Y9DVO6F4i IlfWV7Vy4o5KYU3dmBvSSS3Iuh5dT0h9656il6uuI+WtoW7/N+j6GfUMff0iZb+CcvqEtB7YUpmw uigCpjJKAPWDsySkdA6OoU/JEcRx1oKOP+hztYcI5u5aNH8JOMSq//cgUuK+nM+AeYCeoixdw3dr AqLrPRkc3BlBj9jRUYdPeX32H2rdo5x/XTdwu1YTYhQYxSRd835cPu0WBvSkrUntrbDBL1bQTlY/ TbKzDOjJzJwRolDlzGA4/89j9KfjelOyj/5cDQkjFeGE9T+tEIUBPaXr+8H2NzK+8P5BS6e672uz PqYTZeLcjgm/45iNbBiN/tIWT6DlWwV3iDzFi+7rxD/62DREeBd6xjosXtwYBZLchJuKJ1DG6bPx dOLLmMR+asxleGQaLU4gTgsXcVgvy/FIVnZgIN+4M40CrnFlBtf97ul/eyKmlRBiz6SHO8Xdz4KF xmbEt9NIvuER7Eym+d3pcTc98JqnuC2uGb+Fk7U0DNOJhoUKsFxzwVuFcc6kmDabppfgpft/9eTM maPwp7Wa82UBjiDzI3bXa55KfDuxur+Dwmdd1DzoEqhuwtDJEfTVjAk9f2CoFR/lOP9XTxJS65/2 Z+nLIYFHH4vQSujf6mnbQ008iADS5bL+mgmP69HsaUyCEWqry9XHdJk4jElU9q2e/mghJINF2f38 MVbSrseeEMzlnuQwVxxZfDH0pzQ9FbnmNU/LFeECh+jM9d39HmB60P0CgP2/e/pvPck9+xbBYE1E mTlnNGooDj19sy357h6uDYF4zwXh7GhdBehp3QGFqMgX0s95Psek1FGEmCG5CxOWXBQiEQ0PvmZo gP72WrV4MNBIY1wKk6TxMVyKZFDiC4UId/GT49I0wjPOrU+tJuKqXWOnlZ2PiwiEaJ5jgWBYpBaT 5m7/Rq0argS6LHGxVIFGoD3Z/qYMnTtkncsxQ6PQWXcdd+caDtl2MCugpxDhUCFC4G1anTbzxmYf wp8KJM+rfURTIJABSfaxu15E0gZcgmCtZ8g1nxp+rBGtcmOtgGLr2mDT+cm7XuVEO6A/JPGRuUBK CODykYdzNUzaluXg6tgEL5cZGU2HOGGcBjhYiktHWLTy1CHiHoXQqc4C5gF6kl5rC4nHfLYxRxge /DhrW5iGg3dZRdY1Eyia1CitY7+FN9abRaeq5ELr3oO2y2tNDOipynLwSEKjdzeHOACt5ad8GKAn VuUS7CLfwAJZbt8QDvHzE1NcVXpVqu9lAda3He74EH/dR3CIHMLkoFE6/TTUW2o1kRbATF/rZObh SK9hqPNZKnNk8lFENWCBYb0IDOTTLjd7nMBHuQ9Onn2+vWAuZbpbY9DiZhdtAb5VWUnEUu6hI2Xx 2zlpllduzvyNm7G4lsJiov3Ycsgfc7b9zEbriPuaxDkJ3j39b0+mWngONAGZhuMV/hmKMEqi7Cl1 lrTuiakPCfW+JBQ8Q695UmvjIe9QgFQ4O2bJJbVURuRnWxQaEg36IS69YV+MV3f1r576u/3mTwYV 0DaDuyhSFdRe9bTolUfRqT4XrVieUmCfC1XoTp3Yu2R/5zrVKp26m6yK+a+ecBsTkXKnn3/DWg5u yrEieLzV061lHbq6pM3scLntnYj+vUy5BmITdcLgRplYhNYgD3DtWz0hfzjGjpiH/jUI7bysvoQX IhO3kLgRvLcmq6M4DZwkbfWap0qqNs8N18PlPfkwmXB3kjDA/t89/beegrrGUdmA0hxtuJtCVv1I lfNbSnbr6kdM9u/rUhO2iPj+2rcxoSONp6h4ADpvRRZUMXYof4p7lX/uSYN1LyYc2VWmkLfFt6rw NUZY3rk320f3o7UEMsMulOyzk3yIHoa8SmaIQvZGZTTDaAEbltTBOFHKpQMbW2XBWtlSG3amyRwS BreHdbPqttuXWr8DmdUoGPki56H7u5VTO1aEGn65c6D4TUBPweT9VVgdqbEhRv63yVinMhpRZsWW d6JFBcRFcHvgXP0DEVfJWmeVLSk849cG6mvpqyqHcmVAI2rzyHTe4/NNRHJdIVAH+MBsyMBqepaL 27GE8yncgvd/Jg06gxfdsS2I7uyzJqey0gnAny2z+KW1DADzAD0NIYnVIWEYLuCLVyY3PkFyY/bt ZSSON9LlP+sUYmg01E9PXirg6mHpnCrp60MfJxYCeiLBm41zLK2/Mo9rTtQaukYF9ISm/y3Gn2tF NE9x9peEQZBrbGsKmtWOveEH9R4GBKb1AUBPoY4nHDJA5J0GoXwzVEVVkcGey5ooWDwrT1hwVlNK pV0z7C2d8ZSRBJE15whjrdKSJlloNn2X3A3tTiIJ0LALoOfDO5h1xTlCVZM1xijWe2L6iJpdBy45 NgdFTJj3H+eUH7yUj9HGI+Hbhpxf5E0lsd49/W9P1TMLu9JeQ4ESa/masNhc+vrUNQ07vCUvadWe bQjNBfmvebr3G/qRKT+W8NWY4Du9A+m2lImpiI/C/EnvWd71j7LLvbd6IieKv7642vmWcguKM0JG A6GxMSAt0tP6Z6zbksvALgbkNU/qCTdFJ3feySHZU8iOOcNGhakpHWaXi/19293r8z2zx//qSdUF Y98eeohAvIoV2YEmafatnuAY2FuZ1kfcGGyZtLNJWP/w5ZGl924Zz1vVogWm0h1pvdWTb9e5EDyh vwU8ntEA0fxEgGXoumykkgk913cgUsyyTZTXPGW6N/UzFUoXES9ilePpBZwA9v/u6d3T/0tPMsFc +ej2cH3ED/cv5W1PPx+odFXKLV9a2PUVFvqbdmX+midm1mbbq/CEm26OV3gypSSvVoT9bEz2OSKy hrIKpghBe4dZ09ZFZWwKF3cLt58yyh3QUyN6wBq+bvDjlcfLSoLLOBmgpw4I7+oMo4yCkFrybnSs uFsPJbLPOx8cmZ2GcbQjzRQ9AD3xAt2fj1hFVBXgyumZ+CWr18qRKtcYp2FPraOT3BH2/TRho/91 hUOi09JyAf68uN4LagP0LR0dPzhn8IU/HKJJx2X2qnTR4OFDu+5vLFzH02zF/Wy+Z0kKdKgEV9nn rmbJaAehP3+gvycuXOw9f1fEWiHym9m5oZqun1lhtOM/yaLsqUR7kFkT8Dzz/UiCeYRICegpNZVd HaNAWmGVqthoB9rmJw0xCj/FphRVnRbhC/R55+bLDCZ8f7RMSdyVP+SwVAl6mnvSL4ru4F2z1fG4 vT1RnInlj3LL5pUmQZ7fujrRaTtA3NtgtunTgWDyXC+kzNeqHE0vRX9GWyg/14NSd+FkchPnTkAv PtjtfXEsY4P7HggM6Im4NDAQwfwINAUn7mrMCKGu5xOxJzrsp5hJmCP6Izb+VMD+/etCgkjlaF/U U8JtlS+Wv3AetGg19a6MUoQi5tsO0UMgbaeZFcaoenAQQfyS5moDe/f0Nk8eiYP0FKtBt8L8/Yl+ l0tjb/VUeqomluKcpEqONhNiuKjL19SwBomOnEz21DxDTqvoTfJWT4OQaBktlbUzn5cKlSc2jrts hoJpG0F/T+58wW4emAr/9Jqn5O1jjnjpPdxBOVv6VoTd+tBPdC5xhSwIo0uwA+n6qdJv9USEATVh 461i7jWcOXRIG0QPmPeaJ2UjwczbufhGMbMuUV/erjTCwfvKhmLbHfV8mADfPXyWf/VUNbR8/ryO OyeADTRrRzvr+JonQrk7XSlHR1w+pRuGMju3HZrqPXtJ4ucw75HhM8o0Vbp3T/+tJ14u62QfD9mb 50n/ZP12ZQzrb5JL53kdInHRuw1a2RaggJ4kwIUfcclVJ3Pow4/xaeScv9/f3R4Dt/VYhG4WcK4n Ynf+pLhCcbFZI5kQ6T50CpAB9HR4IFt7o167SZnHMtWGwJUE6GkBBY8tRcszS2Dw++aVDlA43zOi Oq6UreZDIfnEQw1OP6AnWEdxupKduYI9vYNV+tkNVjVEmUOtb1l0hcI9hP04UoWycfZ+OjpgXcmu SgIjzIEvfdnhIU863R7l9eD7GwF7EkFDdZRq6ZCBnXZ9BuoeZyyb6/C/f50O0OXsK4Asp9m+fECW Bl9xYaJ1H/H8PaX6Aoz/ItiYwcQfx7CNriBIPieH5y87Ktyp8wFJWByKQbe/B9ATU7WX8VZzNV4U Q1d9dFu88TWepi696fGSYQCZLMwxtrbm5MnPtKrvVKnKsfssph0bETdKdj/G6ZqnQ4W+6qwVrKg2 6irJc8ntVo0XLl7V/rBRq0SYdCzbJD23+SFT4I1F1yMXe8mH7jA7WBfCy3Riu2UhqcKZMeP34QqK 3iLCxQIJ0FMr6inF4IW8oZE/v5+oHJ+ny9hwbU5hqU81E5yLttzLHmD/hDXjhoUwtFeN7HPlGDGo M/E/5PLPKRK6A387ZYRm6Ovym1CuTHy7r/b2gvP51Ej3/O7pbZ526OqUVnFg6I88D+Ja9Lea3urJ dlueRo5ZDSSTIMS+uwhLXCSDjagv9UxRiqY1IudOxOutnmTsP58NIGvDccbcWRHXcBAZqapu8HbN i8WbylWhfGBrfs3TJ1rnTR/FWqV0PjsYAzUj26MVkssBEaDyfdUL+73EIq63elIyQzU2dyA30s92 Km4nGY4DzHvNk49+z3YfTRubBAiFslT0tmOCJVoeD19NyqmtyOr3UI2/9pW91ZMAr5dPO6N6F74D LHF58tTZa56SRWzuEGr32zYocrCTt1/2bxT4MVTM4z/xi6NKZIglV757+m89tc0cRhmCbdUzoCez fn7JtpwhYvxVP0p1FOotoFWjZfvXebwz8Lc8w68759TxZhYqTP1pZeGRlRa4XGpxND2amS9k8y0F cCbc+9ab1BQIQmoS7uqAnoIN94K+B7OMweXeKt7nhv/1PZgkgbrkcfiTnZ6Y/gPbKNo3rWg8Rtce wTHPEFxrn99bVoCeBFDljxz8hxtUqzZr18UpyPfzd2US4a8+N+M7CishqWjn51OrfVUg7oPy6dZd mtAVBN2rrV5Jruyh+aUXIq1/0trSHRwlqcOz1jlYc6Z2kvcLqmaZpZ5JmHm+1GNh9BEOnK/GIYHL n7wMblRIgfW7Z6QwDKUfG9gL/DF3Zg1/n97yVMZ8PwKKcRE1vulnhyt1N0BPN2JgidzhDcOzumTt DVKQhbFBHTw5vIORCaCpL4sGut5t8Gkcj62xcQp4MFJq+PlqCVJffrPU3icmReANCZdbJeP3I9Rt 1uE1ZeCc9ZMs0O4tP50aiUdQLy6WHQsnUsU1RKGhQnBwE/SwlB/S2/WZ7xdOuCNne0J0bwSF/1ga HU/7a/5TxOMBzRYLUH6RoKJqRra2p5lY3vY99AvKffIKyIOqB2D/MHnsKCieYXeXGqjhoPTSC/vE 7nB3xuXU1i2mVWEp10N7PWCnNCu+HfZsUPBTEptB757e5olQjdO6kEUmKHdudrKxK8D1rZ6+Gm0j Et6NYZqdXhZBDNAbnTnJY/oHH2ev59bkPBFa9b7V04arVusLijUTEnBKvFfkZiQQmS6O0/Ixa6no p1yvxzic1zxd9FQhzEYQBwhDyZrMwBFkUoUsnMx6eBOpAjWv/8kho3yrp7IkaIjxGBdJMwFY+gZV aDfAvNc8cZ6h1zMbQwyiz3n19naud/ABSTwdIPHEjk8Gq3JmD//1/e6tng4LBaNPQ/emKkwhwHjD iRdf88RhX05KGrii+qwLxJLu/+Gh1pqBOUZwkzwpSEHEE/8F+93Tf+vJS2Y9smue0QmBjua00zje 96Uazu/JNrV2kK8xGDVR6K991MvI5xNClamlVuO4T7O+k5QqZKr3OgfIDyzhkUYvLfaTSu3UL3+e MSK/F+Vc+AynIAJ6oqN3DPvaGL60YEp0T/UB8v6v89NYGvr5Ch8d8AfdX74qAgjA8r56g4LrIIrE CQ4cn6clAD0VGuY4DTL5SCwR6HEspKuvJFnsMwi2F4QpN0LuVcBV29Vt/gC5qm/AdREa9A41Pv9h BvpLRJmqZPzCBXWMj1rUnaGr0sMM+Dmoh8FT+NbePT95+hyx7E/GBSx2+0lhGiYl2uSZQM8KVAMG nSxc49bI6qmxR8eSYIFv0k4sNG32U2e7xUD6LXKKq+TV4FdFH9hNQE+t2TTGjzZZd1/pe00OM2BY 8vwizEtywzAgx6SpsCTLxHkONTMzcbOxpU+izTv9y1p9fifWwMGxv8jOiz9xpl7DoUcOnd8g6LVY iRYYAiOU2o15Lze027fsd1x3UHk8NnJuYYDcHe15qzQWAFUudkyfP2eQ76tjaoMuQTrirh0vFQF6 AqqhIqGwNY0OPa5eQCfvCmFYaxaiRprux8q46Fzn80cG7B/RCbiGMEpn/NuRcvyvS00j7bCvsv1T IamkrcK96GB1zVpZympO5y/883W0LlET9Nnvnt7mKa5HuIbXi6fE0yoeHHXqAfitnohhG/IXDxYS wUfx5C0rgD5Y6/2JAxkQndMGP6waEoGaeqsniZd+J85rehMY3lN6/OcG/fXH5TOGJrr5FSS3hMxl 9r/23wF68hqInzrPz+oXtJf2Jmi+AgGF+Z5zv+qfbB8CfwFhyen1Vk93uGZPxfne+poR5Donm1p3 gHmvebqXYg9ra5I7W1DLg77nS2gLoi2Kx+Ufb5AlNCD7jr2+81ZPmXC9KHTQ9maeIzCB6acFT3/O 2dOu/cBZdYkx9Wgn95Ve87RLRCnhpZ3haXS9+REDOb0Tx7zcq2P7WOyb/6ovr7fc5rund0//P3mK PHS50EEzZKtFKAVGCtS+maO03LysJWBmIOk54OTSOwb09PO3UXDKVES3z0RvJqXzb0NZ8z7lBlsi 428aGAEnuhtmaT8RTGN+oj96OtNwTabVUdF54KJhzMWQORs5mf8q+6I5FvrQP/n1pTyaY4AN9WFz 5lRSFk2LpkiR1+aEiUZMGPg+VzHHNaQ5ErV9kQLei9pmpK2X0bidM8wHuD3LjBPsENCTTT0WVF4/ 6len2KVqrjvWUjdIR4iWHL2Qc+9vSpwtLzPZF4OsWKApKHxcKnnyDudJjzhVa75oH16yHqKoUTgC HmQeujqVumB+sWJRm50UIoFq0lWuJWyaxzNhxpP9iFj+uUeDOBYJPSabmoqNjIo0BAaYB+gJBw8G HdoAo4HM8+NVa3XAMX5UkHcrzI4YZfOvy68Gl+XL1nBikkl0stjFjvFeM1n9gJ78JeIZO/jpzwQ1 tERtoohGAD1p8K76HM1ApPHpqJ38sggRzEb41qCpkrLM8ijWZ3Xo/Nf8J0xIU72KHPbrW7AquuW+ QL0lbobTjoNHTTNkG2Np1hyYnFqVoRfnsRtlMM2BTS+3j1idz32nx1A/0s2+6yPKL3BbhG49ej3A PxkPfIuW/0BboOz7A25w4u4LFK66u0FeibDDGYnbjpWHKGv4p2cW7C8n757+tydu9TVXQfzfILGj UVozlV3VG39KgVLy6zLuyrAujYQwvF/zRHpgcjg16qo2dTBfEcAXgdbsF7O+N06ZkCR5TXVTh4vz r56QS6041b41xtE0r2+mcI2MvubpW1CxhgYL5OXhYeJyAXXMnFQDTUkomQUewf4IsxKN0fa/erIC CysldBzt6X4khvxx6ODyVk++P76Et/KN8mVz9pzFStWpmzlwuGGVWWpvaNArlg8fRLzV02NgQ6qt nNEq1qbp8AN89lriwk6cuS2rZU97dn7Baa/fa55K//QywdJ/iARGoEikko90B+z/3dN/6ympjGdd 7IuLGy1ZD+YBg1HoeK/tAXPlqnAiVtjDB8PMFkBPIx9FJyU+eIdzOrP1yOlSKjjyIWx7i8xT/bDC OR/Rqy8iGFdD2I+GbWxayA4JppfJOc/MFA2pycnkHlcyyi86IEA1x0bw4nz+pJQO9AhRUI1EDi99 BDNhlJ53tBG743XyK+VnSz4VdMgwGagl6qzT8M/sDqdFxkNkMOcfPyaw5r9wAnpSE/zm6+TqB6FA 2JV29KW/wC8XIw9Emv7n0iAy1xZxWoaz6NqBvj2qDjQfDWWA8o2XsXKtkDVc5BKWHhpkt3uja8hU +/7v4CvhaBVp+3z22cjjijF0ZOJze46N4T1eTTii9aHDAhAW+tYVkbtbs5ULW8A8QE9PPMrYXiRi WmR5m1vxncGI3XxFRzZktpCUlzvPVHyR86MEiXv+Uu7deNI/1gILtvwAPfFxHfmcDGaNbuFnJs6T LSwAepJ+FjOaAmo1QSR5QJzimJpb7PBFcEYMeXgMQ/SIknp0BfR0bSKn/LxIczdQdIp2VyKOWBzL nK9qe1yRk3mrqjFv3uEMjL4jKeYYmDERa/cdiBChxBHT8OVl70qtg2pWhA6luVWpdF85BVtaerj4 2W/76CncKW88DgxRFg313P/QK9mZc50HBi0+r9eCyBgIsZ3z+t3T//akKjbtrIzMaqbI00cOoxq2 hbmlJkfMyn51iGTT8oS5Rv6ap4h2ljIzmfuhK1axRnyjssc1lCuo0JxPthDbBtDKCVN/7ed8qye1 1I9fVTCOsRSiOFTvvfKsX/M0ziujj1JULBEYQEWi4bAm6tuVahUMp257PP1yFANbTfqvnohI3DgT jwRkp/OpMcjJySXe6olrqH2VLve8Y1UgsGw5grZXY1YifmBNsasOSk5Z+Slm4a2emo85hxNturmu ezRtNR9PaAiBUOcdnZIz28x3ETC2NvVe84TR5tIMHUgWFGdtkdI/lJQN2P+7p//W06xFmpHMRQrB Ihq5eDXhUGzixo1rdDlWwDQ9VVPnL8FlQE8BlX0gLDHI6VM5Gx4F4uUKTOzIBwc+UXNNlc+7s+Wc 7jgXuNQbUG5jf+iddQIyQX+w3db40Tj00wHbh52oCxXrYLdqMth1Vgru5cIu8NJ/aS6Af8bnWi+c +22IXRNMD5bQfJiLtUigMONdr6vpYlbbmMTe3IauhkJ+qhTbfk3UtwzoqYsTxsIR+ufAzn62HXMI LCipeWmaU4scqmlgSYnzjzxKGuldTS9PWciYu/kzvs/pe11MO+W8Sc7Pqk+tAxScfZY9OWVmVC1J Ec4LNWuJEjTsndicDBhe35ApE2bxYnWHR2f56qgSeGZH04DCPrHAwqMC5gF6Qv9TaHZ2I0TTMbI0 tM+24yAEWjfc5BwxGVAUkkMoKY657xtBIpLEh1gtxop1RtnPDegJTF3yfEidbEp5nLgXl1vir/lP TOnq1liDoCKIz7SD9DjITmHbroz3f8iwM88DQbP5/IABPUFy5o7kox+Z2CXcLeAQamUjEp/+qg5l HNoX/GooiomTophGQwL+Qy0gjYgd1bTYlf56HCaAnMhfC8m1Teds8BqkwbrCBF9VnJ0YFTVxcWyi R2ljiHzRTMYdEU2slwoslYU2n8sP/3NFNcbSsXeczZPcu6f/7anrmVNQiV80RdXo63QBRV7aPoFA LoeVWgXsp2QEs208stc8qTvQrACDnAyLJKs0zw21GeSwHXGpo5fbw2Xd96IVZnj/q6ev8FuI2SwC TkC68B/daDRFXvOE7eT3BI6bxOam1P1HxEg7KdCc7QglQAB/HqX7TJtQGupfPY1Gd212FeNARArM DPy+7O5+qydZxgd+xbLh+EJWHbidzdDzQV2nXK5PWFzSODpcX2Fwz97qiUL2Du7B7wvDyMVzngej sYLJ+FRbfDt53CgniSX0CexfzztATxApssp6bpz4wDYyj7LGySiA/b97+m891Rw28/GgK45zkapB WW6BSm+Th03XOXrHy6OGLY6XGCECerLeQotdJbbyx2fYXzGsSsCxF1c11qhgItooCufbCM0SKRAn N9Ls8/sVLKVIwDEzu3sN7kPkm6yqDVl8GAfJtXlBtke6WFzsbOkcjqeYZe7j4uCJsVFcmBefiZbg 73XzqysLrnKEUy6JOmEgYs0VFrIR8zlElXyRCB0NZ69GB7ZcBtATNsEFN++5oT2PYsWWKOHcvUYV l+5gGxj5blilhFoY8eM8riTqR+A6yVyazPw2INnCxjI3NdOrWOzFcM6gE7uIYaIT1E9nNvcJmBnw lBsvt7mSPlDfaUzGZpVQLeLSY/SMMjKb95tpzXUV/D3VUPK5PQDzAD3ZftuR+L3qNj6hzxNxB5Fd USdnPUTrFJFKYk7eNcPvMHICs4Gm5eQRh6liISIk3pgG6ClILYeOGThqGJr/UVYwbukA0FMvcdka C/99LttBEjx3Q5v41BW7GEsXFabNxCFO7dcQPkBP+mbhK2TN5+NaTvLdWa3WA6oncWVgVt+5e64j CZ8uRnTr3FcsvPwYTc1bDm4rM3/A4JV4RiSO2zZDKvsVBLOmQKLzWNnfuhCLOYHhgiY1dDjNE+7h uRg6hGRYcMlY5NsgmMR4ijSZW4Mj1VWALUvs7wN6Ono+VhrEH1KRCFM02HJySGPnve/Nk7xLhBuI /A70LG7/fwBQSwMEFAAAAAgAAAAAACBw7ncSAAAAEAAAABMAAQBkZWJ1Z19idWZmZXJzXzAwLnBi AXtQ7srmfmva051K0/s5q9VKAFBLAwQUAAAACAAAAAAA3OUgBq02AACgOgAACAABAHJtXzAwLnBi AaWXQ5AgUNKty7Zt27Zt27Zt23ZVd9m2bdu2rTfrfos/JmZ5V3ninC8zb1I5Dfrh2WZsx2a5aRGz H/YEgoPy5twwByPvuP05IlK07oXePaHPPsmxzfbcatnEIxsE2Pt6LAZYV2UjuNbeYONhiUZ4PVFb mJ5+zlUuRfQky3Q/1jDM5tqIWgaA4Ts5urbnei9lZVEFb6Z6d/2WYWdHHckNBlTEueqz2x/KOxyO dfMEUeO/HdHJOVl/CDKlAhSB9IWohhraT2KUDoDosxlGsjjlSqWhLAxGbyV7kubgWB1WyTny/4xn ujdS902HLU4lG6OJsuMurUlwMJRwCITM41ZY+gwZ72q3sgtEOcV0Amxc9s5vd8Ct2rdx1WhIEQ+z HG/we9gi76RCyI/2t09NtNn0HF1FmsSo6TmsZTeJVR94zNUV5UH32xoZN9cqQMTBHFmRh8LiQqKZ /33PSjT53uHsdZp5E7mQ8IJs7b7LZUaEYYQeA5fvIOZHOM/YdT3W1tItBHODLvwxXJE2cYb2pUkm VfvBTWVOMAS00NlbamnoGgt20LV7VwYDuL1EW7XR9YelfpzosNoE3bspzH3xXNIdasZNSjku2ZVh nTi54P/konHwRqYfSrD32JVR8X88DBPwPBZKwcmzSjg7XixnWh9GdkgJxbHJQAmjBzPxL/tkd/vB Wljokxa04XI+KQ8oBikTHGYaJPsbN8SVpkwvqDXzpQa39bHl7R7SZRiw6byImZ1dJHdTEYKEtyIU flLdlWge/jf/SLscjhxmgkVYAsQ3LoMcfvjDf7ocFovxtC9Tp063bPZIsWRAyHdAMEhO+Weu+Znw znlzNRanrFXBP+McoX5dxxXOgpukjk6niifC6I05BFIATajgl9wkvhiqOSJXl2PO75BjYieSsgWN 04W8VPImsOrNL47Hms/g5yGrpROLT+LaDjPjbyXRbspIFuKn8hG2jwUnwOJOlLR8KgFlfM/jvtvY OrVemiHQDcK7nenepfnsptArPFmYZNuwulw/Who1BXjhzeqz67yjoZaTjT9BaB8JxuXR47U46l2p Nn7jT6V6RpsOhURCbpJZXbunvrC3ccz4Oea2gJkjUttQXHIAa6keOIVhBHPInzRy79R1vCl99OSe dA1J7UGAjdkGMQsnuHDxZsDM1tDT/UR4lXTPJhwLMQ32sJ8yjxZ2g64I3mnRRZwIMH2Jx7r0kWRw sGV+vNMqpRO2lLi7Iv8n5tw1AvlOdKBlZgF4njq+1XK8cr0J7Lvors3OL1ZPzbp3TgPikh4oz5ru x3R4/kesCxWKBhLcneS1A74tAhZBKBC9FbzG+5QWtr8I3wW+/tb7xY6wPHhvUNKGS2D2Knu6GAhK lv1DMwVnKhFCUoR4l/edEK6pi24M76YMICHwnFD4vVOVhGdO9HnLRuqN/Bfo1Ng+SY34nsiMl8zB 4Tj3I+xgd7+9OTsRAZRpiL8gruy4E2gH/XEJ1awqo9KwxQL3IRAaAWE4kythIUrTWl53ODYMl5R2 1GcsbxzN58V4NDw2fN+9zgih2pcYik3EqyLp7GtqlNZhQCaKFh4RAXF4b0FkNT9YNylstYlQSP6d 145mNmMlIIRqM1ovg+EYkOa6DN+av1GzLJyv3k4eBGb/6cVWGW6nPNRrscG7XKn2F/wS488apm9J NRVdhYC7ON+h71ndUhzCtEGMlfYcvi4EP18hktgzk2n7uFXKwueA8J00I4Sj3xfAYPiPj6IOotGi 7qsI3xTqDwisL2pY32o9NW7drBku4A/M26a79m9vF2ZoFVG1LTPEs7/ISyT1EQgsO+AgSqe6SOJE +juOb3/37eookuBCTm6AWZa8OKzGPMGLFLMSPCTXCJAta9SkpQC48Oqt7fMZ6PXiO3S6+Or67T2j uI+SQjN6E41YfErJB0wo0aQ3pszCKKGpTNkFKuLTEjwed2QT7l6DP6h4vSyd92kDvvzVRLTHZEiu ywNODuLy2rTKMnfdJGK2JdVIV44jk56KJ1E7mLraCa8NXeOGMlEc3jqRZHCSOuyPWtMjucKXsRN6 HNycc2nUC1eARfMshr0o9Dfk94OuWtRJTdTPfowsPyLAHmpgMX3fBvlIQ578SC6jHMFIe/gKCbOv fDKGfuJoBIum1FSweGuOoIwU82WM/aCzkRFkblfpySY+TFOkDch2NPI3mFaxsc+Mv1jLc7iFB6gZ 0nDpQh7pLG8LnmBGbmRB7rS3ffU1gxsWYGxAXTrWrcJzlcF+IAQSSpHse7TzPqNWlJ1m4jmEb9d6 2FIW34Hgh0AuejfWH++BA/cMESsFyL7Cc9LMQnVauS+IgzTDdT5FOk529t2Jkgheu3rh6akUsjRE mxoed/Q3Bc92VmJQL2ZY6Vu78WS3OQTJmO9LB1p0ATLbdUNSqeHVpY2NEBYtekowDQMK4oahVEAG Xc8VmYAU736XqFgspSKuylIFUsSb3orZfwALFnh+PGkNzsOw82RtfN440mK804F+LEAi+bXoNVlW 50fccLB9r+41iekxu/bKepIAc8qoS1rf/f+sQcdnQaPz+TkZnaj0vxZMj1vb2E3wyCYLPwZRfqVn QTPJxibQAJsydE/mhXDv0RqRa8xHM69C5fel/vQPNUo85OwI4KeoXzoNNbe2VepI9BKW/RFV7Nl2 J8PmZGr9bCeGDaF5fr5wihA1PyVe8RqGC94TysRIoBBcCTUeYHndVv+z7LOiWySQgNFPJNJvjIZ6 5yrItoGRgwXfsGESY8Wicoj+UMoy1ofFyjlqGTkJIKMF8bIHffGOuo8Wx08ZZb1D1Ql4D5veUaZT +AcuUJD5IuHEXLVz4BxMTWHimuldxnetUVRc+yHN52PzwSDC4RdUUngxWdfLp6q7uE+MBYD9nCed 7WEq/n0M93dQS5maZ8KFHq7nKDt5PYs4qtYSAbiCtvUGqveGWV4uXfCygzsWvF5Qd6OpTzmo/Jfd Sb9wiMBIU44AaP8BIx27irmooyD0JCCAGKuPbIn2WIrxbyDljRKzFWbxvJpnkvTZ9h51bjrEs9Hm xgW4tI55vhnnvuoJR39lM8CXkOZ2uzKrsjyyMllkO1zuUeOAObMRfN0aS0an7WYY7Ym3l+4FHaAR LoMz0OOtCZu7TpuC4hnfHuja4mP1Gsa0ib94Ilqt7mOdb3+gOhBde/1EOySlT80T9QfzoiPMDvHv 4QF564A2fVqMBECcdKtNwOVBlY+c7ZSz8egeG9LTOX4+OTtwKtjSq23zrHK2VkuBqBiVe5vFsN75 80yr8Xgw6WCbSIc5myaUbZjgMTvmnSZmBirbO43lOwAyN/qTdcfOiTI/yMbIdsHIAEcHiAm6G2A0 aTHuWVa4x3ScH79Yhk48M0S9Hawuv9YF7LSZSc/sPlIFJl8k+vKFP62ZCHPl4XnMtBAaxZpUSN3H l2h8j3YSXWuJj0MNrfXm4o7GU+KHnpN8RLfNzn5t8+pOpIPCvQn0s3yTD+jsiL1Fw2Jz6HSVdR4g HWdrflG6huMqiv9PhS/9IJ98Z678NKT9+7b3Gy7Ffok8aWzVXkImNb9S+wUaNJXTzaBjU8I3zngA UX0bgxlq1uD5jTUal9hRaX1R1E0TvrGplqZWFQGK8w3xmYCsok1B5LHLn3Mt0T9mGiz9qjqf+OMI usnaFc0SRicj+OK9pprElX1fnG8uGS7ZGBxTBUCutxLyqSJEzsqoIGGA8yJjZPiAWEMe3V7uyIx0 Wpz9trE7tKLofsOonDENE1aidMEHwIVVjouIs50ccArmT4W1QysLou4/hBV4+Pc/mDPImHWQh1cO eA24/NikUmrlx9m/tHR/B8i95suX3LU7+ot6f0hJ87xOLdL5LRL0zNWIcwMc4dgQz2KdukknVBhV FZagghuQ9nAjoFfRw9U8aF8/OhYAtFsyF72jZ12QDEtygUV5d+kvU9AQRbj7oH+w6jM5Zex1CxAY j8lVJn6TT5RLceXNyU+3tHciC/a6+crFqfkteBURFixLoIpEruyPmzVziPnxHflEWiql1WEWwzVP ubnrYIrF5AXtvFv5KOS27PYiuMILm0V1fcqqZWAnHFkqPzp6VeUC81lUXKbEW6fyqBM7cA8dXzI+ ZA86XZAZAQX6lkccF1swmN+MyhNCG58aefw5DPRcySFCCnYxCIJmCsL4NmVw8uo4tLi4RjNlkTT4 N5gFfawFARjm3bBsw/dGTmfTn6JPV4r/dMcC4sFRKvGE3s8Oj8p6rACYyezVU3etfiG7qoiQ6VzV egYTkXlgY9gGMWFh+BM7agJFTtcwo6nh6MZBP3jk4DNDaA4Wa8NpEUnUUffyvwSyV5SVBix94Igu 6euTs/iXPUkrTiRyN3rhmEsvOcnm4lAI7ykUJPi/FYRYBT1M+l5oiQL6J0E5y7icvBT+9qQibyDw CH5ZMzK5AEAjHq/b1Vp/PXPWHJxURhrTLBba27syz/l+yHXwPCh92EoEueB15auIakk3NZVh5mCU mjDiRwiioTDg2iPaERiXbK1LH2u30uUcEB1g9/YkGKyKjIJcIXVtTd5Lnl70nt4h7XO+jrS8Hlgv DT57SjSZ9OmMIxFSRQZ477bKjEWx13ggvdnxzdAGtj9U4LyYtr7VSrsnM6B2BOH61rnIjD41rWJC pGnMKo9Nu5as5A9rUvLUH+GpyapV4UbDaXB00X3ThG4qOYFzC/E91zEKbM9fGgTk1vr4MP+JT2ev kkSUmLQoFC3R5K7HMjkBSgsL5dnpvdKu1cq4qv6qzyDz7/u+VhaONapOWoqCFSsfGUdUgePPOPUU kBU9sZLBGtW/0KRDFVsWvUQSZpOYEN5SdDkq4+BmzAeFVUboT4rMjXKnrBwhULYWTLSAoeZ53sHl 3uL8aGw5y0UwOGXmovuXB3fbFJGxIxuNK81klO69px5Hgg+AK+04JiS55+E625M9+G/BD+0jVG8q 6M0nlFcNJmPghCX8NnPCeFUUz7HK2RKCrxCdNRp82znDhT0qYf5AjUAcLxwO1wC8huy97IWM44Ck gIYZHaCzvGDesOSVyH585SMF288ETWXg7UCDcerws/AzB5iJg9nfcUWjfT1P5UMUN7r8rKpEZqpS TU8dQFfJW++CtKVP9C87/fjo1CeWf/VPi5E0mQkEP8SvVjPOQKbW3sz1g/o5ao8ysZ6TFvo8spqu waPYJbKhK1gobVdMm0f6eoMI8r2Jb1mxW7AYxysh+c/TU7OJhxjpbTI0eYqPiVWbfT4NkSbma6ib KfGIUquMQnnZog8vT2dY82uD4sMo/ZvvZ8ySi/eyllb644Tmrhpi27/5snIz4v/IYw60gZwkcERA Yjihyu3Hzms3KebAitzfQM7DU8RbAJf0M1UqUuuTgk3xLcitT7asShN2iUYrP2gnMKCpCCxKKOH0 JUdXGtcF7rXgKeJ5i02mGvhWEG8DjRSpXNE/XaqPOk/Fe3X0y9PVvv7rj0V4FixMz4Zt4McAkSYH pC1jmWTAe17BS0FqhlX8TOPc3xJPpp0vHIYgs+Gxe/qVk7D2bYCR9S6DBamIWRMlPCmTS8CtBcB7 CR2+Pz01jSHydhXf4U1JmOJFec/i0dsW04WFSdz3eMVHxm/wx2XJiK6Ld8A6ySWiLxS1csDT77aa N/WhkM8BaYA2KngGyr0cxrO62YnmSbieoNTQqTU9CM5N+C+jg95N/oLhMilGuJfRI2DAgaHwxRsG BJgD+yVj6XwsFRm992byMP4TC+yT5z4EuIEJ21YIhbpPX1QIqUQ2/86f5ONKmP4ltxK0epYfi7Cn qrwn9IrZUJHoUKywbQSoIDRz2zq2PZ5BGCBJeESrvi59GlJcbEja2JGt3flDWbJNpbYgFhop0lYD ZI35dT0uhaV3Tmv1kO8FjuFiwxOl+XTns0GxWSh/VEHdQunRVINRAvmSN1wWsBH7rr+KbrSNxSj/ zquwD100H62C4GjK8FOlpbxWHWAuThYtlI9gOHcInWWM6lP0S0cSarteHp0Alutt4uw19E5aIsHi IklYO8eTxZ+IeEZNVLA8BMhqWRhWZSKWKot8tc4sP0BUU5GSK6RDeumEWnJu8wfthWrCLgvUQ1Mz 6SEP4hHttqGPfN8HJaNekNBhTfDzEyCdaCqTjIX0xIR/912ONB5vFcsq6VXnj8jIm8Q0S6vxLc04 6aPiqJWk646TUsi+qw8dSv/pplVdTGdbRnLJCB5KvqHeaffu3XIjYYBwoPlmrBawXDQeVDrVUhVW /kQGPW72Karf868Edd88Y8gyFvNnOdCfuD69rkqu1oB+Xh9b91F+ibCT2DYOLHVLOc27p8I6aMqO 4grGx8cQNj+jJWdy5xW+Da7XKso6ec4wj3emFLx6cd7CT0GPtGLqWJ7OMBnEtCpy6hNig4yIiUX/ NE/+whbqR0r74+OEefTseYoFW74Q6P6xGD8xMVtuxE6kEC75PH8eDJompBgnqRYe6ydNKG1EFL21 Hd3FzH1N2l1/9s6WBeL23z7a6jQIg9DUJNHdVuggtNzBoON9dwzPMvhkmEb8RonmYiJg4j3ZLXyM jCJIBkX/hZ7rb17YGui0IU8f6MJXyAQIrJtlzHUbtB5lLLFcF0lE1kVmze37PkgVNbjK9DR4pfZd 7FeohkYds2J1vdJ1eLqN8MonsZV9BMQXTXXkxEoF3wmFnnYLKXWTlQw9FVlU6rpM91WCnSSExJL+ kCqXrJbQffB8CsVIWOTP+Tle2pM4FZoTUeIfKarlSPuCL1LUZHnYBfbZL3Br7k+bqqXn+6YgplIW j2MQXHWHN8/Br0wc0dIc61d79JZWUoplb0yiOCsdCOm0j/LOlTaAPQPhOhl68l/QsufWbqjEb/sO l0eB6qYwuqcezdznVTl8qNaWqpJE7gtCx846wfQi3SHKvvYWTgmR+3ErdZgyctqO4MR4izgRfBMW 8PklshaSxVejG8RtZAN5fTq0Iyb+p4uxci2L1RPM2aTs82jVKMkaMKvKl+6+LBUcIfkiv+lP9YkV U3GH4syI04WcaaRGfCIgOG5/erz8yZUzjNsDo18aLnLJ+kMhx9PCYUMAJs1imI2HcMBhDdVcvolx QwHH371s4rOiceWEv5yYMyuOU8xxpM3s8wv43lfur7qHPOZag9hWok1yUqxwJcHOvIZxhh9Pj1UX TwlQOFgua6iyXkwqwWGvQ88xVSUb/Xzsffv0VyBXnY2Q+SN/hsMrvlgb2L6HFuRmsgRlFusplxks A2V4Bg8U7DUXRBI5EbL908gbDVBehIhW3rCjT/AsX8ydJ1q/kMDo1T0bkiUfTWHgfWeqBS7OesBI qzEAKXxc8823ttwTyw5zRvF41cijFlOtpxe19Bg83gqXVsTdyraRpy5T1TB0Ie3tsAwodW/LbJQF iq9WTQPsg7hNEpWjnncg8HGLRh1D89Kgk5HEHbVGqiQhmIJiU3F4mOfAZAr4uaR2z8Bk+Jnp7OGZ y9zg5LJnf2QJWmpZT4m9oAUl5XEs0SysguR9vWrBUz2hRFNsWC5D9sxP9Gv1c8+5gJueTwX1o0ct 8MMtoOH/viCdxYDZwugoWxQc5iygu+1KF6D/zAEAiLfkTs0GsNHxEfD85dHaWWwLxYIXXm9+wzZ3 a26WrINHx+tTwSVDMG97zacaqg897RgqphNis0mhR1m3WLmM6IpbpPG9oIyPHeLf+WR/I24NXDlE ++U8fd5QpguklMf8IzJ+XBR6qOS7zeWL3oZlQJ/P7o1Tl4PrEHlb+iagCBeL3yLm++GGEPOqw8UO dge4aR2TgVp/V00GFTuR6UP6qA1P3InxVRSgrRS4xcfUp7cE5rN0DdyTeqMmU0DKFL4Jnrk9gpcF GOmaOtnJJ97R4zRusvlXT+Z0cw8XEZ0iht7phCYU97uGJbbp6fcWYGNFCQBM5u0KakAMyge8ybox byfhy5bTrBrn1o+VXGKxFivPbU6b7WpKHvWpkyYZsDXGg8tx7S8nYk4R1sQ3EWVmivyl7eLfTtmu NnoQL8kc8TAQgLVfQn8KSAppCHX+PxjQXNV8TevuoM+Cn2zUsD+j+pU4XhnwrostXTT+tPK43b9n YiJ9aaFmuj+9VbTnL3Dj6LlRoefjcAbm2ysLS6iXcrwR1j3inAQF95eykP3xEyE7xfyzQGniSD4K GqkwI1jd6xN4oIRvS7SGFhf44wWcmCdjZ4x816ateg/Xt04uil+Gp8AylK8qLLfJxlSYyRwN2CRy /IgfQUH+ABbEI3wkwek/3PKoEBJc8pJJ//6n80W/gcfuJc6SNTOUGQDe5SVa76gpxxcLpicroR7u 0p7JPfCdUv5Wl2HoKgpaOlknZxPDAPdP+MqFCYbQ7LOZVp41uH2mZsOg6LcGZtvlG8YTzJbD3GTA BC6ZT2qDzqHHzkJPqxQ0MO492E1j27zhjBicD22VwHHVM4rzcpC+mOPZyZa0pdK6Spag6lXam+S+ /qsfmFzS9uTPSzSr6UvbyWnq6ZVjnnagRkf2Yg60VM0qVc404G483lxw8VIm1YC4yWkR1wvOEQ+v Ps6Hr5fc2puJKVAj1vDbB6evQdbMhNDaojbYKNICzqGHg8/eAQ1R9J7svY+isxtRHFGbdKDOEjv3 GLOLTbxCwSqfbi6Qev9dczX3XDs7aKrwOuLZYqQ6/CbfXj8E0S+HUW7jrGs3V5TiqweZ/PflHPje 7isQ0Nib6k6EZ+NVKG89QnILzB/Mjg/mT7iejVo8C68DJpK9gg0WElgd5TX7HLnySVa8nXFRsP3b k9CYyrHDd+N1pKa+XD9qXQb72gNNEsU96svQWhrepJVxZJ3r9pc1LlZ0eqKHyBvSzJAuY0Ed/OM7 GQwh161X/Tp6rxrDuOfbjY4HtAVIY9o/Z218vcfctnIAlHavd0gFvAOmYAVkPO/Irr/eMtoJZ+T9 NaYgzlgixoE6XHWpWqyBiC4QrhG7GQwlfzhjbEYYgmiy2gLujvaz5PlJk4/DvKYxPUfZFUu0skx6 VRR0yvif4XiAqqFkPBVteUUvI5SvzDxvBCB2K8ggWyXR9zgy/vXX7gdjS+mDHair3pGhOwshxusK CP+Yrnso+NLshLp0wmRMm1P7aEsbR4JPw6N+6yd7d1Fi2FRSbqooGnUx85bQkNsA+FqKtNz9S9Wo 8vllORUarjjNfZl78IMZi2mEdjWXUfOEkCTv2X96/E0mEl0cpanu05B7mVZKZhLBzIbdxPBHIUx7 kwOh6vkmrAK9B7BlJX9LYY8gWyFYTm1hj+DldBl84m7xQL+1+sSqYfmDp85k85inZpFXpDMe1s0N GH0uCQgNYFtQRtMV5+svPLdIcW+Teh3jVphle6srD4f+jy8SZpjg3DYDERptpPZjKvqgxFrcvCIP NxAPZw8Olpm5TcyAxJk74wDw37V9JVqieFk0D8AgWJT8RGOB4yAHOL+pf/1a3SjF1J5SNWGRgKRp B+M1FjDvlkCmVJ1efqG9RrDGFV5RWuKTog4aVz0agCW045Ub7mI8VlF/WVYJOZdFCxWRtLC6eB3f fGEFR5j3VVBNwxilLWKbXQDRWlKHIrTBFlfbAtGkRTGGhYT6zz3I0g6+cDQ2O3jIIE6NtOg5AjD8 HiGLyX1w04B5He5W0nLRImnyr752O2mo9Gvm31a7yawyfWt9UJzbKvUarwTBcoW/jA+ZWmhbuh2K 1f2UvAt7jMuxDZM4FsIMWmqr9gJlDqI/qrdjDUcD0/pztFT4KQUeNn9ALRgE63mh7j3W1UbQnoOG JKQlCGP7dJCTD4uJLkjoP1v8oNbd147Pb89gkVkkcq62fp2ylq1OIFUs9jueFis2y95gjTjG1rtm 0oybY15ceuHN54E6lG+9KcQFV3lO6qJWmLMvkGJjQUEX3wN7LMQVfYF/I4P8Ci+uvycNpgd2ID6q 2ptUKVGMql2WT/PfUcVDPsV6W9Zw1QGuOqGcEmSD38MoFzEJxpGNBVsO4BpNfn8LfmPesvR9trSw JKduHWFt9VCIWfj0/mTrTzpnMfxEDRFEfhq+RXeHLTeg8bZmINah15mXdYlRzzYIHRncrmzTuOEA YMy7+hbAYqBIOz2Hp3Oh7QzUiZTJ/hoe5hy7mQ5uSzsL8dMzQJT2oB064HLR9+MiIQgB3BBUMgRN IX2JjUckOEX1APrxAipMrvsAtCsi/KpcSN4TdW2b+mdTD35jq6gQ7BwulFjS9/NzWgxVMAJCxBeg Un6FiDu41MXCIMpD08hPHSv5ncP3uRwuSZbaVygjtVFt45qPjTQeak5X0QWv1XQ6xhC7dM8J6o4b fADDd7bO9+imBU2cOOnEfhqTkgYxfw9F7TsKsqOIMKQ5jlolCJnIOjBPucFLzChJ0irNawsRvGTM QARoA872Df9sYsV8xmhAzgZ20CKcvlbxpXU0M9oAN9FgXeHLzhy9JIpxZfmlV20NOPPs4HsOwd0J lRD9qenZa58xgDvb2o8VUacxLy2QI1CiMvTA3r1ZZ8ArvZq09b2MWXr1n2bn8PI0cP7efxUjsq98 aeFaY9+QBTPv5cxZzhF8Z2fHi7eZqSiU9UhKlh/6ZR/JrEzaC31Vi+NUM6yomx2k1OQxN8LaChIx rGfoFVcGMCAWQQkA5dK4uYJQm6a9Hp24dTH3kgHY0zFGrvmwD6RwVfcALEGhGT1zzYpcYQ2rJJTq ivZ7hCXv39bsGrpIc5jewWl+yF648vhQSMoDVSYW11FuNBCwrgOCqCIcGWc4oVIsko/pIXBRr8DP q1FjYlAqsEFWDr+WrqTaSVggRTxzvu8M1MyYv2js8VDt0Uhlhvm0hBqfYvEkA/00G4ffs1sy1s0W IsChbo2WvPZP8FkXogCCbhJ5zuT7Q4IwH6nJkz4MKfP0AXPmWwxSv3wE38bplt2568FUrFwy6uAm HW0SlhdXoO2jb9ssRmJjlKj24DpSyD4XhPi3EFaGvR3yZ6Ny7Bc9P1/x3I/5bQJKu5JUCUCO53V7 dm8tfudFVdCAMMjbOLrz63MbuX6OOH7b2ZmPq9eoJEDHDg7Vuf2GlWnxM4R2UfkweD0+Fe8IH6c2 Ku7BgstZf2bTVIxhow/IiCYu5tQOA0+fcq10F+oH8A9utbNr8Iz89CcqMH8ronZNh6wp6rhlbhPj vorss2arAPoCIctltBmpNoV1sH+UJx5thdurSPDyEu+fZbBpFbWC3oXlGtkqyktWnYkqJt4pG2xd 725e+enoJZCCzLq/XqZrlYQ5bFg1xRZTkBdSyheZW8KdWO/NQWuhgyQ5tROv64EWCRSh/pGDdZyX jyDJ/DdyCSz5YqLVrgwW0S+jxaNTuQ2WJSb+Ky3myn/NlHG4/8zCu+xXANH6s6eWuLAcMbClni2W xW8XM0miyCdfUl1cw5FeUnYoBDrhDefqgFmTtOqSzi3DL8W60WBWCUikfS4ptgutSu02Rfr4ZrRu 2Pdz+cF+T2uQnJybYAwTjUe1qZaYIAvPKpqoVI39qw+SsCjND23KPl1JQV2uGYDy3a6hS8fMXyip f61RTU+E466UFXsiM7duo9Jvz8OSB7z+KguZ8RMJ5B5t1XCJeBXk4T65mei07ZHaqnFL47mA3b+w zaqrLv2hk7WW+VRPWOZb3dN9CLp+kMpXSwebcfRiOudq/sJEl4MJVL3ygpaydfWFSIIWWJvP/RuE eWd6Jgdwu3dgxKoXi9FhGMqeMZTpsqOwg4iYjYTqOFUs1c0DgOGjMx5pfKh9NVkIsJfxaCJrJkfz /pV6/mO6CKvzz53mrxAgEzomRdrLjkAA1cFiFSGjFAzXzEFXzTa+4YRIl3P/22/ynIp46H6nOsC3 hdxtiKkY5hzDyFauEuh2f7qejTi3793KL/0tG1opnHN6gkFQOuvJw/oxxvBYybQGZK1g30yxRTBD /Hu0v1b1cHiY4SdgJ9vwz+JGlS2jwJAwDoA0YHLI1ohCjGFoZ/NzNeqNsALtNYVWyK0sqZE2uwOi YV9ajgeOWsEpMEP4nbblCzm+oMYOU1gRPvCRr2/YrF1duGpL+7PAu9GwVdGlcBQqfqqvbAjc3iJW c2C5YxAnwyGEPtz1IB796PtubTDjXSBxo2EgvNLRjEpjdiExH+gpWFUY4sDMRXwBikT9w/P83Hpi iQdqvhLDwgLy38sZI5NMxC9XOilnbxxNmt+gP4fYc0heuEo4o0J1AR9GEEsHTK8keYwgIR9fRGxM vnunboSUiFQSsFPdmEcB/d5jL0u3CaQZFL4s90m0nyJz9NqMjs0hgL0Taj47l91Ew0PScRp4KBup gf3MHbJWeXg/D5MX2/UJW8p2JyzMBw44mAwUh5H/6hcn+e4F3K02k/RghRU8NOABhxQNiYtLKgCD A2EZELD2k2tVosD7A1cTZXborNJHexubAnodPaVHQOva2hzDzSF0AdMjtECjDlIVbdzlfGK4BRmC 76GwEogx6WOlkOYv6wo4cg8s/62Z6xbnmrTF3+yRUItn+DcVenUMeWqTs2LrYkL01D5HKwUJPYrY 28oq5Xjtfh946j3gpXx4hZNJw7I0WLTXIm/+M4wu/eMzb3RyG8K2CYDfNm8dAYiXjxwjAOsbj5wC CglqttSlppG0QFFpEoFjNxA/DnbmnWBAqcOBgqtjeoXPsSuPeEGzJ7iEyKNw5m3ryeBQALQN0i4R Gg+gq6rFHfJsjXp5ojyBF2ihljh/0SWSkRHp0C241Dx1TgwWiK+seXNvA44awJG/6GevvemcDl75 Om1CrjFbNDN8gvEkg7a5HaglCdm23Axc/ZNCJuhOT49oDBDAoWVb4muGpzEy1AWVdkDWUCW6ORA3 Xh6eSmw4CUFrqezKLLw0c5hPNi2mB4tv7aDKtQ1TXM5wvZzxYu+bFY74zUeeFU8Ytk62nZ5ZfU3F bMolQC5PXN+zHVoiQNYtWtsRB/v7Pl/v0cPfV2YLLKV0fF7+Oee1vtYyimroc3wJD6vcweeqys8I LDiy0zmOopKDZcVzA4KWGWQUlD+1v5b5yklCYcAm2Rqp29LrsWtVYYsiV01MY6D9iPYKt3sMQHwH hfwwTdJ+3OI4pEWCzCxwSBa/7oDdIOp30qieCYG/VEybLGYZE8q+aVo/cznwaLqAqH+NV4q8SJS7 x7icxd5xYjBwfiP3OnzfOfayc/1DokjMJPGwwx9WQ8sgD6sslUpSbf1uteom1LPvlpfgK/RgC6dN 3dI+n2XKAZAkeLyQGb8J+B4qRmotEY7DwwIb7SR8D4Suv+z6brh76i/I05zLA6ZjHrb1K2Za2mi2 7JiQ2yyWzb51JBDsQVvF1H6YXFu3a/Sw84u8nF4Oq6EB1J+g6Mgli11a73Ghe0dMscGPL6WFqilX LBTJGypK6Rm7HXE+7ssg9l/KuiLcKQkWq83ECJe/rdf03MEuQNPw/J23FlmYLWCyRV7NUlcVmKEb rV48fHOzi/Ts3w3jFOOpJQbBCfRSY9VxyTuztxnidYrUiRLSqtxA21i1Mik7MhBx+QHHlu3AGCJe QTji/hBBvyh5u0tM8giFHqo4Z47jRpUOCSNO4lFycIHEf5Z7FfA/CrM/HsfmU4Gg4rMqED28Vh86 cK3M31rSWqVvGQqNce900Fx2SDFd4okmm3TBIj8Q0pJLUEPVXvLiEXOOL+dpvv0nbxAnRpplXg+S Z5iCFL7zq6Ji7jFJaJx6RVNhsCuJ02cyyxzcotOGbNBb8ka9iYZogAx6XaCR3l78tS6qM/szUvfw HTySkA1GND+72HRkk/daxfAxDijWcGhDBexnW/CJzcfv4k9OYhjoTXQGfnqYBRiF8hjiXBkcnR9D IZPHe6xsWmFNdiT0mt+dbOBtYUASTWqdGLptJsvNgQxZl5fhvDGAmrCayYiAQac1CFVrNfcUp6HH 1xoRJFnTNUn0yDZPBkcJaG5FDuLFSTn4BaRNSR7hDg6bOM81JLpZPldQwy09xh0LXaUc3UVA27Xa LIGfCPEwh37p45iTWrlJarGlJarBN8NQBXngEhN5AuFMu+8y+OWQUc7Wq/J+toFtnZmxlB9Z6qLn +Fli0eDVRZd5hC0BuWa+ffBU6BKq+7uzRISQTeTGR2EYAWZq69aFMNn3/wLUezdsBUqwQiMV739O BD3CqfFimT9UP2o9Xk3JLM931pfi+QGg6MZNESBK2oLDjnKPXcyqgUczSYHdWJVuYW5FpZcED2pn mdWRguvcRgOlia6NoclI3JMXPfuI6MsUhnFdC7M1KXsy52P7tuY+XXmLAklP6CtKxm2Z4CSYIP/o as6HQ+7m4FzHHyFy3UumY63W1TiIVKyRm5Yl/2EGvrKHCGS2C5mKYOStf/H/5RK2TrYFWKKi8qcc c7QJ6GoA2g//JTFiDBYUuAO3ZviYCrl1johFlt4RFQ1CDYGaCnxfEbFKsQO78QO4R4FM0EgOtQSA 8aW7+CJisWSSHvPsTyNtnAHtE7qlO2m6SExNGY5FNPHE3NqdJWtCgtpedOT5z+oApmdyIWlLeeMX xVgzjIKTupb7ydYxSLtxVYk/mPA8rAPMcYvNESkvCcviGRguJgJ6Y8qv387jVKw2eujkMjB0U6zD IZRV6UXOfwol5Rp3NdWUyEOwMAez+CxsUShFbCNJWtEIxJmhK9UWAfAThSQQ+c6YR8b2r1zfXu1V ve7D0sfYbD/UbGhAEDb9jMDlgUe9Ks26+XTsyzdNFRq/JftVEyrUeaeifVoEBbdQzsrPiCPUA4oK 12cmxFfVixSK5Cd90RlD1xoOqPKXxvb0e7MR90Vc0XT+d1/++z7jb2VMt3AifZKMLt8QCsVIDnus ulWXHWcIM1N2/tOTHI9SmJsJrkppdz6fM74MPlfIsFVI/gla566SSIcZmLKpQ7ta8xt6Sol54byu hQwrSZykWtId3o00/CpzqeVrB9HJlwwQ3xsMKjybxM4sy2tWND2mA4LPxKJTWcwH1cCwM0VpigIR UVfrlusw7hLwXvSvvgTn0CDi34jzlcPuwrP8FqA+kokPSSASnQLx+lJV841cEBKFTjZeyqkuyX1H TdeFln3EKXIWSpeC14mhU747O1C7YY8dVg0bzILSICEX41ThUBGnV1AJftxJi6dqHpzqiXRYlkQy tJz8VZWAElgm3OpwtL+xRIW873R0bDx+SUspTjywdCMSygXnVN+7Q4YDAFN2LpCY1vCjELCkGWtF 5fH/l7991At/qfH3UWONMg71jlABLoDI6Mzpzhp3mADQnqo2DljwXtjLMCM2lbjK9TRZiN6KpcGw G8d4mcP6yGY6TS/H2SwTOC0RGA34bomCsXWs+c0XPuXC5wH++odUmyy0cyZ2R1ITsEIpywK6JHCM 6nyQ/FufW6a5F06Ry0pw7zpkEBTes4EmIATlqlyK8Tj7nSI7ky0oB3bJ69vOdCHaubUUBd0TQkGz Ow2QEupWxjesrYjTijJWsNYN34RSFKNd6a/hUNojbgbNYH+piOU3wG4VYqDbtgARB7KcSn84tZB9 ZXM9APdL32XFm0/1Z5hVQqmBNBjwmDEWdgs8u54nNr8DiO15nFhplfDPDyfiuOy2W+i01P+V/1i7 sFnJDis6YL9SjUYJ1mTn1XqZeXnyfJ9JvwJ5cTr/DUHeZev7vFIwa6DXpWirXnvmhNhfmVnt5Ckd oIF5OMisuzswZmCFPv/jrkymn5oSlqM3UzUeVXENV5H62bCN8QT9eiyyiCxKZoazAO61poV/67cu B74WZ3lSFAX/uY95F2m8jtlcMrcS64IPFleBKipTbAFyczwZKqynODC0uFALK4wvV6bv1A2nmb+W 2nDF0pNoUajskc1XqHKn9x2FgZFl+m/zj4IiKrmK8cBrDrN8r8bN6v43/41nFjPlj0EpZQIHXLCw 2Il/9QOa/cfuyTd7hTPAJtqMgt4dm/D0PiDj/SY/P09pBV6h/7YfpCtLFszL43LVVKZlEsXIcBSc fqQd8Jlx0E47KS+cCMb+r37otirEySNgI448edi3tDIR6vi7ow3GaUyyIFQgvTjNj7bGWsk5l7eR CdOaRDu3dpYU44siDLQwTzySbQeFFMP3HIJXaw29rrWIHDbkA/e5dN38Itfb/K2OYMLhEOIb6UyB wpGd3wJDVuUP7jGugvqhWjdZviU1qIFp4K3kv6Bt+QNAv2R83mv63tugoQ49FaVdHipzaOe7k/my Tgx7xZYoPOteKV1dkxFCfiAmECZhm7dSV3pH5OX/Lij+JEcbo+VL0oF1TKIcyJ/CyTode253jh9W VYDqlKGC6nTmIurXJD3V4pE5iN64aJAC81hC7nwKXOJbFwEGqKP4Lmf6uL07nHrYH8UZdHp22/J1 6zOIFyYeFXGUouobRSy2vBCqRpbmyQnyLdvix+1b8QM/uYhpuMemyCPgo76meZkciCmYnsHX4VbD KRQZ7mEctlzFEFgxA2KNboyMA+/tHvXendRYgvdD4tiaCZHvNDVUxhpbhD43iP1pFGBNZeaZmdKS vY5zo4LDur7uLoQ359qwUCgQeXskCAqrsKm3TdUfFtXqiRrBONSWvDNntx4ZrrgYcUega6fsFCaI FjL644wKccZ2Od8o6PT5ZeIh96ZqFXX6p/OXTCz543lvGrFNTD2amouNBTWamZu9K5ySK4nWQs6P 3pIQEKEhAExEOI5ryLG60OS1Cq8ctpZw0UJkI11hi3EG6IRSAgiJBaJ/OSKCTA9uW8Pk5IT0/JMJ Fk+mXVwfTIp9bNDhOKnD7Lwqmx8x+MCEak0tmR4486hhLwwtdQdR7V++vOA7xy6jNiCrUtxPlPwU cN/6ZzzSE1oVFbRbxhxSZgan3op6Az/j2js6fjWagfptEhBqei8PTYoLHi3nzmZJKoDsXtMDgEu9 HqVGrnFHxiVxxxbhvtSJbKpZqD94XIXeTN5BD7lcCHDSjNulmihmdllUdpbU0l+yEILmHoK3rje+ 9b67kZ5G9hbzzy3mj/Qc/r95MhVpf2F+4JWrjqoKN8VpMPJcEAbBKqmiyqH2djCsRJHhefFw4GGw cs39HeK4+j4rAMgcnuzvZbBQAQEsE8lbJtEzFfM3lj0JENODAOFQ8jamMtnwhSyXTwJv5ZToNNO/ Il/G5Juz6cPaUYXbkaNmWGQtasJD15X1dgUZyG8rZGD1Gc04VsZeQHO7YZjbUrUQvOw6USHbRwJ5 VsJMhNVFnhXM8Tx4zlXocG1I0o9NacvQFGrtCptlBGen+y9DM328F0bB/ZeEMKoT4xrVzkL+9p2i rNblTzIzHuRMnN4OaHsoYeunx1gErPUS911y1MmKUK1BwRBm7Qwvzj1kT3q3y/+33+U4nq9xcO5a B/BMC844dKFdCNaxdLY9Fc0vWXXCQD+Ayl94DJnc7pirjlyirqmMMMKaOFuIgmdnbIXjourI/DB9 f4WfQMKdt+SeQvZ1vJNfmi71QoCR9S1bFtsj5fldRsBtyMnX6I3Nq1ONh0/yA/+tj5w3l3ohiGeu bq90Mnh1i1o5+IhyzcAlsSsoQLoGVbWETpyzcfqbAwX+w83v/hiOCLhUaSBjkx1CICpcJ4+GZlbO psgVl3n/t+jbK495siLwNUD74qlpe9eAE3gAIRk9qmvPjWROsdIKOXIL4mwmrUDn2L3ComeJ5T+n P7OBC0HZv3oWVhksH666m1X11CuVJLE/VKu8v63Ak8zEM3P/gIDJNLhfweiEX0L46Pxy6aSxC+Qc CkIUqsnaMdwntwRW53HjgHEsopZ7A2pGywmeTVDOJDqBT8yHGXd8Vx1zAW84w/j/W48yWXnJyN6P ri/fQ0CEpr176l3RbKk7RVv/7TQ39dyxYFCJ29L6Lr8qy6LBiBX3Rzw+1uauLA18FUY0Iq5++XTn xzCQFsEt151gjazwTIoUIqqr0Fs/hbiOxjn/C5itzux/5QHPvly6+6iMlX7SCFrCBryAygUMNrBI VCMgHyYAX7om8F8eSJjR5tO4WHdRi9lHeMSFuv5bHjxvlzAEqnDcTTsJfzVPM9wBDXqycybzsXow DUBzC+gc1Q1AQ40JyXoXejO/UXYcd5zVX7Uu0lXvKZaz5WNHqu3/5cEQzayTVkbwdXygnfG5+G3y f+UB2vlOTExQh8wNXKAc7R0JqwYdc/uwpfKiX1x9/fJKrgssw6OxgBCH8nVPgq6dxpfrf+WBzo+c 19jXTdeEW9aUzT5UZkYjR/bQxZoXqMNLKys2fawXHxTfTMySsD6fxYM5pDdtOAwN3+WIZ6bjDyQO mz1oHwwWBXlgdtifT8HksP40N9T/lYekX6PgmfkoNldiA8JhaklZ8Y9Fa9z9Bb9QruaFYW7Xu395 iAQ/OTvB9a2Ac7c6xmy0uP9veVgnzhe9gS8hIkONI+L7QB07xcnDPDkSeXAL3XjOLV5WmAH0xgxF 5uhvLGGi9FucnnVAj5U4LXOG/XZfyzjfaIH+l4fIFsEis2pIBvu/K4zdBjXK/ysPtU45Z7O0rU0k ywJRExP+cl4qVWYpozL1DyGBeOQo5ejBLNAdzL5CTcxHsm4ILi9T/ysPGOlZf88LhAGK04u6jP9o DNRnPGgftzReszwBShnuhx+UlfGL8LmpUuXsWAsiFTK0/IA5wqEixA4s4iju0gfogiUB7/LUJSUi 85xmYpIFrop6OY1Y1hBpVAdMmsBPMjQOfYvFQeNUFJ0BcfHvPgxBpFfACjlXf6gtqY8GO0rxPlb/ QBZe82kDCcJNV8/O6qLYghEsa03mqO7rSnXwBvFrg/8/UEsDBBQAAAAIAAAAAACTpbViJLoBAMDU BwAQAAEAbnZsb2cuZ3B1MDAwLmxvZwHs2lVQlu3XKHCQDlFaulsaJJWSLmlQuiUEBEFCpJHukC7p lJBuaURAShpJEZAQpPfRPmEfvPPNnv29/9nfOlyzrnvNetbzu2OuuS5I8jpqSFHc2v98ZWocxhzA MZZDyfKXz3o0zkclsEFBspnoQOorWEwtieqK815MDgHdCGeni5Kz93Sxwp/7ty/dzXhbXXHOp0Ln 8FuIEOpjPKQ959ing5NFJb1YRYKvvz9tH/2n6yGGGOL/f+L8sA37ijrDnbw1C5lnU3HKN/PCPghu 2i/joit78/y+mtSXK4UT+FT02o5pk8nyNyRlBNV6S7fUXKUfUswGyTm+2tm81pQ552VAiOMqfG3i os+SvNxcTxvK1Ipxi5ZoR1z9CRIB+1bU55fnUeZubpmGkbxTUoshvFcrjnPMRo9ZL0QbKC+yCi4e iNDOcjdxbkfmq2VtEQRm6uHrHpzeQtyjw1A+Qc1YUixheY5Pt0KMsJ5YhBFtpM0dn2lk9qDcyiP4 LdU3/7RkTJFQfxcjuQDR/gKz0JboyWMhHA97HN03VNntcU6ndnJNop+TCzXM+uMu1y4rCc2rq/oo wxzG6P4o7bfP/Gjdcffp+aSfeySYWST6fILrZj0KZ04vHLkszR/amC+7XtA/HzEUCSNzZiHpW9n6 +hQjKRsFNzxsmMi+yZRcqPt14QKV16QVtwq/5wR9akEAgkpH3kt2M5SdhXujbaWnZkhvs/Q5tdCr eG2whi/kbvElbDQEinY6sw+NZhxlHnfGGxwUReZb5rE8CyscoQinwzxz5busK6gnnA4SkbE63v/4 YIxI9r5XoN2d3p/8Wf7MON9y3nmPxISLGBw/3Smk4rXh3yznE5PdZ0MvbOwjRGyWVImQsTmbehxt Wu9CHLxL/JnK4+Vb2RnBmiVB5f5aEnpf/WuXvcqTX3Sc6bWGKx99sJAf2haw8/PIUd4zouoqTy3j EMLD37fTTW07/WpiW9aS416H2cXCO7kVR7qpydAWaON8h8GtJPUg4zjfMwVP2JWhOXt25sd3O5VT BNnqa6VjnqvBdBS/8+Xmd6tHNDhjWa1vkvF+2E0sarwMsb13mrEWK4TCoAqewBN4Ak/gCTyBJ/AE nsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gC T+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyB J/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7A E3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8PR/6wlnAzF9 wc3RLlmjVPCBNN72v+2pbyCpctM3ZRJ1ulhOAy9Z9N/2pNGVromeZD2Qev456K/R5vZ/mqeVwlCr o2zykU73hedqWwT64Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfw BJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4 Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8 gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASe wBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBp/+5nqYUSGip Uzft0NF78oy6813+bU/HFM8rrtQOnYpm6wVupdGd/qd5WsocwC7ouRf0l8nYkagrLPrf9jSyePG4 ukj3C/IPNRk76zlG8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4 Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8 gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASe wBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP 4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7A0/9cT+TszajI ekwZLCTWfxyOu3//p3m69X7F9FeX48Pn7TjusTjmvf+2J9Ska0rN7JwZCs9y23NVkh//tict3HfB ctcFiswbaT+/Ky7fB0/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewNP/e08Tb0rU Ch9eLRZSvX9SPKd95oObM5mb6r+VF2yNIF9bOnp/GAvXGSeQEeXT/WKx4hU0Yo2wRiwb6zkNnHqE r9eoMnW3FAqQ414WCTFd2zKq8+/FJ35YRuXUn1Lx34ygmIhVZUNXtqHX8SbmK/hL3jyAPXmzXoNf QMzHiOvC0H5pnJldOuOb9eZeXJPe8a4uclhfJ5ad45hnc/uuPORou2F2Sf3C8UC/SEvBK+mt+Gm3 /E4CslK40pDUkM+jRamzW6nreB7JuqHu0Zxkwmyb7u+Qw4lIRNf2e4oJkfZrK5x9RzUtXQJbHLS5 vJfQ/UiTi/OsP7M9v1s8dniaWjK1q87Y2krWxfy0pxQR2W4qPOek8rnxlz7GDgMbuadHLISY0rcs bDbK+BARn968PyYIHCIf4nNZHqH4EniVJl7XBP+ho+8un3hmIbw0mZChKf4wUmY6msiS+e7FieoT HLkxideTJ10/0Z3iTngbX2I4OstjY552q8XluIj/DbNsmiqSG0dHmi825ChoXjlMZ72t8YiyLmDz 5BbZ4qTFkKgFmxJLUqjFZxXd03cFjtQ5xWL+mJRJywsc9aIVBKm1qweT8aW7rwjccg3GTGTN56S7 m3r2cIfTmHipEiiknOe6UH0Qv3Fgv/7Yrj6t87S4mfSxvkH77C7fhytK+0W3ZzX3JZQSqLxGuAo7 xV6OmCS43NPS7Yt08mfEQqegzSv5eWg6VC9h4o6E2l3qTmiq+zN+CTP/W7rck4Db5UnzmuXzaocX RRepcQtyj53L9Qz231HK28rrBqd6olDyePFVn6u1qbZ2nGrxrory5j7N9hCy0aRIw6lhG/9x5P2L WbOtEyXAlDyejRM/CGWh3XP86bJDh1bLI3+8hfzKTRK7bS61TwNiBnwuKeGRL1ACU+2Vlk24aGvi VURGtMzR3gTmRyTztrVpzFffWl0NHij2JhsS9X5H0r296+j2s9yo7CqNSR5nlrqA6Pj5QsKX1QYF TKO5IcQfNkcuyFWE47fWB2Jcj8JfFnNuTdyXPCevS8u1ciJ7uFD+lJyfUFkEkx6PDpk6DMksGxVL 86mhduplLhFGwv1cjH2q6Zv11jjbOs9aSj5KWii25WBVyP4iTI2IWTjceObwwmyIft4LkwFhp/mF 2k9dpnrRByXvHdlI2p+WTlfzRj9ut9hq4de86Uv3fKTnxXIUTlSIgQ6fI37ezefvM+WwYW9xEuwt hCXEEMoprB6elaBa5XPewUb6tG0NR8xo3AZNJjJf6/xOMVndVYLjpvtznPOhEn6pyPtLNDp+RunP GihI3qhHsCgG1vk4sznek0HE052mnTX+Hl1rqVrEjEXn2S9I/3x/F/HRHXSdakHDsPz3Te/DaXyI RNJIHfx/aqFTfSG1qBqNOugxyjZtswNP4On/xpOJf77Rzuf6cI2XD6gpEWmv/6vve/9xYWGSz1o1 HYaXIyR5NnL/9vu+lCDnZO7jvOJcw18rgvfvnP/t78fAKqyh7s8c2rNd/K0Sm9zp/2nfj7KtPSOu MvanLjR2BOvFbQb/9vcjeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP 4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn 8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsAT eAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7+53kyLrAw GFqwkcEU2E/79I0k/d/2dNFDJphvbGlWKbLe0CMiKPVve8Is0zUTovi6stg0cV+3hqLq3/b01thR sNl3g3aclyo+wN90FzyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AE nsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gC T+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyB J/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7A E3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASe/renHn3O TDySyPc4u7oHLBvaZP+2J1upksiLoxSlUhY9XbtlpNB/2xPRT2yzhzLMXx6kmEck/92O+E/zVCww peHk4jlkG7vx6BarggV4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/g CTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfw BJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4 Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8 gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/gCTyBJ/AEnsATeAJP4Ak8gSfwBJ7AE3gCT+AJPP2neGrd cffp+aSfeySYWST6fILrP81TXUE94XSQiIzV8f7HB2NEsv+2Jxbeya040k1NhrZAG+c7DG7/tiep xRDeqxXHOWajx6wXog2U4Ak8gSfwBJ7AE3gCT+AJPIEn8ASewBN4Ak/g6X+2J7O4SkrBLilebk3v T8bUWCZOrds74pnieNLqWUwTWyPX3WE7Qn+1hZ/eR+tzHpDm7yrdTUat3uQ+4PFp9ST1SjnC4Qvm ycijIezgPndvEL3VVE9+q0rb1MAduRXf/+5KyXzGJW5Hz6mZogmu127jDKehdHXJ58EQTm+ObWZ0 yfb9B+HuNdvbhCe9/oI9RFmEWV3K31u4U0f3h241dp1/q69QIjtyy0i5dkso9sLBbqMX+5Y0IGWH VkCY+FBnVzryAUakIP/bsR+TUxjqfQi0BIeC+LI0If3cb+6TFud+Kvzz4U9o8q5f06+2AXy5GqGq mB4B9FbpCAlXmqdeLGePQrQc8vEnzz3Rou8PR6StxLqui5F8VixUduX57bESPMXY9NXTJqXZ1cv/ sHM1r/fzr8BupMNWr5QU/glP3t3kEy36RNTMu/9p9TpnzJ/rXVNOj3UqEwvi4Ybhr7qJyCasJbZ+ T3cWNTcxo0NbLmy+hT71LvKB+NQclyun+9vZrFWzQxzNctQnVmiDN+O/qQ0aLhl+PO7LD9jEV+4Z /Zzcs3dX/dLgHqaOI10yxYcaq4PJeEba9JgBN2SdJ/yb2if31LN0QzI0IkvlLNo4RNOu8uF2KvU/ 7eInrvjuLyNeO3y64FY9C3b/GxLVL8hKqSh8/1HzxNXDBcyvIpoxCggTSaU1g9p/9JmJZyv3urKQ ckcxW5gO0JvdwzkRWy/WE1WCBXizODq3M2yDkP0TwnCqLjfx1RpxqSgjH7MqJV9yHSuaE+4bpL1T p1V/6fP+q8UPanalRw9+tScPDsih+vxCy21LsdrhciEXWKhVEU2YO/k6o2r8+GKuWdwrdSytfsdP zN6643fTI4zk/BYCF/TfHeL9UpsjD/OprpS/VXvJPXWf2RDsax7IruKcNNkpSUaxffRSSmb1RUL3 NXdUCPfH4jb5gqx5CTFcHcl1rYZPt66p0OODONNpj0q2dJoShZd0uupRdHEOne1rInROUUM3txTD WOiZBVYffxF5F7Hh/b3077B660z1JCnJBnGc7yr95HFuJTmZqAri8fSio+5ft+8veuoeswSQ98xM r73AwULe/PRP86Abfr8il5b6gaj/QctPDo+ZhR43LvPxlgMnfDZTbr8kr6qGySXZ9kvtkPW4EsTz vgc350H/skSPgDMu6IuT47eqi5DAm/Ow3AwhRxEx9AyqtaQy/F3x6OY88lN2kl7XS+f/xTzdUSiK FHemEre11uTFem4V2aEkNsZUy0SNlv/BXviNn0VbkRuamdRPiZfe1ALy7+x0Zn7k9ZbxTmPQrjrl mh+cNoaEnSCjHskNRd+TCZCtHBv4Zmaalc752yuxGHk99tyRT4qDLIHVv/NZVLMZQ+YY/ZWXUXYD M3/znfELR3Qap+Hr75uXMlrOm3R2haVSdI9s0svO0lucitcMohQt+LceMsT5RrJbCHOYXv04V1UP mq6faMUKdnvwlv6JUdKB3kTIBDJySNAKttpIbeNw6pW3VtwfBnn9BRIK+/yJpf5xd3YTv7Y4CiZ6 QS9hAy9nSi5rz58KloNMCvJJfI/Zqj6EuPEg9ZA0BYtF7mf4zpgf5Cvqiafvup/b4lARzfXPtys2 mmgFbhkd/eaNTKGkeW2lpKEg8mKe7fL280uhPE+dfZEwx7nbrSL6MeLyZAVCGXIudP3U0ov9jzDf Dw0YSHwrJLDNe/SSH9lB6q3z16vvKDj5e7gxbgZv9masTw5F7mPIfqnsCZwJEaQYMrTGnw7HbIlT Kmr++EX1SJdx0MZo6Pmf7UjKdrW7/TVG/sSVEs6LaI8t2DpuWXOXyopnfW3CTfrpWxYQNnJHM2vQ UbFr62/D/He94ubsIZaG1lsb2ouKhY+T1Eg93avv66+4vOgpL2oZMER10JXF+tDm7jjMj+LQfFjr adhK7agTQms4SKeRJFAmwmU7YLbIHCdNp9H/BZfmNx0aedt94RmDWr3OOsvDgOeP/VVU8OlIr/Sq u6jv8/cUqaOfNO5EGvQyEb5/VtveIq33ZPj+q2b60+d45YhiaGt4xpOvWqo91xSk1U3K3VY/NaKF j6y9f5mm9VquacF61h799oyJsDdTq0D2QIp++a8t2bkmK1/GO31oyAPFs49KLSeoP5f4vPqpjjVv vzXK63l5Xihpkx4QGJ77Tdc2b04gj0BkQJGWw2iYqND2aKii9sd7W3nPkoXfKuq3hCVs3EkZm8IU cOhsJYsoVdj5Z8zqHm0yU5gz31XWtTPPuFWs0ZWa+ivpSYd+mpA+ofiDDP7zv+oVHXZFZOhbPmaF sjrPHuFOS6K//kMlKhiOvvRA9hNu1buk85JqlhUVhkTqbnXPjzq8lzwNH1ZD3hjs1jR5JCxM9wX7 bef/2UdMfYVuhLPTRcnZe7pY4c/925euTakpdCTa5dNiEYtQHlEdzzbFk8I7+Rx7Y2mridauhnQ3 16epP4sqJmgbvWUZ05aQTM15M38zrt0UdRVwQ2SK5n4y8nLalvpm/r+73/uXkfZ/+ZMOlDfeEhri hebkpOWeCd56q35iLfTCpdOsF9tQSY7FxtfoPEDwaREV8vKd5oFFMtWd+/zE8k9RBD0+E/lzcjnY 745NyU6zdEj87fc6c7smpWnh6TOpjq+kvVPQtlaA0jRK2nImycrbhXhoesbeVVp00fq02GrJeTu5 1RVFzPtLJsEmt58AHmaF48gI8qnU1MQzhZA7MTb9CIyd6nxbs8+QCV755AzThfOlxecEh2o41M6e OmjFYTEHyHAbE9aqcJ0POXBhPdMslP851CuNGv12mHxAejfNrVF8evBNNt5oTCnXO2bSwK7LNf87 T74ap4mm5hSyDqe+xnTFrgg6lNHmuS+/jz124amns1tEfvP5r836fLTVAplbN67a6VJOQP3m8/i/ e32h3U+7iozvrarH+6H3HTC+3VwfYDW854eOdpI7kzLoXPRuUMorlf31YgY+jj1r3okRg9ZSipCr 5GE34lZScrqMWEM4ZjT3uJSn1w/jw+if2Sf4L27W65rceUVlM9K6ok0fUVlRlx2QSWgdvc60j19m tW6ebXR4RWKC7paqQ6DUzD/yuJueLGa0bH95p8BtORo/o3fdKKlvaWDV5DHr/EF8/KsQvh7kNd2e K2oc5vL+8Zj3UYqZ8SIMB31uWg+x3cyu/uDSzkTWoJ6MlFR6lu8fsY1XWwyWdzwK15VUYiDdMNXH Hh8o4awV06TEwKThqhB0kz2gtl8//spSQ2SeVM+M9jdikAlf+UdcvGi/kG/oujSP5ue/NHW9xRzl o/Xqb/qwnV9FN1S8+BPekWrCylb2B38x1Q+n/rXPTBfZ1+u055POy6/m3d5sJblOWMhXfaLOPqrJ kxfWSy+qZa5brZA987E1WLwjn5EzEPC64c5IorVe3FC0BsM3Bg53/4Kzw4sxfrRQhQBxDJIXrTb5 DC1sGZNigh4j+QQ7xkKTSG8VimeW05naZ61OInuHPWNwZyg4Bt3Wfo7hsZVzrt7CsT1ib1SzNvzF Ze1zsuEu5vq5joBcXOTs3K+s5cn/Me98BVrLSFxG01uYmxXYVtz3xrlN9dBLN35ThfFR8Fb3X9I8 Mbn74gky7vsiVWnlCv4+a26cohx+vdq7E+Jxhiq+53aOuGuBE5PR0Q2ewvkk4UJ3bRKpRCfLLaPF tF3OqzFS7E3EHJXd3zINWynwPKl71dT0vv0FvpSu/2V/cnk4gVk3MZ2tI/UqXyQmDt76dxusVZZL 92A5q4O237cRcjAvnNowPv3lY+2KTG28SnvYT558835lr3sdUkLDLm5Jl62YP/NgfM81hNnkbSxu J+suHmZL1Xay/EK5ulzh1IeVnNDJ1M4N/cw9wcSWftMhx0LFsl8IW/r8E2Ucd/clHdTO+a/vWup/ zPsaF0+Wxvpht5TI9Mdq7T9d3/JjTaA5nKpE9o5A02bnrzePvRY3siWRFioxDq5j2lZ5WjDIO37O ezEGqqjyB/dYjB6E2bNkvfoWRTbPT1P2/i4bpYmBcaKyyoVOgAj1LLab+YvKRUWshlFTnTxtLa8n eHsdQ5pE75R1FC/fuiDXmMTyaMbWfM1Du4N/4P1N/6NJe9lEYIfI1FzZHcq1gv4lWjbvMTblT+La ZNhRzBaH9Y9dnZ2O9N5vSP96jOV+r/k6BP1HoHr5Q7YSw9rPST1hiSyWXFnC0SOX2smyRNPWS9/4 LHd+iEv/MU4pEfqhMJJN0FyM1rhLcbfyKWa35ts6ShwEugzuTZoy3L18fCuS1ail3Eouw00JF+LB neKjdUlvKtqUg8vtoto8RddxYot7d4JViaPMR67keBafXmKTITDylOb1jJi8qGBx/oz38fjZZuzF wsU/1WPgz7S57qUifJx7S/gzD3vYzfVIrKoYCe+FUptmGkqH2e/zmnhO9KTr+F3LDqYGLa56ZRmo hf9WEqpyqXnPzrrky1/FvqSJ1YH8QFttDoN5/dpI4NtHhO8IUQmOwQ8/xKX2oFrxPxFeLDulo3zK 6tJsG89lbU78HQfb4q/X+9GjZJ3gVMMzwWxHotGOX8KbdJjOb6hUMOsMHXoVHXJQyJIsN1W/TQ3R EZVgzbRjfW+LyeFK6FK+90Ouc9/P5z5x4HGfp3zYj20VGp35/S3fF4d+v+1oj6NP3U4VX221a3/h 41sNpEdI12/ODjfLlFrbNXDv40yZXPb/MDuTEZY49smz0oa5jCxVV3zRxnAs+u7hCdv2cdMXxnZD Pq9U1mNZe+tQdsbtorYqfC2mKzKx8mLy+YHZi6w+nk0RklbpjbsrZ9NKrIwWBrv0s2n4qO4lGGp1 W29u8XGQGbJ3ykueKQs4vlj9WXaKKfjwodQFQ8uKEQ7fx5hqSv8kor5LZIy7rMcCHhaJyGK+ny7e 2Y9cR5AcM0839Z2hbEbx57q0rV8Vbq4lfnmL03woYzs9zTC6wmWY0LRAGOHvzjY6Masqfr8CYeu3 jvhGjPLN+fQI3J1Cz/Jse6Kf2D7JhPH1KGsk6NA7wfjHzHoVWkXlclhkCLpnLPHBm9E595pksb3/ 6v1x83lX5J5q0xAxg0dbUb2bjLV6dPP94tq4Wj23XEx7hsbjQ0FCtXfTW0IJ+vv10fUezOJ0b5fM Oy449RFTVZOmgT+Jjq8f6HC8+Cdf/bgsswZ/C+ed7mj6L7xKW7x/FMvV6f5s6fm5ze3LlanFm75Q 1ORs341iSOtzcSBJ93Gl35xfh9OLFYLBKnv+rdahNyW5gdqIcXqm8maLzYWuhATk58Pvb60gpLJQ tDhPdzZrZkqO7d25pJk2xv7Ly3L/zi/7cFn3sj/SNnGlMv3DloQZFBay7UhkZk1c9GfkxK+f21IH TFO+DD75GYql4PvsdTWSt3b1KKOkucvnP0o9ibhh2ExvdNexa+inhIJNrfU0nuZ4kBvixNC0C9tJ fBj7aD/qOXNhvLFNOHG95jy0Q1eLK70whtWyNkTazljGK5SFWmg0/ktAPHfZT1xYUTfXba3np3hD LGaCoxff/dPW5x2q4u+rvj3PePWUwqxOPYGeTSuO6GlTxoGgY9644nh0/GerNx//OLPe3J+JWj1h pUD5GPBjPEGs42H37H9aPWHKJpf+EoPXBRdm51FFDj++NB098mTe0n7++CEz8ZprxJxO3OUxAnf1 Zv4rrpNnSCtcCphqaO3vMOizm5KDUiqs/in+ouRa1RHks71obqPCiEByXeNX6RL6AbN9NB3bkOOI +g+NN9L6u0a9b4Jx/A/Jpr0GCAmL+QtGJ31Dg1d4ph9y+fTvq2ziN97/EVP21f9BD/aLK9Nft0nc RL+xPSN1bgmqrfdBsCW4Z8E4LxWeMyixejyWooa84TeGovGuFP9+kmoxB+fHahIs+SSVZzhhUcMS v9qdh1oRVoUdiOqVRDqonLhUkxzmVOvbaUN0aNdJqNXpBXsj4n8/Y0yQQIv2KxRvowrDDj6jZEU+ q33ivx/XT75JGFKDU0b+Zz/TmqV5zN00QYLq6OKeKbPUXSmiK/9kh++jZvTBmxvt8RJ2u7e7WAP6 Y6PRcKu+Sfjydl00+3rTbtq3TA17rppbb+6afyfMfNld5247mv60/uSDhT3VKqkMeYVJyvbXB0+s +dEnbysR0n5wFP4eHHvWeJetwaikbXOw7ZOuxI9B95eNX/mUV8yEG2IlA/yfSN0KzLtnZsvQrkim 1E0q2CwtGUSq3sQQ9LOitlzw5jwe7fs6Wrxas9n3qJ9PYRVZw8791sMh2o9S2fj2bO4s6C+tHY7P 7H3nsIyuvukfCGiYN+ehj95GIHGPAnsjSsx1uGLF+p/mQdBjZJ5xPPaL7vn6PBVvoy97YxrZq76/ 1LPyp6h+gmo+/zSPZsFKQkWti5rp0QBNdukoxvqWRmt/lUPczqQalfkyLBU/J+74RqzC2434JvSp IylcJVZ8dAjYrzbKHWQ5HqRQ0/sw9i2klZ2s0/h4DjY+XPqcUFXUpF5Y4ICl8mfRv989Ho2x3jqg oyOOun92bfHAHBW//EgvOJUSv8cDibDLi26KqLTpejTq3mNrXTthQY/E9L8xHyxfO+YE9KSqqZ/c RQrdxZV6TUdQXi97yLqN2/I47HMmwff045lbAU2fw3NWF4ssuB0/CYk89W11eueRtl4Z+IhuZtW2 P+J1n/K7u2tZ9djr1AwY+m8TWal6L3ubEkLyxNgu39K5+KK6r0nWyg7KtXSWL/Awz9A6uamLa2Ez zw18CbJrnbs/9Ymk/5Z536kAvpFExLuqxeqht+Oqmlx/xOYjs2w/MOBxrlewuTqZDYp/lar+sI9T b8XVbF24q9MbJ+GkOXVRXGMxPOV2eMKokTKjxDqRzuhVZxveZHaWiEL/Q/9qj6MmaCG6PH9BD7fz 02Pn7+JV+Mu2rpg6L9ffksTkiLV+ROcw+ZUcUyW2m2CWeCJlyvcx+ZnqsGnLC8S2Zg85l9c/MgcM aNuN8laDnTb9Yy0WLseWNc6w1h3Ly8iGcS7Jtn9sBZJKfCMTRB2rkZA7+Hq6gJWtG1ccW7qOis1S 1rY8mjrOX5B028nKX6oMI+M7v7JsRmjb7TrX51Vpmu3rk5+2at2wahJ1J9tr34RWiVp6b/bhy1ig hS0+QGM0nUoRxxQQcElddX6YStowSljXi9YcQW+O+jTJ8Ha3wd3PRJ62MrjK7I1xYxjk7J3vxB9e zprExtlNm/dY1/gGqXzeGly6TurrtBPyiLQgXTWLzWCTdefwj/lQfHeuR+Cd++7DlpqGWZVzLcJ7 zYqUogLEHL+4kRB8kOUKuvPOlqamqpjGjazbRTDZVCUjTT+RZVSk7m//0/4O/gc91AdWmd0L3M4i 11JaSjf3dxzD5BW+tjfIlScsJmzE7VH9036JEZ57so+5XL+alQKvFdo0+j/tl1Tz230siSOVVzaO GnahIW34p/0dtG9BkcY1weL99COvD8n0S2/m/7v7RYtgoCrdeo85QNz2NJex+26leTUR1hBb30ui qSvcFN5Cg1/SyRu7IZanmZ/s7sRZjcuVxuDNf7DH+eMriMhhe2C+uzsyG+zog7Zpf6v6gzAJVd7T XOz5Hc8H6WYec4wU1EnPdcMcdLQK8umMEn9fsrYohZYiHN2/yhcjyDjxfM/O9zsLH5M5xquywM0n Pu5OK95oEAOn3ooMcQ1+LLqm0UXonkwCtYPeW9fFpBPEl5xxdWmKJ4Rk3/JwE/DGaGX8zsv3prmk lNNJGwu1nJvX79cNSJK20aVvejg9JCWnsSSTsVd+1PBVooDQhRcPmbB357iC1/gksuDOCJr0+4VI 5ufPu82Wlt99Z94z6sgpShKPJEWjDTPjINIfNkguSvh7Mf63RquUXbsqnc1DkJr7cLvGo+dZot63 OQFG2+yu85opbkfteO+qKOPq/INdNv6BBP+XhBKsV26RvO/ev87K9OpGJSh4UpQ775qxPK2ofkjp FNop3KZoGK7X7T0Z8myK8K38mhR/aTwuI+YD1yjc8wXul8MNCqYYNCp86Blb5xLSxxUsDsJjwZK3 bqfPZwj8tNAejfOQGHy2qP9s/JiR7JdQ38Wj2i1yOlVbd/rBj32k+GOM3g7oOPea2ls074k7mQae fAkoUalAb6YYLeZ5znw3YP1VSiZp1/Wbmh8F7dmWU/W6GusDb713Jhq/dPTQJfdo4oyI9dFXHJfO FSuPByvpsDMa2BXpLQQsTKjpKznhcrxInmb4XdZNYs9OtfVOdPVsN0UGk3Dx2c4juvOY2hg2F1YL bx6Sif6vRDiyQxsm7q7sZE0KDHO/f/qixBwovezW7G57M63hQzdTylVZ+BHP9fwZsaHu7tJrWeUP xD+tHhCfk3IWLGq/GfjxUmra2EEPszey5Vy6SOCH59EeHqGVLAOpBjtCUvfwzf+/je+1CvFp6uPg 8/b2nvRYte+aCfd2i8xJo/leWf/eeeUok3ZPL038/Xohorhx/HbndRT6LA4+97qsjmu+Eyb67VNZ xOj6NJ4XSlVkD8t6mjyv5UwX9K+Uj564VdJcFIzp0g7fP2xIKdlp66IZd6YTephPTvznkVe7/OKc wQJJ9Ov7RxMm3m1StCqY7HHXf5lkKlfukvwwqqZrDOtvvR2G20FTSbnLrSWBJ7nM/JT199BfjOfz u7e4nzCwvdN0ThHFsbBDuNnfzXoa8t8Kh9BizZSU9rTmWMv+UJT8Wca8etNSW/Iy5kTOxThGhxFP xMUoZedj64DZxnk/AwWzcvMJKaf5lbf/aNzz3mcvDpkam+WCNW3LOZzf8qftH2BZeB45pYRYqp5M iKElK4zvDn8Wr4o7PfKXG/h1aGO02ZGslWjEG1iTOzA7zPfV81CxGeWEQLussaX71/ktquEqG1v0 YgkX16KuZ6+/4IT9d6+3838fea9lWKGxh/fIkwj9R6lgfbPBc/6854ePqsUzeD//mCP6qWBs8Q7n 6V7zZ2KntzNyvJKNThZ9opwyyEi3+nU+//7jOZuCmbRY42AjgoZaLpguS96Nxpg42tXwYNo2O2gs 40FgQkOWkDiTHRFjRIhol8/drqon1Q9+i9kiM2V4srlmJ0co5Jb8uhWGij21as14MGBiLzewpmrI HSTZ8PAuweat7KyeOP4QhHhtHyacl8hxJkhM5jLTaOzFdwhoJ/s6us8i435qZ/FfyrI79Wu82bmZ Hz96QkxuGCWhJxSYxe750ZFwY7WEiNfIKVTQ8MuQ0oOSf8p3W8gwhnpcbJagOPOiDpa0SP3a75+3 5vObu7j6pYRkEeDqkviG1XWq557gD64P9/XkFX5l+6R/2RBO8/7LZm3jSznMH290tuTzuTvwTFsy HG/r6BypejT/947S2wa16971+IfHa9SxIX3Rd1QtovVxzhLQlDHaBFIfVp1HHVsKIH+boArmLXGX O1EMIsi8T/dL9mHkowUEut4kjVObwcYzldy0TAoaB6UA7A2CAj4XTf7+gVFCyvODaQKpN8XtDSTa 1Gw39y90QlYRFWyD6OIxyVa+r+PNZ2K37JZtyBhYvXFX65wsRUWrY03RsO+rXn6VyZW56mWEJqEX k2SIRf3bRslxscP49s3fI/J+5kOHJ2qIEXGYb4zwQI+DUeh8f/B4k86uNkrAfMrqP+XXMq/Ygwbs k8QLO2jYj0/R/6v5lJosLmTkxuCp6wczDM25vexCQaNPRf2/Fy4dWhWkCP/4p/mHK/IQqN1OdRuP wAl8NOlRKlQc244TpizcG0Gb907cWk+rbPrn/NkvIR//Q8Zag6M5OtpmxyiqjeUnaLQBHdXKDH9F SHBz9qx/nvXY1FNPPROctsmzZ/NRb3hvO64S9rk12gc33AOhxU1V1bd+LCuyByNe0vznUupLXa+R pS9Y5ULJGF+qjO9q8y6LmgdkM50KLSlenVE++W0/0VEjthJP+dXKU4LMy+91meNLZ0Ub4YiiQ+WW n5z+ZdN15z73TNjlXv+x2k0XNkk0CJNbyCE1R347OPNnmFlQeYGsKuEj2rftlElasvcMpAW7Hf0k Tw+Uhy2lHnhR2vycfK0/wvutMud63Elj96Qc52Dhax4mVzCtMRpdauZMr/42mc9Gt7L4r8IpAxRU xsLrj4cyRc3tY09Urhrvqa8oChQ1me9r5SpbDUnfO2fRvX1K00J+PPz97OXfajRMndD3CsS0wiS0 hI+y9WL00EYb45YKyW1s3p9VThAd0xZ+QdViOapcuS1Fz38smMLaLf9G7vGw4Ar3DgI1mvgiclTL fPWtn4tbBVuBEfsbPPfV0ILbTrlXBhJx5QgJKcxCD6gP7ihy7k1uEVEYCxRLxbw3qdHwwenUMw1E ctjv/1m/7CtmscGFeVVPK5H/CT9QKoHmyU4KddKKardyvl1WEeKhXUDXYuJoO4d+wzv0WG795uLz c07edVrdfK6aZ0rklcozBPquFwo12tlFHK5rf6qfFTUX3H4Qe4/w7uOcXtupIkzCktlAw88vKq4y ZM9YqkurSvLWemvOLkabLqOec489b3KLy/vMbDpgM77TKUMygBSR2xtJ5UKASGyRncP1cI5fx/PB xLGG6JnU3z/Pls+NXrkGqrw0nd8L0A/yK/sY0CjK29BXt1cdZViVZzPf2c8toza9yeWqPmlbOnow /suHXR/p94hi1q3ge7eFX23Gc3DJzFBQNtKoK1E8FUe+yx22xK2zoS+tGrzTb6irEjGjNdOPL5TW qu/Ue7fkVR7P7fmAB7YetLdzEGqs2Qj6o1CM912kekqs82lFKelX/vzdd85BpXuTm/kBlYZ18U6m We1iKiqrU9fFuWVIo0rlp04tDZ+VazPWC/SvnXf80R+50jMNvMtTfmx/z+h8TPtwkG5hkT5BR5JC eFLbdHv6q6NAGg36r89fjIiqbPbYtD7+5kCwRMJo1EDyiDJcU2/Be4HSaa/WfWWp+nUitFG7rEtu Qb8c7TutR17wxlUCSRLSq3f95sFbvfnDT9eCEhrikoI+3zYJ/DAUGIO9/snKfJCHQqWE+CX+3pZp rrtuQ1zXFx60L5KP2VlTqH0EM93v7WuHy87+unAU1VR5QK/RKxYqUpImTXm3Vy3w5asvvgoei6Li Eo6Xcn0+HaW4lX2OGbe9y3cqbco9wpxjzeYcbIox5FJrd81jVZpCDtEoaLVCV3gUrzk6Q53iR6mn THk552brc/JSQ+UDpTcD7ddoLEjp4hlDFM0V8ZOt2Q8f2Vol6PF6TSrRdrTPBUmiI+Rqv6EJJdVp ODTEelWymy1jZ1f5/MFXBrTIMgqllwwlF70BeHt/hS285MQQPxj/CaIhQDdY+pyG44F6pC4R5sc9 skxGxLzb8M4Dv8etamdZ/M1d6rUijtsi+cLWXZimjbzDyBgKDUhpqgW0S4zrncsEs/Qc2FLNaO1a Xaqxny6NJg1U7HU4lPODS3KIpEyO+A/D8ZYFfkYR71kb0jwxYbsUkMT8oPbyCUeicd1mTNbS+FvX BygHJwlOsUNLiqYmuUyFyMRVRF9td9Oi8+PXpJTPSAKdI8aHmxQi6R2lyalkiEuFdGzjI5evWkZ8 k7ICPw5GkpSN3d76+1P8nCZVWxx5s2C5Nx2DMmDIkYh8/gLzebJ6RznKRjwj3vqpHynyuE7017Rr +cPXuqh6NbobGaLF3zv0ZSUFeI0xBd5WGle8QiRGNEUlmUBFoWTZ65khbV943uBzf7uXMdLwsu5U sDtO2ZfRLXohtO0NapqbB1tCBL+xcJDpNw+nTeUTdTEsvpKPx3nu7L/cZJdoGhKa6jBsBL+QR7Hd XrvE/pXkIU/79WY/A5XLqrp5Vf5S3IX4ffSIPiTyG0216t+bJ2mGatlbn9xVEcXz9M+xzteleJHn cJvqpDODsTTWs2Dv4smTRLXzPfWjpaqcnDB9fjdc38s77pYJWzHeu7HOLH3EKY2sAoGWpYyB843f nd2bPBtxw4OGgtqMAg4rsF/jFM+dyyivk9KU3OwHKeqpc9IAp5P9jqSXqpS/KonV3/j6j92FCQwS 57EWkzm8oQo0sguzGGNlBol8ofEmUpMXf/wEMfHRbyUJ+pW+CVupvuP33NU0be1js5JheiwzDuGD CG1VfNJxXW39ADO293acXr+VGzb96NUyp2zFDrHumTtF4AvbzwvkkpeSrdfE3uzn6kvrglpudWdU rE3wWBspeY4XR4TZ68gTIy0vFJEr7C/+zPrV5nPhDew2aGjtqh7BybkOqt97/vRdYnjwRwef0vXs X7am+V9ckXOVYUkLNhwyzb/q0VPK1Rlp81B0+8jDj/xFn4jyWFZin5FHfTf44XQ82YNI9+2au9zO NC13thGPnJePfOrz/wjiv6PMfNI3SlOuOdP5N3pNnsPXSo8JZe5FwSqhKE6e9Y/fAoYMxUKyl/EI 81YL9CgFFHHl17GMxROummrrMhpBV8Z5008Y7ogg/JSl0OhVY9L/5nIv4DMpsdGmFZMai8q2/Xbx 1uIEXu70Mv4+k8QX/kAbMWGKKRmuqK5naqN78bztgxjt5r0ySb7LkpNofvzW4av96GITBU4nN+fV exautEEe1b0UaTMbhJJ6bfFhsLo/TaTC5kN4KUKxgZ7vFS+dugom4/7g7xXV5YVEFcM+7669nTLM eNWWwxOvrOLLNxbTMh5stR9mcai9nz8fu9Oz6BEVHJFX37W2g2mZ7Z6LNkmHRkpXcWo86U+qgm2m P73IuiPYgRDN4OWNpB/+A3sNkfWsIzu950P7Mq2O9MQJTti72Outn7tk+i7IYew6UqoFaWNdCpOm a/TeKBFHwls6D1+U1U7EGFeFbpB82B6mnAuZY3jdcjegCPtK6u0gBtJhr0iOqKhWK2JoysPAD32D HDW+xFuLKCsnce8ENlD+sL569yFBivVxI94XljdsKE1qkfl8d6t9fj/JHPVfMKpW0V4sOfvYoH/a FpRNSXKvaqNN0bxS/sTyD+tmKu11gmEqbzTd4qthp6fm5EH2ZOxeSQy8WkQdfFIEZj8+am+eXd8e +CXNXbsglNhfjkwv+eu1/wfnh3RzorJbXgecAUVZyf62oawfSSX637zGdmbpsEC62V/+Rgjt9u+7 Zon7XzbbZSq+S/uI3r63Ju9JUeJU45v9nX1W5Omx7QtHRy4ENR0Rb/4Zo9vYX305lBiWvtfeunYj q28p+/qDoNPsk6d8XNn8vi7q9+fvVTxtQmxXlL6tGqIapBNT2La1x+WldyRpFa5tuFrvHEf4x92p e3x7oDujqKVInoOWszpsGx0n6HFE4dL78juxY/ZGZSkjUsPvPgUIy3/vWXyqZ15tNxQnp1S4nSL5 yTxsH+P9B8SXiIrTWbdbEv/YW2LsuBPz3Q/vo9E6JRk/0fCof4YbxJ1lO2iM9K6R668s452EHPTn kq2zfY1RVL9k/KTVXk2xyFqWtB4Lup8/Xt0TOXN5kUJLoBs9EoiP7Kr2MpE/0rVc30ZpUp0RSXry 6LXOL1kuCSUGMTOpFi3BspXCCw2su7+HmZNk7v5arkZo5D5ZSVmWfNpJX1OPsBEw0FQnFURGw4RB JPZ96ZF37hHfRWuYzDSxbEzlz0fNalRYrqh/eqY7Hy5fNSGsRnmMkzIY7jx+4VQ+uTKB8HWcWeFr 57M6NmPPDePF1F+cs3+/+Wl9rBY8+vsm1sRXF/l+nYwvKRsSFfFX3kkcaS7ja6XA1zg5qkNUDVk0 pG/ucOkfUs7QvsbuOo3mTLzEtmS+qscnXtDM40RnIVdFpPuF2kSZUStv1Byli0ibXPl9zal0GS9n dGRcaZCuc+SyqntoSWa7elO/jTLrU1nbdqQJQsNeKeIxJYl1wTxGNpsGT+w6UxJKwnSlkHTIgqAW zfwOFYP707w5IfvcRNLnPXv6jxeEjn5YV6i5n2/8dqZ/0GeXylhwgffJo/Ibe1DE8y9Twl1vN56t m9h+ijBSia4yt5JUsckkpmN+YvgAo5b02cd7yhaGKopV0VjJtXaTNJcJGz5PKQOqD0zVNvimG0oS pnaSt2rXvqvcDtXnXT4/aa76Q3oUwFtyWSjuZkutWGk3Xna9zvvrlIHRl8jlcpHTS3L91WWygsLX 0RcslaOo8YcjvjypiLX+KxlBVfc4DF3+vN4S0f5xT0nMjovpkZbnYVZDtkkz1pKM+N8urieWRdwr HtFcJj7iLwyceD6U0rMcZrtmuPQEfVXWFCtJLdlpiiqjlwtHROK2EzlY/xr9/BaTs83VE1JqwyWa PxolOLHGVozhSj1H5Dm12/IymrQOr3M+y1VER5nIfKa6l0jQvbPfbPuifoBNcu1jwN/9d2piisXO AWbc2vViK9OqYrrfGLCIzCTQt05a+lUxH1vqonP/fpW+L9yaMY/IUlJriiCYEN/yrY6Hy07s1as+ F7ND7G9efxbYpwSiyep9Lj9k+ixY1qZjMAfLaQnh3XZRTUFsZPJU3OotysUcN8iiSnK1oBn6vDLQ nGvEGfnrcvfb2eYd3XG1iriySR7BFvlItkk/E5yC9slalWpUmZ3BAAwx/r4Auc3vF8yORN9DNxDv BRWJY5hf2UxrKUdjJDlTvFgm/L6/bX39OIJji4PeNLD51/j2l4A6bsvfs70ZI2qPnBPO6TNEJLzr z543n8u2FIZEOQ8+J6uzRuH6ioPCoq5mdi/s4hG+9UqBBBXW6fyxnyM6W4CxyGjqpYxNygTrtjzC dBMSI0HsVtjDLYdRmsiE/KDgWfE7cVd6HbQco9miHxSEKLSxZhxny/r9SIk8UDn7JnRc3OWnTBI/ TOVclFRMd7FFdXMIEOte9zlkakWsUtb5+topPNMr+q1GsXaQn6gWoY5ZTqIX6EyqGaKg0q6hpWSX TNqsOKMrWiC5H5DM+zLyxfThGNaQrdvksu/Z41SkyOFFKTHMAKtAmnPx8oi+LSwLhJmffClzGnsC Rr9JRioxo4ymJCl2uF4gfFm5XWvwSn2E664COuaOlqNKyFPl2zkanvozPAd0lIla5oQ7CaEM8z8R q2fNZlzyJlz2MBdaR8Yeihfzatq8R99lKOpexsIoepugFGNtfI0biS6afmJ7kO7gTXHwUzTE6FIS J9ScufC7nZPOwWlNsU2aZVDqmn1nou2m5O/s2LxCAdN15c4KPE6rpH2frM9C1r7Rb3OeJIghZisk 5tWr704rCwtbYBsa2Xw+fFfMERjYcycz2BpTrm6o2HBlItvmk86idRaFwSesW1w412bHeGemvUrR LH6OWB4H0i3nf6Qb6fpoBE09DNV1tDT1pad3n83nKyeFU5umqt2z+pjQrT9O8SapUkIPcZBvbSls /h7d/UPc1ZfHlb/95muobQVOVZnnskxWKLUMYobe4doZf3KNnbc030krD89HaSP/bLLUUctW2G0j kOP7gdwtCr2F4OVjCm2Wex84WER1b/Znr9qG9WNjjjDeNrV40DFZLipBbs+QtUFAgC/CW4iTSSwq 0Y5o7Sq62plc4PzuqTB9+dmfupgX7tJ4NoeCFA5j0Q/VWfxPmz0Jq0O6R1DW4xUzMrlPA6Kbt25b v3W79VbaKZtTUqhPmS9d+Z03BWc6Va+E347p+upKWQqlziH1Rv+UtLL9G923C486TRKmOKTCfq27 PczmRe818DNCsrzlcPnong2zh5+YzC3HkXpNYxpdxFLUYYbKBMthlExtGp7rB5N+Zp4By1F1pY/C ncPyO0t4uOJo//qH2pC+JC+X7byH20RsQG68y2vGjN0kvI9YkkRFKL1knzDw+Cw+rZv983FlyeIZ J+r72Y+Kgw6iHKY96Q7CO+OmVqmYWrQZPbEPI3VQ3jd320XVpgRwm/FGsJF9bLs5PzzrsKbdFB1v z1IjYRKdQY1OhYjvNFdrrnfXQinz7ege/MmhEnIa4Kjq6eM8lEG3fnrIqZ9x/tXpSgUn4evC7or5 J1yh3INdw9hmUd+E9VJsNKP8mFS9fl3WLLUipPvulFH2VyMRH6f9eJ4NybxGOTW/a+XYiogRxnLH rn4nbkpdOrfX8gpVkERjoVp4jtWq61vYyqdWKyG34M7i/LUMzTgOeUYkxM9PyvQWCTB75VzDM4uL kyyURn8mOERpjXS+KParZHrf2OhvJPDEOi6Bc/Cwgb7Gl78Ei/FRStOnSFZh2UHl9zz3tx0EHiEQ tRkcYuYoawhSfQ0g0uxsfinhd8JTR5q194qs/0Gz8ResmH2PAn8l/O9in0lrzzbJcnGCzmfCSVlf VYckfH9cJt+Xer/p6ISSgFTPIXzx1Xk1v0TOzIe+h5u3J6n8Bz8FyX4+tT2xlX9UaqW9y00wH4Cm ++WxWCuu3uG5UqARRnuVS6Zc71PDIomAnE4pQrzyDOEkU+nD3BitCf5NIbW6t5PJOM+ZJlje7rWK ODIy2LJ/bCCk2CIe4rWIG/VdqJPDUY3htSHjPkZxxyGy8MqsRGsteYZUW1wvqdP+iOc8nzAmzLWA UAIxxLkxL/qETMaMSeQ+htVvc2PF98OrPcFfV78/dmvwUhexoV1p66zx0ri+l+bkErldF1rnacux ytq1y3erGY9+YzPQGmsV2bfSG6eX4tWdd/Lvy4bZJWNWnAly+djpC7DK2MLsEt96RhbS2ZsppbJk iotji9W5+qB4PMNODhL5ubBYTM7ERVOFeO2gE3tW7IPEqvhRhavi5vm8+Rh0vH7deuqVnJgJ2W9V ZT4KryRvjy7ff9hZyjPsWGRd/lpuyjBaXXj6cVzyPP2C8T/V09Xc/cWA4clJJiqbsYFmwnRzfcgr Dxy888iH3K/akvZonhvojKVsYbHmvogmjmtdMJl99soPh5jpnEJlrlWD9zQVt8hYKsnTxInsxbNE DmMRiRdkN89jKdDtsrsdD74udbfvfRzFtnbzfGlF/jfvk2MZvFATo1e2037LZsUsJFXLTk4WmB+9 qrbbDcKJGn/GV9QW0r+79QSFISU4QZv3y7b+37Yp53E0K7Xu+W2k8A5DeQSWbcO0W2Vbysi0B9H3 UlG/KXQuXocKbT/z0kk/I+z1ztJcGn4fUj1A/uPM6BBn39yBT4fPOpjrGnuVUDUiMu58hNw/rHkr 25Lks+WzKdvFTywRfIjzLmwj0VsDVf3fauWHRGvL6GZ0lZlMw79Oq5cIEvs1HagfYUTiu1HbjgnH 3bNuzyZqtA4l2aESo+4xYelr9ywRGkmqV9vBU8vjjlvIwhhk3UnsvC89Uo/kdo4Q7Px0MTEx04g2 vfLeTCMl8aDxn7HYikwMLpprev0G8ydkWy9in3rdu021c/KAeTYMmbqrXFSiTMtB7ZrQLeoyEH9y sU01YXC+tP7JLPNeINFAjKyA4TDDQfvkAde8LlvtaDmtLlOtvqbYRO/ry9VclL3dpkjE+B47jep8 u+LOR9if6j61NV63V3V6Zrcc8kmi2NhpCs+julNP3xOjqrt5PvURVeRHNbMCnhV5cTyE196IY8zj TskMSsyaBWro+B/yglU/bHLbf7PJ8Ap/QpA8v4dB2jUoWCKDzqX8bQDJsqdH6uZ54xLEu9mWywU/ j833zl0nwv7cPP9ph2TOT6ZLot8+lRS1/ygbiYL8NrK311EQ23e92Nn3W7gime+pG70EFKh+Yjfs 5HvzXe2mGt/xdZqlOfHj271wHfvidrCpQpr9Sq/7pX21hK4xOuYnIy8UVJqc1ys4/h2vw9B5kI+K fB75nj+JR6bW7PaUnI+bP5OWW35m3BVwUL30LVe8fZ2uwvSdj97ijP2g26yAq1SqTROJBpkmvyz7 jpBamHSC7VNJvske/LIKRxFKyibsgjhjiw1vSzs0XfF9TIWnCi/+lAq3v1PNqH8TfVLjhkbHwYBP HTlYKuIxFfvdatxeqKPS+AJjWSg9lb2Xzb7H24LAnV5/b8wu9lncS6VHcwlNR4OCXhOZ/rdkUpkY 8XRUdNXds+7IHzpy4qIovX70Ck2UJmiKu1gFYYo0xCoigKMmwFnr55umpT3lyJNkp1aUt6uiH/n5 njKcPldiOPTrFEn+cpo2VPsZN+xJVWzoSMxtntPHj1T9yx35/TU6jTC5jZg5otIdCPAT+ntfJCIY O8tjDL9uWSD5X+zdU3CeXfcw8KCx1di2jca2bbNhY7NxY9tOGttpbKuxbfX7ju9n5sl0+j7zP+nh Plkz16zfXmvta+59Xxxv36izsjIHAif64vTTdM2wTvtQPJ0WHpc2V5KQPbluucQk/Tv9K8J8xxMc EAKlWRzlJ1JmqA89cNWcbULSSL+udU5Bnur/Unqmpncy3rY92d/iSitjqz/P6J5CLWhoZEaqiAgy /5GNyfDRmPgnxkzi/oK1ctDqIM7LsrMpXMthDxLnmXdC1TA34rARLvO+bISaedlCjMcI7O7XJfgh fIILfGpBCaKaOqe0x0elIgqGegEXfvKADxmwz7CP1Xj+qzHVOVq35w+IX0ddwAtzSw4QFQb2MlDd /cAyPk95yOF6apHNRQ9zl/K4l78Wt5eed61XUpHsfp1t010BBUXBrCAt2k6V4V4Ci8R52Gbtgt91 jkWl4koesAMDfuiFfhGLfMsp0jGpolksOEabkYnKzHrKF11ZLQJaPI86VG1bkZOhCeiDSAdVhF9H qeKbD2C8q1/pY6YDPTcJMI/kXeVv6vHNtjcZcM8RVVoZnZdQH91V/fziq4wCyVNu8Pq5Z9A7yZYp 0Pgzp2oFaS4p6zACbWYOpS5twNuit3uDVcdWa5qEFNgTEhFMxnwA3UAl5rnBSVBOnfSFSObnPG/d Hecr3flyppWgZnssPytgTM5r+3C8y9Oh2GDr5p7MQ/ofofAZb5wQUBcRJ4EtaeNBI7Y7jzfkZK6s Sjqc7T4GbbG/ux6o+LkIvCadt+do2Jj5Q1kNPmIPjzbHqDvfifSGlVWpCGX1k+WzBMiCWd1lVBOs 19tjt8UnEQ3ha3TWvHCFGBwJqnZ+Ux+eipSjO89ENBWUBMD15SxxS/yL9uaaL7N7ZqGGOHxVLih+ GsVdh39H2/LDNfeFJsehufiYJf9+ogccmSbnccxq0olIHhLsYUKLkqdpErd1yiKdiszrSliRvwk/ Cl6PxSXphz5mb/rHW3DHb/w7Q2hBYzbL7PikLXcf83hRJRRXCvJfrz5LpLXcPStEPXAOC4+AMYdh 3YQ0ttyJB9NPwo9xDKlINNZ4cP+g8RNH9KKljQO51Vd6+T7t9wA7GEVzQx3MkJOSU7b40vYBgk3/ WkBkcrpiL+asnRgtUYPasZ0Jd1XP7Cv6i7b74sAWvyORLjvOiasw+HaxowkulgHDw0SjavBHylA9 uNnVzTrJXGbMhluy8nbp81EYVQ80+PFWS5xLpJ7F2JrBxBDDAybmOnNsgo314Eb+IjNZ0ShirwlA b6q3L66NSA67vP66HRSvkGzveasYyAMeOXO5soO+qdjSW6R5z1utmalZZe9SIAj9wC3z5hG9prJE QWDFVEydsyflXvtcwp/6+rv+vf23beiI3wfmEXv0Y6U8lhn9GnD/nTvO0xcv6LuMJyW6/7ztBY7R KfZ1Outb0V166QUa2F19bz/+X6/fqweskSppeuM/dQvptu/8yNhX3qsHpPo/QUQo27T7A1ZDBKqY 7d6rB7uQI7O1iq2ShlVNerCsKfqA9aAUJ5sXUmEkbek2CjKQgE8bsB68t36vXmR3DxfWR7tISeIM 4+2TJHobCww40ZS2Z2lOmd2LrC2I/Wm9QDficqf5ItKXatMnsAld1ftevbBhn+JVviAzQS35yHD7 NozzXr0IfmhRp73YcW3oMUjAlANru8pa8iAOT2VPAb9tI/vB9vN3vWsPWpBNa8zVwpQWbSvM2+8C eoeAcPGZqI9LSrX1lXR9kz2cfDBAjUpWG6HUvREd3JDVfbe/qHjv+XX4fhRNvyd+/QJe8b/21EML Tp1ov6U6cqBq6CozzAzoKfq5BKmPnn16LQn+lDDKoMYTb8kzp/5RAK5ndnGzUbCq5YLKL1it89dy tZs4zUK/4e96+9M1oFdaTsgpWWE6tBUFCCVnHvF0YN6eIzEMZxMSpa2O0u521Z9YLYrzyFkaXoSx 9axRQePC7TGZZFWs3JxnSON854VpgH7/1DPWgxqdIFCMhSvLGSjMgQ3ve56JP5+TNmo9zpPal6cd 71iWCeapbH+N0aBLocDsoPxkakGyHManfHITZoIMtrETZhfD6PARexu2ZVwa2K6Gxu54NMFYQEPQ iMLkh135AYne5mnqLNhXseRh9OWbLmBal+JGm76KAqvLIUxN0soNkVPrq6MVvR87tSbeca1ANho9 ZffYmTH9DoqTbZqM99z4m6PTDp7LHGxI4SKUNszqFlbYzE2hxrvJHWEvfLI8+G8DkK9rxvAWKb7e 6enoRcZY5obXNCKrznEhnhhHKLNCH9JF+UNCej4pzGX2RJfeOtdr4yjR6mQINSx5B3afwIZ311J1 sYZ6/pS5AE4vs79N0q2iSU5TlC8uHteId/Ow+cBz7rNp+Wm9pchsA+Y0DTXgmOPxG27XGWng3dkH ODMJT5Mv+t2rRgkcypAJa1+cCoAPs0kz15F5ziSefulhZBX0Wa5Qw/3uft4Zlk8Hh0e3H8Bqrlpu jw4E3M+E/U4/SnBZbg/0EsHgqD85fpoiZoSxW34JrJ7HGiGYHHPhNX6xgJoei2nC0YYOIuy3sWov auWU3eZfe5Ua/anAoY849XUnW+JFpyITnGYTYtg1Cf7lsT7rmFwGGBoiYifm5USnIRlunLv41WFY Ls107H/dn0YK45WSiema7nXgNupymbYB60l0QmbjIcgpjncVvrEqGk/679YTQ1GQY0OmAlGc1p0D rcdKe8B6shjRm/XQasR6zMcQSABHZAC4fwnAYrc3XaOVPLG+L1mwPKsL9rDiZK88JzWp21DbhZNj /O7+e4IiZahBdrbpp5aecvmY7yueVCJL7Ogn29jobslfsfwrVNmPSHpQYKq3VTfHccgx53f7CTCm Hz04tp/ACh9o9Jo99XfO7QtJ1jnnoE7PZuaFTbHE3+0XQe4WSvE4GXfge8yg2afhsWfgtaMVCn6B Vr/O16cbcsPey2/boNXlPZbM5bhEq8FpjmL//7pfKDFW+u441nIvPxofCeov9ALmNwu+2I/xQc9E C6+dvYvnTRXMElmZuF82HULev2XBQrLDpRitLdpZHsHdwC7K4glDqTpFtL0nD/vmm/0VrAwEHim3 Uv4LtcBB0UX3yUrNr7oreEc0w7gc6gcvIZm39jYY3v/r/sKu3fd6eMGzx9jKh/LrZg9W0OX2W+YL gS8k86eVRHE8JD21usTyUSB+YtmhW5WiBLs/7SdBD1GoqwSeJ9SUrpREfuHOvwJ8vueYJL/YH8J2 V44f7sXKTj5NiNwqsg6nGRI6e2tR+fqOYccqREI6Tq0F5fuVJ2B1B2yKL157P67Z1PZsfp6y6C0+ 6WtyDxd3WK7R9LZWsMCrFJw0YzfOT3ys4SpcVYPze+X94bvp7+PBIrNZ0H26DvYx+te3wVT1ZNvM gNEH2Bt6f8cXtWHP6o2CZbDZmtCHb5V709P9RHset/n0vtH8LkZLTNnuyao7eOY8t0VjRotA8I4L duTjX2mcaahKa9ZDMpKv2sqcjgyjxQOnITmMBFGZeYGX4+myZ5FNc6cwJAb1pLF7NgxCthKfDcmP Or+OlsbDRTHlf7ZWjrH1Ivbc13lercO0rIs9Z9m/1dJAOEAdiQo2pVNZhlCVZx+Sqb6i9KepYWHx lappJwAVGNsLr2zczZYvDNo53pIdj78ffm89awjJNvB49nRavklxxYeiEKQg++EzhqN062XQ0/Hx 4x0QQUHWVmgFm/yXOHGs9bMLJCIrJhvjsXJPp5cGuoJ+gpkHdBCk6VKU7wJTnha834inWJV3d/Wn 0uqby6EaziJEWUaAb6+pqTirtOzYtkd9XVPPFg6CibwXj9Qr4JGpHWcclSRbponfPNdF9pB1ydex JRA5vHjwDqg/C5arILeJobeL/TShdwPpeRkMB0aV7UkFmRG7BpnSH1kEw52cLw50+d3z9ZvjDxx7 I4Z0dtL2othP7HWA84U4jFynvd84dnOzAbnEVrZaMMKItvaH8xsgiY+UVT9AZAHnB8D+HZ/cIfN9 lADKodCnX2GJNw1w/qBfojWHqRqr+Lk7YypjKWLxAz1iUILE37/Avp1Pz5YcA7DfxzFqaJrnJNy6 7MZdfvAlYn1v3sjDqM53L4aSjm6AJxFD/eDlyectF40j3P8TD3SpeiIv/XfnhffmB5e0rNtVTojQ 3qHzRimToTDA+i45pUL2hgUGQeYBb+VJMVUAOA9A7WsjezJmHE7mkJrAMu+L/tfn1TiwzvEFctSc 1bMaxLKs+fv/9Tzw3nk1BEJSXOkj5k0bzAjlWzn+P95f/W59ttr6KGhraOqE7puAM4HCUAqX2N9D qRdT1T5Df3Vudxj1u/V31fITtqg1VvmWaavGFWci0YtTXqLvPFbuJjeNWmz+Gpg1/AYk8OepF0Vj bjDet3wfbenRKsbTT28m3lPI8L82RtvwE6tXuxTQbcdD3GFl8dEZY0KorwZhcg0aFr7YOde3RDc3 YQwDmeI85CSrPt3vKH+YbQgm7CiqtFWyAie5yo/lkeEJ+3FQT9d8BRqsaHfngrVd4aIsNQxruaaE IDKux5N6J+9bXuNaIoOwwa65VKk6IkJkH9GZJc0n86Hn11BufmOeC+VIcaSvrHwqDMW+xXYr1VtD ccWhk52dV6qu1Ihfyn33JSRLlgb3qc6ZOwnDiSCJvCyKQPMPH4vM8yhPpQ6veTlatuCO+mF49fQ9 /SVv/MSmsukHl6VkZjsLcOJ5VepAk+6oNFZ6QUZsE+Cz+sqLYJ76srawaTZ/igvFtHJV3lAuQ3EV TLSwGi45A3ANAh14Efjo+ylU+SjgtkdaSDgU/lkXKS54dDcstM+ozQSyar01PCSdz0f+0PCXStsE agvijwy/lsjnO4HgHOw/bZAQaHUHhrjxU0eAFOIj3EW/GTNLW5Oab1iK56QOhkcMfczyPdEZ3DGn 6N1nedX4qTzWzGYGvQrNpO1lrVEzE8apTCijlgxPVMVNpH7ZsTYnKMY0qSSoa43TRrZf6ELUhTpZ 6gy/BL+pgr8bkiZMEQKFnxojsxF/BFkAwpGqji185wP3ifPqdQrxCPmbKhX/kOuKzpuZTzASxeeE YdwMIctJ3kwB/dILkObLW5zD28zvFRsS5afA4LMcElyzPRX0XV1t5AX1SmKB6rglYf4gtro02XCG hUk1Hit0+yo4eKdLQ58a+HEwZKYVnbnT6bBepw/2Mjw4HjS5GfEi90+qhoT1TkWF2Lc1ovvze7kU J5qEiRm/c60cHbk9BOJdVIKGBm02Zax9AFkaJJ/tseMXkMbIPfPBhcQKg/BQTuD6HKpIh6tyyHKo y0adem1AWGvCB+ZsXHDgY9vDdVpQ6xr15d6XtPwJwnKhoGVG7CN4P2W5L63K2iJExBopVeMsBUby g0qoZgit+P2Q2nyUCJoqh5RyRuEk+HSIvRu6x37BMFFl26lWQtjedi9MvMqjR0Y/PFa4FSUfgj14 eoqBYn22aEbaVNJxePeQahiXUc8txWNaT5HtXKfQwPWJWLidnCjxT59LPL+KtUySo8cXP5Ac+ZRC abJ6ZUI325y4uCvPDs/vPLNmw7hEsJxSqvlkFwcwMhmR5+4LME6HbsSqYLnkfLP+BIRh1vBu+dHf QfP0lE7S3bQnJaRZqiEleSTFMHDQFyZKjfPxpSE/azO97dIDDwwjocy2fWdYLcfw2l8CT7VJ0Y4J gJiFx+ZrmxIEa6p/wyd6suZLfbhpNR/eMA/U7M/jfYAHdh2NxayDrkSuF6IpBJa9CzKZlBUwvTsu 6aywsRfXuMnNyY9RTMaVOu6WMQZx1tD37Yz3QFqVpZ4qYYZkGAAt/2gZ0/3CwECcgnF02wg2IhsZ 8nwV3CsUBwZBXngjS8oEOcBs5TGDMU/VopTDvK8h7UTtSBokGy2f5IvN0zo6/rXSzEYW6y2ZdJju y/f6LjbqLC+gykJZhyuh2eo3PyJOUB21EvGxTD6lCuwrfue8M6LpXhUEMnjJzinZ65S47vz1Cuxq QwjZeyyK86KTx3OwolXOBKO4cf5wiBTscgk8v7Lg9cQFu4JueSOwbTXc2qW7C/XAr7P4/JDQyXE7 Cqz95R5nuxeqcZzdA2aVp1/C+cWafHAVT2oaJb+p74/wXhdL5xCmYmaxSu3qS/YqgmOwQ9iBV62o rIhOedTXnO+8TUOA+ddZ1gsS8SYnZF5L6WgjYkch433BnC7Xhr4XE4G85J/WxLWonNrkASrecApX VLTW3I5FZjv6GYYLRW4febiw7AAh6DnoiorpvNojt4r73RFxbi+FLETh+BPR2vF5ljGr3E1WT930 efJhBFVohgCmpaNTMzb4hVQLtwzRRK1mq1huUIADt9nuFCrX148Ca04xyUyViRu44WM5HJcuuFrm 9K816rMUBBzx2qlejcx214HrEpPgezOwWVhrN9/lupqikTh3sk1hubciNlmT+2qxSMyaziZgcCrH p65jlAx/FlhGlVIcMkwnSAaAEbNpmyv+FHssOpJNNmrVrLpPCfT0K7Wq5yHpw20nfRMbRIcEfmFo bB+Sa4c3iN+GIcyZmwUJojjHtQ/e65ChzXIz9y35hqXv5YOcESuWLagQ90Cyz8G/Aqpc7EixmpRx CaEmAbRQ7L+OHyOJUCcZTuMxNwhSEKmilVP99Po5LdJYiqvpl6LTIatkicolfg603+N5oCARzCcf 8x8K2CZurqrdIDzyBfLZaGaz5szDTnk6XkY/kFjG6uxVLSM4upzXzTjNRUqL0bY3pzO/ZzCPxCVh 0k6y3OMNDk0ce6X34/rownRvYg+fv+zyUkObVRQbXyEpMsOI7QLGOwpTp1RSlacHjnIjoUyf0Svf RySnzTN3wGKndDuULNOXcuLO+4JHMEVeg/pwAf+IDWo2pyR+VdSyoNDZ2h8jpwsYL437Ky4jjZyT i13Qbd8I2ioi9uNaoXkLwtJVDT2PNqugxGS9/XxDzY8pUBaaFjZPf9DByHZY88hrMJbCdIlhj0Uc sz41HcMfPccrBFU37RkRboNg4qdag4TfojGXyeKP1QV7ZJqAAvOjQOUqlIAuCy6Qvf2L4Z6orCL1 R6+rRo1VgRoFjRVqCAVa+ulqg09J02nT0auIFB7VEF0LFMieKqZqJEdg/ZU1dVhnvRANnMr0C8hH iQ0pTHVmCS3qyI32SOJBBrtSv9KVizY5SKG7V/iJOpwJ0oBd7lKBR+RtdUggh3wKwnYQ9/iAYTSc kLGpWASOZNNkytBZ0nDQ8pAofwcXHA6Ohrg6+Sw9bRCeZR4hSW6TRzQJxZ2pgZbOoT85m6Jje0VY HUCkT4yZ43P7yNq3BoaqybKpdmuTKsbEXUu9p8q0kzMrqUl4zB472HbExTS9E93w+tytgmF0OFR4 E5HAS1iJ7lYI4il7oyhIE8K23OQHS10eZbHf4ocRo8eR34NjHhmc1URGkj7cXOXtQ3fqi8dly0wM jZXBf49UNy1YZp0TN9RD0fq1tjmIQ2V0UfTNrKacJS6a/XonTZe3mp81/Fx7DHQSHahYGg2KOXpp HTU2Ru3I+2KxsqLDgNeFkhvweWPBkb9oU504zIN8pHd0D8dMBOHV9sRhirAx5kTrG+fp3bC0wETQ ng/swYwVKZiq8uwkdDvQM6WvVVcAbtV1mZsAfN5keuVgq8Dw4gyijGO0nPV0/Imn/W76R95xZK+9 rZWgF+1wOdcL38xrxW2agAeFkV/cXp1T3FPc3GWL2R4w7N8LKN3CdpGtyPFyUMCabiaMIEdJgF1k x9ZVU+P4Q4AHS074zE/eWtHCi3IDqacFd2+kywi03jw/Fh0JBvefd90Ieg8KvXno0HCwqQ70NNFs O+Z+0ykYF0dndvZ+kxIakoA8m74dSLbC1lSNTC825DWV5+Nr11bwTvqeh/c46pUDkXq4deMisSIy YWAJnUfPkVOy6ZMKZkFh+vCh93KML7pvRNoxdYy68Byz5qe+uwx5E3HD3NXFl+xO+8yChEleb+Z0 woeGtsu7Hzi91sxLU7YJk6UvI5/46btQ29KOMVtMLPXyc1Woj6OcoXLErcb6F7sWZPH9+gyWKYBl 6u4yZHzIByjUWVjGptJKRsMyr9IjUS8FOCYL8xFHUrKYUygD0kvqQkluJpOFPLz7WFcN+Bq+vHJI GUOADoZG9GdjKAYxH2bhBdY1lcbpFJZOq/J/5Bco8ygAmoDF9SQoGK+lBsaKl68gidJLBzUKb3LK ZrwShR8KEdnjx10Ng3Ye5ea+jsdQpnbNaoVraQk9+wRcCjP62eeDpNuP9I0tLlM7Db85mYKa6g19 TwfWFnqD7fi3XBTIAELG0QIhTCKLmrL0+GniX4FNJWMGcwtfkX2RH7I1OfkorRs38YHU7LzdcmgH hL14aEQRxmsNCK3ZlhHT9Z1fa8ONRaN+SlqIZLrFYjMOwCLdYyCDukIz3e6O5dduQx2eFmjamk46 m5xM6os/5SyZXgqOLncSOo1xBqLjf9ZX8ENDFICAmKSZIs5W9BSvQaiybKS8sZcW5AtaOPa4y8Qh aNqpNWLnCYw56bj/LvBK4VEyF4Osm5HJ7Hhu1IesbxLn1wrf2xrq6g7KbAA2oC9Okcf2cnjskc1X AfZ6JHCd6HVW2yfbuMoV38j6mU43Dp74oGduK/yMdRMrdFvRoUbo/5/LT6NmIYeinhO6h8heO8jm nC8fPJRBva6laGCQQ12ugQ0EmDtDVYyhW1I2iD54XcYK+AsEufrxc2plQRSqp3aBKU+alg1xuNDD CPVTCA5ro0TX79J39jy1l9UKmkh15sJUiXF/PdHW0W7By1poqN5XIwm7YUwsg1MK7++CqOlGuQhS /nDxUq6Q6A8Lr4Gw28ttGgP4PQAkRShun76dI/9DJXXoa1sawO8B8MRyIjLK9xjz8twtsm5Gl+lB ZIvo0lGUY51AHSNh90jHIDUrk2P7mRf2fBJT30G9+7HkIEu+gq5EThMSW9rHP5/ltaHvK/0xkA9c XdmY7fHpKCxuVaFaBMfhuX9q7M2lacM781t4uVnXV7XAWOU6Jky6yR4Nnztd1XnNbC55M6DVCzpn xZIrX9Dzl9kuGIqENjVtK5/Be2EWfC0ulm/9l9SR98PiDDkuh/uLDoQ1XM5LyThV2ggKTxSVNhcG T9ogSwIGbqDgfeVuaIbqR/Gb0IXfOkrFLb8J+GgTCAR4YskJwAZ/10qds3+xWRh3zA7ZK27FaTKd Lfo2O7Z9S/VZruDVaWbsYSU3nHmk3kzRpfwgBP62TWRv8oicW/AYNcb9uBZjxkNn69P3dIMAKMNy YCxObpbetmpSoQGeQoI6y8zguVxir7bdz8uQmPzsWhlFrhKy3BMqxhBeQYWRycydnUpraKrZTLi4 whv4Eqn1fbzhYI9si+5Ps0IK4uV1ytpaaleA+d+MmWWPOmuOv3+WPM/VoIvb06+kwbc+qO9xNEux dMmvFWMDHdTP87xHX2yHyeK+9UG81GJs0JFtaLtOsZaLnSv/6+nfPWU/S36ULwNNV4EJYMMmmfGu 6ncWLQrLv9HK8602+slH8A9PjN3P7DYWo+ikmSu+MEHE6DNjSHpUrm7Hnlt1kPe9zCDdUBH2baS8 xY4l9mZiRKf+G2GNDm6eydpQKIEWKHu0TN4caVWtoqemKcQ+KnGmLnOXJlGBQ6+vPrNXLLeHs3ZR 5DXWpt64ArWwhZQHoFFymHvWhMobKuQXnVXXZ9X1jICeQIUZy5Vi7ASGa7s0/MWFjKKC6kfqgekd t4zxIW6JgdXOgRuYWvkYygJovlbcNvElnrjG5A7hkPjCc3rOxBwjK/sVpqzfANMCP8SjIv6k4fFa EuwwozLDtZtZm8maNVi9PNz4RlTIci36Jn39MJ3s1w8YD9CTiQ0zflgk9nre29prYAR0GscK7sER B4qsKI2GTGWNsEGap8gvYM2LcEQgVEso5Lk5QE/OFQ8Q5GVxWo8QG+UTNbNdgJ50oRadpiFt0zF0 IZzAbcDN5SD8fRBLbGkc+92UOSr7OgA99V2mJsb7KRh9iKkSbzzwtxiI9zLZLgi945BwlFvedMK0 Pgatu4ktP1vPbtiPoUkpzSWWvppFwAXl3uIZKooQew57Dp/BJCw/isaNoxZksDZD6RXs9fTF0D2k zVThXU/eXiJMrE0s12xJvb+Lr1ALOPrr6d89JS4lmaJyc72igD6RSR/2q3DBhfZjWTOU/trM4LDn m9J6zxPm473HeQjurdEatgNWU1C/wXwIxxDQ67VWFAcl6tKHgz/1hGETvaMy+EGTD9WaG8gJ49N7 nugUWX3Qi1058KYO7VaWB8+MZXeD4zIyy/V9qjLjqMfC/9TT4UJesg3MpAnZl4YCxuwUk/c8eUYX qefHVVklwH/pdakuSOz6pWqfK4dYqdW60mv6EVUIabedXkmwfEHySSop4YXX93c9mRnphw8XUcAh foNJv5JRynVzTtGZNVVmcNwnJE410kx/z1OfNGb5MpFyROn2m97XBGtxwPz/9fTfeirXnQa76f+i tSKVBOr/ce9uMgTX42E+iWxpJ2RUS9MvAdDTJpz+0aSjhgFoEAJG9eF0uQRFgJztnYrJutGIGM9j x1kVsBxNfgAtW/sFldbs6gW3lG2Udu2j5a4OVWgctCgOZnZeDsNGHhM7GkVl0eVeDwPBciVhbbt/ J7R3RDR9Z0djNb4pkcuWRwnEJcTd4GgOXBI3g19pccfNl6Ckc7H8dUlATz8P+Ht6JYJq/V0urmDG Zqi1+qWK7B6ViGiI873vFwy1arCVj+e9Ax7JuNoXIJr3+XvHPlzcM2PdCKVz1TZn3p8FnRpgIj9J ESsqcwgIbsMw+Ass8Aht/9LC7SpAOLJr3M6A987OTdyca7QjgioulvcBjAfoyRbETGNeo1n2yXLn mao5SYZ3X50R56AqrsT/qDN06rsvb+PY65hCUe/ShrvsLtTWP77PhRe7qSErKzxMTSyWg3SG1Qvo aWz31JYDW2Q6U9sQ2u68CPVx86m+s9ZabeBEJKYMlCsa0FMg1Jv25edzIFT9CH8CrvAK16pr9MPZ nfL8wggRpyVyt5KEnZ+g62RHEmGiedcQdII6+hj8C/LjgUq1ksvZ2UAdxzFEl3ALo0kLmglOhTYu mvjdws4Q5QEf5lt/YqMxMQnN0sq0FVR8bS3tQKLUZ0LH+uvp3z15VmMf1gAjIVxH8U2r82GxKEJk zV3aVFqr/voQkGTwBeI9T27Urqtt6CAwun4aNRfljNkcjrUqgwZeQFhPa3w1sklJf+ppasJV5arx g53D+VyHusFZ/Xueio7JZO0QG/2/lvW3x5TpKRzjXsDcY2mHaY43QTM6xc/+qacyFvPhDrreR8dm vdUCB3r33/U0+yPgS05oBCgklOtZ04t0oPmjaV5RtoqcoQuL7eCp6tXvesp1tuvZrafCrhtjKiKS KDaE7EbmZPx6aOwQ6qNDKxQM+54nxQ/UJDV2oaIRgr3o5m/im4D5/+vpv/Xk4Yj16mIFRrQXcO/U hifL6UdSJVs1kK2ZHwQ9vdNJ5Aboac0nwLYaX1/gtN5HbtoRqx286COx4FYDpuduM3T+XLsqKHRt apF6sQYjYbE9k70d0NMXtXM0kGpDKoRXhiaDUJCcquqJH3R8a8rB9y4UJYcFH2gIQRTNN0+aYDul 59FIj5P1heVhymA2zzYlgJlvHozjlR8ojMt8qzesspOwJ+bJAT01VXyVNhGWCaHCE1SLcft1foDq msCMN0Tgx+qrHmVoRGOby1SMPhJrjdCIZwXWSn2REdQW0BzaZsNFjWCmLllBxYlSHxvvS23YTMKJ V+zHnPCAKsnORyvnEyyg1ZUUy/O5geTM6T5u7lJgxJkmYnRuEzAeoCd6zUhD4zuuLf0XDtoWxrmg uboj+hrBBiWrTO5fZ+4UCgo9mqzgjMq3C9Y0Yb0f3VAAPdWyd7YMSlrFJfacEYcL7yoDeqL1Jhmy KjTfis6RLrYRxDa24n2yvCYxP1ftad5WiCIfA/R0zx55I5t8QTafCGT0QgL+8MBlsowrPOZdhIRi PsBnEbxwkP59jNICrs9Yh2RVz7R1hs/26pv68K5k++fol3WWcvgyISl2TMy0Kpe0ACyPdLuIL+33 7GR1+/FQoKmzCcBCxfX+vd+ZZL8PD5m/JkeInvz19O+eDD3Kpa46iDd+FudwuiHxq2wQdDhDcrZa Yg0CoymBg1K858lh4ODSW5/QbrNF0hZxUh/D02LIO7Rjel5egJIZpnhR60890WrebH+IQm+YzPpF vwvZgfqepxrvs/1zYSBwOv7qt8rUTJkpDKLliwfmQeHEw/xPsBsif+oJtP7VuFSfqFnXJf3GHUvc 5Xc9lQ01siBSmPXnocB+LipBKwhXmqAgM4icy+SjTdcyBmb+XU/8ILf7z4n9zKibUWxSTCCiOXKL XrOoFMINIsk05y0fiN/zBIZtmJKykBBM/IqlxBadCgSY/7+e/ltPUQ+dS0HQinVgmtXhYsGMdxyT Z1zfjnuCqX3CTpR8FUAAPUmxWqy7gT1uUfwSYg7ornOe8IiUhXvtc06oC32iEyQp1xxlf+QHsxsx x+X/7EfICnyCUQyqJEdNUgXmHUrF3Ell8wk2El34Tj68ttVFMA/CnlhrokC5SNNm1ZIhNTtXz6o9 1RHXKhikgvMAKPQqH1RSJKK1ejQvYofHqqN6ZUCgDtATon0zZlyYfWVudgfKawhcuT72U7MbViZ4 yRHHRL84Je835wytcglcfsWnjYixaXgoSGCnKkojbdLGn1Une+391gWT2thA2eNtdJo3jdm7Nw8a pl76oiTe/h3EzvaQT3UXbzRKYzDOC4IcmlDmPvuU1IDxAD2xGyOytq0YXdhTRyDh5TLj67V+bJOI TuvfLk7qU/KMo6R9zucqs7HJAZ5wWYpNHzsB9EScGEpuGQbanpvZ4NYTQ6YD6OmrYgMCaYAutbXH 5xG/j+GSEBM9y49z+w/4MI3xwaRwqoCewMhioPP7qs50CMzC/UMPm4SXXMdTgRhnWhPk98cx6Vgt mILcL1tDXXWbZfCBbB0dUD/4Xwm1mIdw0tlpm6X/wuMMrmoxvWNgXlbQNyyEEQ6AEr9PRfsIvA83 w33ptYYkLS1Ex+fsyMjwJCKCcFD3UP3X0797SjyGgT8sc48ZT6Iamji665cefbbM1SFhgI46FUjX iP3xnic6KJqbzclabmFmg0ij4LEU0jBo4EDtKAM/6CGeFW8shT/1JGTxHMSI1eLCMfXIIYUX+o/3 T4CeWA1te91nbmfAGHqA13kqvghksklkTZwxDNLscXa5kZX9qad51NPUmJNZps5fnGORBiVlv+up A2+e9E4aqqbFYf+4d8pGNIgXn/QHXRwmKaUeUlmV4MbvelJiIqIpRXSoHJuupc6GhSqnHDOj9vXJ eCtZy8OvFbd3fM/TS6LUbdoJ3fxuv4bfJ6IpMMD8//X033pqD+yHHEYwOTEXkYFmROiDsNvplbpl ObDZB2Lw4z5n0wH0tAp7cfn9mtBqRYoz+ATTARauYH5ddEnnWMhK1sTi0KBCD9820eYBBJ/4FnWP n8Nbn5KYN+8a/Xv69cGXXPOExjyqdSiGp35MEbkVxMjlj0tHCPltfLTlPp7TS/SWcIEVtK1gugpI zNcehTH35xNEPLVlN86SAf0mN8MPMF6Bmq1LgJ503Ccc6lJ+7f9oq5yZZCf0Sr40R093x6IwF4pq V4MCB6EYLRG2tcvRjUeIOayRWy33prtFzxj8eY4YaV1QyXdd9ZGXZsl+c7jpbZg7iU7EZZsAe+pO pnMuHjJTQfL86MbfLs239jCh0MxHv24wDthTEzAeoKeiBpO2fOiIuJf4i1LrMqV5/mitaKUaHkZ9 rpxgG71yUDcsWE0oEqplorkjw2QF7RpATyNRRV9rTYA2mImZQL9j5RMAehrK7tggbyFb7uUXYcBA O7HULtB/24UU+Bk3I8yH58oQCeipV4kpFJOE9BOT6bFIsAVynXzlDT7LcOf5fqpgpAq7qON+23MS kzX0GQekd+Z0wnzWJWkL/XZuNLucO5MVkg2R+mU5hfA0ccEhkB9efqfaAfFN+CwJ55o37qC7RHZq Ju2RypWIjZy8dnaMb5JFOwUu/l9P/+4pisvmuZH+KWxomAm+vYdu9Gx2rWa4ZgIEZnX8XL3qefg9 TxSJXtuibbklJGsVw84rcXwdI9nPm0wxETxp8/mXNxcNf+oJ37p9yP0yUa0hWxyL/jni4j1PQzJQ Zzv08uLzl5HqcXHNCWkqkPRreRswrAJsORWEJAl/6mlo5AxroKCxI56SKSV8e1HqPU8aEDCpub60 PNRRQjkREzeZv1ihbHNWF4qBKWGqdl24h7kazAvqdpMFBVM8wS6JlfN+19OqgTCrhXxJYG2JrPNi jS7Bhppbr0qoG6bOSws+8Hxi03ue5vWrT2WGRypVZAJ47PRq2ADz/9fTf+tJObeRxbMXfQCYBXM9 xTqAWrRLvqWvzfTZtEqL43OEzj/mpxGCAt/xWTrYPjyPDHafMzkOSiMMFZnTFrEH3PpE3Hu7r+Co cRipSZ+n9FvN4GyIsips0rYRe4fjCgsKfs2zx4t+L5wh8fOaq6O9nkHadunBzaUvJZwtnJPVx0Ju vYBWWoKWpG/f4rNNL1srkIcBKskFNptEucYwTcY1nq6PsyUHBfSky/Rx9Q0Zrzw3l3WiBxYecnce mQdMOdQAZaTbAVN20uVHZ4UizHjwTjFmwLQ/dUN4x/Mwl1HSIaP6ARDTlnpLU3t1Rep8RDFvo6Qg nOJyCV7nHi5XKbOUUgbQojcLcBaIFP03zN3ufs01w7Uv9QpbToDxAD0N/ZwjoikRGKgsbPyuiQyE jB1uFV4fDYlzphSCj3vgD352cqGtg8tG6EKXnpDnqFwN6GkFdY5LodlT2Pl409rrFgwD0JOW09HQ VwQXWWY4rOrc4ytX2C45YcZ7r9oXpR7nnln3A0BPq4yyYsMsrNH+BNHlfbGoIoILfnTUIFNvyTur j2M8icFfv6xJi45wqQ8pcxRIxvp4TX5yDyDmQBuwJZPviomP4qcMTx+nmp3k3Ve2X3pxGJFuNVgt MAWtUsqxlw50NInqmAMPHi8Cpp/7JhTnyXqBJfrX0797Uhcb6RQ7ykdwVfG/eUunwulaViq4vfq1 +ln63BhsZa77PU9iExE0e9T3+unYZsVZhByuYqEDmBtY3gWTq/gjiXmK6H/qSX2yZpMpFB4ImpD4 1RmtRuk9T/CxUBezA6Pt+wRAVh0/QQOrp65u/UiuuPo0C/hDUexr/tSTNrq3IinzziTrd+GLW2Yo 0d/1tDmpvqVO9HrytbtlFbIe2/GniIwyacSI6wm/t04Xl0rA73p6ttyQnJI6yvW/Wa96dBlOSGQ4 8kJb55oROTcIfI5Pw3jPk2pwTc8VNHXis6jozHiCaSlg/v96+m89RY9/WPVOaTbMPO5vmZYRh52D beo2UdgcN+eimhnuSGsH9NRwx+4rpEnntRxcG83TatMrg5bfEDnRWYby7IgZvv1o5TZ2hhDm+HYE 3mv+cDsqhFRNaF8haqNxi4yPHwN5BVdaluOE2aj1ssdBzOehsRywqFJRiNOISaV+lwAkTqUvu2+y dajgYSVMvk7Kn8YCzhJHIc+srvSDoYoKzMTO9ee9BqAn70hO6r4XrOW6jsPoL9Whuq+L6Aatr2Er WXPXpt349iLfMEBxXgjOogZHa9D27AhDMo8ZExbpqMKJkfb6bIkzTeI7iPl2faili+u168IZVimg ZrrzFclbUeF4a8EVk7KtHyJ/fjpdnILpDDpN37/ZTAaMB+gpf2a2WyuMaOXYdDjhWzoO1oGymeRw 90vOlYZyt5TEmfZsSAPD128gDem8PyZeoiWYAD1l4zilG0HOckWVrIhxknF9BfTkQ/Rdqg5aEHnT E/xRK8Gf9WnGJeM2l7L8ynadeMVggQDQE3C0spvUrYq1ptdTnXE9Uj4oQ0r88kDlJ8oiSxHOx+4S bY2tMt9ks+IPng4jkQtZSSwSq4LoN2sCac93A+qERKIVmqTU1kmLRp03mLBTTZBk+xmQ45FlKeXo Pkj1jBEWGIUe80fR2/FQgXBf1nMPvoX+9fTvnsIsKiN53ARzn+FcdoJWJGJwGZXq1JRhXLIfMMBe GvtR3/NUGYZXMGV6Wyw0GiG32b/f0nY+uI4vZjm6t018euLZq/ynnvRr2sQHXyuR2s4tJ3WhUivf 84QPE4rApsvilclqzM9BuwYxhIBOATadRW7qX8aOROck+qeelFOnkWL8cXTbdbZ3L12SuX7XkwhJ 1g/J49VH4WKjPdaXFDsDIS4g6A02O71gkRi8L65+v+spD3oP/GeyliqJ4IWvR9MA4wSKo0bmTzKB x4+uyIrWSpzvecrJdIrVF4ynrekMbMM0UsAEzP9fT/+tp6nk0Z0vQhB6ELVRdbK0rrZYhr6Pz0T4 Qp+lSC7aj11JAD114LmZ5F7mDJl20Xbc4Mf/ODzo8R9ml+zJgzb2JMSALbN9FlyrqxkkpVlFMXDp L/Nr0debOU2XMXY4gvxEcvTKvGnRcmK5kWtXmVl5kjhIYH+sXBph9BYteGmbYG1nb6DaOVqtipn2 NXuROIuPZo1LzZzJHnKPsZaILHluHQdxXQzQk7jM9jG67bfJAWSPyk14tFT4y02R53nCBImCm7gN dj96QcmCHN7dCkenuQDWAsUr/z0C2w3qoQBR3u8TJnEiH1g2QJxMb/qmfwapWVlVWkOAHiGWGkUN Me0WxYOZf+PYnRQIqQ4XAWP8tvGBvNqTZiEXMB6gJ/UgLHKE7R651o7NL1wrH9Ny251+yaq3cX9/ TJuQ42bPBlaBG0P8LKtwG8fvj3Dlzw3oSZHZz3e/pjoTPynYzcyqDQLQk4QamqHNswtVc8MjLgUC 2secqrlG8zxm24CvX42WmOurAT3FNmjftc1xFByGnFyIuxIMiQ8TeAg/LdnrrRcVMvg4jxxYfign 02M/3q0uQ5uw/cW+Wz8eubN5z26CIN5inzT/HHhNVUIbnOQV89HF3BciOxnPW11XoLkg/byTLSMQ 1mFCDP2Oh2c9iHkpUl7vwuynzF9P/+5pSrzFLObZI26sPHv3JR4xs0v8bcLhO+L+0LFz8wN0LNl7 nq6Hf5ZHbmnDmDl3IBqSOFSve2zy2xmCdcgXJpmRlfgq/aknS2rE9f0iQUx2x7w3v+aX0fc8VQ1k qrvvdxVj0QVphfkKipgXECAsgvBAlhRhc4Lk1M//qae1GyEoBxaHeF672v06Qer03/WUaDOHM1o6 c8AmXTnHDEMTZPq9LXv2FxkNsERVj0//oenvepr1QS0eR5JZuD2EkxjRy1yorRWVQ0BkpP2W5qDr uxw38Z4nxbmJ450JkdOJHHJSfnAII8D8//X033oaz+pQwYbQ5dJ2MGZGzWyjmlN9+mnETKfIBzlk xJfoEQPoqRpVpfLAnp+NedCIl/mmmX67qxXPK5TftJYdJpNjGlSLfRwoueb6ZZe9tDZODacAKz3V w6B1bzg+NMmaMBc7A5/GiMRDWve51rzNWnnMp3ZjaT16nN1CsK9GGJ/ncL7FsD1sT8X+XKMgO7PC Wg6tBI64ttwke6hQ/RhF1VJZ/SsPoKcrfWHaG57+oGoaVu7ooWy/wDcl2gRDCSiEjqKfC7Ga8ekB fFrJ7iCgEgLleuOeXSSMQw3frjk37Kl6+my/gudBfsibkoa1X31E6TU95Grp1+Q6070Y+XhpMaXk PyOZQHN5FX0fjdlhW3shMBUeFxTCDBgP0BPOvE9Qtg/ZXLAtW/gRnfw6Fgz9mWG4phRNLsqKqpne FDmP94Av7OvCzwv5GBs27m//uN+yJp6YOwZ2nd4OKwi3mIcI6AknmIRFZACKvbutPOkKgX8Nsv0A 9YratbubdgkPYmENHdBT6UOVlkvSGiwa+qv/RtwOqQX6HthSS9jPQFTnc6yvK/jbHzwe+4cfVUZv /TEGfMgwZLZHD0ipXwxsEzrw+MX5FQ7rBoBMSWM4ZDJaYTAYsSHSQ1ELOFNq9AMjf1jXpltnVKAp Dj/7sf48Mz2jNyiKgP3r6d89JemVPLFvOzeaPcy3V8J9hhT7tn5FR5ubnt8T2R/0yUfoPU9QaBXI FbfJ26imMB9qCG21ZBqLv9vVepOc9Hnb0UvM9/6up+6QU2cqQYdSTcHZRMJPDrGDKjrL+T1CzEBj ux4hLF1z73mCQuasq8h6xtOm/UjUji/yXVe3z9KmqylmB+mpZ7tH+upPPSUYzfWeq3aRPSDwSJj/ wB/6XU+/xpzjIdJtwmCNJ0SCkFnyxUccEea7buNZTMuuxqkXN37XE7r+8Nr2FG6oBmrewDJ38oes batA4+UNxU7XG9vAllqG9zxNvBIXDtrKVBzzsy1FwJPFAeb/r6f/1lOJZl2HIabrlpag8ums/mAe 2jc2HaK9aN/eNvI8V4etHEBPtduOlMATKJnrXYa6ir0xeeEjg/gxOKbgJ58pMQdixI5yiy/VLA06 EoGzDbyAgPpkfZ5HsmpBGLdB+K4FVQ/QSrkTP22HyQNLXXOdjIXSnRucMc+1nbJTycVhc6DtrCAY QgWKUMDJ9ME98eqpVnHpnFQdBs/jsZmQN4fv1xzsQqwDetLPjdMfuesEIic42Jv5QpZm/hEa7c6i rbkvKkrjNBy12U6/x4+LjbliHK4LiIAyqsXQIN8lHETSXpUUNzwVxjkmdrXVWlyBesE7z2iaZHZ8 xHmx/riTXIi+IqawmIPGwY9yYT28Zx37sByhIdQGjV4BMB6gJ2ir0Af87ToyjJ3skhkDL9dR78rL mlaufbtFbXD9oF/FRxdX1IkP8JAv3hGoRdgSp4CeNNZuHesrT7r1u0wuwbhcfAA9GeFtS4vEbtGo DC/tMqN5fGldMGO4m+/mGa43jGS1y1wE9CQcyVF91g1SNXWdxp4QfIlEd7Vg4IIfN3+WeulqMlq6 A/VJ29gfs3b9/KbktBcV3JfZeuEb9K+xxaznz5k3yEC8n6A6lDjrW4/Wr+fPLxck4I/1Pd2Bgex9 AtkZgiGmt1rhTe7cQsc8QOyZdjXu4UEF/3r6d0/069FMZ7Zet7Gzbm1V8ycpr2QXwdkIX4bi30qj 75B//eN+C6CnL6iQXwf9d8mhOqKFZ2FEVR2yhnGvi+lqfWMYDX9N5v/j/zB+11ONOgbvjiBGgqo2 E6qh6wjwe54Y3GLmizYv1nXNFhe98qdKAi0yU7M2WZ8qnOKplltOH//UU8cnDCr3Hpdf5pN1u5+m bJd+11Pnh6Hh6WQ3sDs7Icv6qYRx1osQJcrJ005k2FpmL5MR/t/1dKgfoJXVgW5mq2Tda80gIPYd O+qL1lcMRAjfc3+KfIbv73mSFepoXcAQSOm4N8CTEyESAcz/X0//racX5Qn/PMbWuQspC5RLjnp4 +GJKSZQ4ys2x6JDu5VMOIkBPb7mYTnUC185jvtA7UnGxsolv4XxfgqGYwxYbjfYf9I2RCofSUmu2 hxK/XMxZcMpZC/Wn7PamSlrOXu923eB7aIEFf3n4SOfEtfO0Kc8PKkReKM6m73mb1GQdy9FFjJRi InG3nhJ0LfB4F9K8PwHZBk50Ea34XbtI9jMHm6us/dwVoCeibh20NkQmYnDbY02kPUzttoB6LTLp APRdvT51RVIDt8byjBuB+S9o7H2eDIj+5AUBmlqmfvebJN/pKNs3FxTCc09jjIppXb7fEoH91PnY POXxqyabZwqFNUA79tEoQZ2mwI1yLVkzwTtbiZvudFFfBDAeoCcuo8/qW2hZ6eFbUXb4dksp4whN eHE+kxEbdJPdFqD4P8R8Un1a3ZM5fWF2XoosZboBPU3xV6sLSJqImbuAPxDnenz8x/2WpibQkGE7 GzIogis6daCtUkQp2w9T9trjgRrgIVbtGoCeyHSO++VX+X7NSD1AZM1uaF7itz/F8aLItbKU9LSY mos94GsWR935fi4UQQHJ0gIihRfyEdcBhUBpv4ko7bIheKklS94/HWhnG0z+4HJ2AkEN/+V0Z9k5 pK/EmN9oNitkXRabotWxzd03YYHkcvnUM/6vp3/3BGR7ndb5Ja8bBV3z4xPCXdONtgumH9349GtK mCzJho/re56Y4zkQzl8lWZOt8fX8xy/uTG9M9C1yxNJjHAjyMGW+SPypJ+aaFc95jCzKkZovxkCq //y9L6CnrmhMRn2gH8f88+ebTeR8adOFjNGCDN/IX0mTc5Oudc7/1FNG/k+KkOh0iT7Dmft4vESm 3/X00YBrGOX7CA4C+EXgQmVeyqwK1fiKHYaFt1LUURyvh97verooGMFQfYsdrdBhkf5MF053e/5p QyjUccV40mQ2fDqf+T1PrR9kPoqPTS4uMpVwyo3UnwPm/6+n/9ZT7FA8DNWV9qitIg61lBwEln+G GffiJ61aHuzg2v6vkbKAnlw9JIvpBU1SD8BJq51umdw26AqpizVUobPtBIueYn/VXOtkCdE0fYYc SzY5UnXEyokzM4O+g5+g/97uvHBGFyND7DWN6r6mBrSoZnZsr5zGSQDvFRqeHXiJMk1SkeeDY8pi x36nJfdRtNPrEmPEPLWeZWPyBY34jLrfaysVrquXCtCTUzDBipHVxNHRU+BgIFpB189kQ56bPZfC JBjq287vldZjeE+KVc3j8T7L22nOtURxwWLOYnAG/bbCZUYZ2tG6OdAaL1juaNAtMepVbDDnRmqz nKZG+LARa2IhamLX+rjM8waHCnQBhctJvh5VIJYpmoDxAD0dmoS2K6+F33VJD9ZdKIKWVzx+zWiV gLmKgo+X2ukwDO9bIcAesZqlL7tOy+ErCKT4hye4+/yHC0G0S3IZ4g/uIyOAngqpt4w8MtQ9cGl0 QnkWzNqqvSyRcS/Q7lFQMDyQhmURAT2dmdoI2E22k+ZLbr/tV37B58MUjP+cynZ2oCt6/o2/czZo fZJSmWlzFNlZA27ShgOEsbNwPX3g9KZ878H9jVK3S7LTvfsUK2d1Nm5bXjgcWPyFdgA1L8PiYQWr fkrFwrc8DmX7+jHMxPHyMfZxTwgL7K+nf/eETAqPI6QX8zkcLY6C/7Iqnr46zdYwbjYAnCw2evOn yNN7ntSFv41gq+usk6O2VlpxDlXulhvC5wh6bXE0jHaC2eYY/aknLb+qo/WPuB4wUyZj8zeJ9+95 ip+VrkTjB2f/YbWu8sPZvIurVuTDtvHNZa6s+BcZLMbkP/X0TDdlmk5+rEm769N7RtT743c9WcUA i2tNXvkX1K6nInw2kLfEcOUpA0dEpSLNh9q1T1z7XU+UYqXwXeEuZKQRBByc4yPCuteM+x9Eg/KZ dg0mqfRGzN7zZCPPTzh8eiWPfNU29Z3YKxYw/389/beePMlsQKvXLQeYYs2TZURjfVWUtLa4hlhV SIOfbwZd1C0APcXCM8CS8WTShZolptTYLDP18LmrCqBcKJtgEnwCl6U6mpVFqTS1ZSJ5MmBJ8RQs p8IxPUgtZdU3fFKjcYhBXbcmoC/fc1cg9r1Z9otYLNIzI6jkA8v2H+RGKKsKmSxirJYQ+Wa4qldk IrPYp6PcdwQsEpS8lEg+woVdUSpmPdEhA+gpMK2bAC6eP/FN+PyK2gpCYqRIiP2HW71bDmcSo4Ls q6ovXN3XXD5OgofELh8SnmgiYXstaZD8zk8rHHOvGDagWraw2GFD4PX+MI6OeHHf9N0yeFfsHV3j BZWFCnQVM9oeE4siTm3NQTod2Rudtn5gigPGA/R0TTUxRh3bxPppBW2n6RyKXOumufObJIrfZjR5 4Xb6OR6dR9rLFeYb3C+2sa8XpEKVgJ7wdxAQB7guyy7nefwe5A60AD1hpCNV3MSIWvrnLugGfMai lJRH3yl9pGIsrAtwoGelz/zH/OTsIig3eg/vSx2Xq47VRWHl49GYtTULIQJkt+fr11jLX957jIhN 5qKDH5n53IsiQ7OxWwrbd87ugxmK0HiCsYVN0cyi++uFBqOn3WEhgxeGSgrOddsV1aHV3eqZdg7m oV2IUu0JFnnpqBNpi+bFXvmvp3/3FEfFPePW/+smO5L3jUR4shLvzdzO/8uEH7afQpmt8xrfe55s aJH30THkICN/rjwFgNtIZkGWE+GsQ4SwMm6uvE4Hv/yup6aSdNP1pIo3Th5u+PbvicLfzUJDLptr 63WmDUx7bE3U3vNEtjilNW7Q3Um+nt2Na8EtY8alsxtr0QsMY4aZU8qoZ/annjbVXKMKUBRm6kSI oJSkpCZ+15NoWHURa5oiG4asGpiBBVcvmo/ZPRjr7BdyGQx/RQGH0d/1JHDPYYWj5MTHpnoyHM0G W/b5kyFRuOxzL5CTig6Zw0r4e576xJEFDhTxq4GvyHdSeBuRAfP/19N/64lphoVWxK1gUbvDNXEy ZAWPN3dqdexFEJK0YBBJdstLFdCTgn6GaTRepECrY7gA/M8Ew7udhyt6vchKfaFf6YSuDeqxwUMu wwO3Vm6Gy6+Mnwz7xWBlHyjZQjTAC+T8ldHsRgt6OVDQ3xY/nFUKxEGRptiCG9qc3zuRv6WmFoFz qzcnIEXGQyWAuOByMX/d14RCs7I4tJc8V494eu1zbwa3Zv3H/WDENFeL04MMroiXIlBZuJkG7YVP brcU5+41WP4F4cbO6UnXhZSLThgx9Y/KSkid+R9wkxjHq9O8Pid+RZRQf+FQTOawMMVnWrBazBCK MceBm9nOuzA5YX+I+ayC7n6sI/7/2Lun5j7/7w30UWM2aYPGaGzbaGzbZmPbaGOrcWObjW3btrH3 8aczv0ym/+/sfZAncJ1cr4M17/uetfS0mv7kpqSC4Wei13l6Y6oCA+YBegJq/KmwNcoot06OlgQL JEH9EWnW6jNKCgtGEx2pHqesujDiiVSLzPXvkxLjyblbshFAT+n0dsi+BaDr/G28JppdbSWAnr6I hNneOPIYjVGTh+GluVmChVplXY6hXfNyesiAydmxA3ryimf7qa6NndCnxwLkVe8wsonGYxtSl035 hZ8AVdvCoXBmSA3Ga4SMpgOmhrp3GzJBq+8oDmqX5F6YTVCUNPtAIVOIwXfx2XtsEELkK+jpI8ut Rtn5WUyIvKYMXuuwQePB1ma4DSStRIE3Iyk1CflTy7un/+1pWa0TWbbMV7DmR7Ihz6Lx3pCLA7+w 0jK4G34BSaIO6V/v44CeyLe6cObpFoVnfqKGXDQRCPUPKIiuuG8ZH9MM19zHkwT8q6dw5EbHdlHy DTS/ig/NCNC/XvPkSGLS5DwzWvPs3T5LX5e3TZeOjacZRc2m/gCzznbg2vqvnkDw8XdJgBqKvfVg 8Xnrmvfe6un5C7V2L3jrsxWaiZF4L5jIaBkCJncKMa8Y7Sgn/EYS9Vs9zek4OJctP8SqYLFkZoQq gYeE1BOG8DmS6+ti6ZXFAF+95un2xV8CLEc3PUe699eOoNILYP/vnv5bTzZiUGCuU6aypyiF1LcS m/I8x59xL0r6Z5ZwKEQ4n7YR/3ov+P0LouXjr4/BJ6oqXsHAaoxtvfeFldhtxcwn9HNZM54vK/kU FS9iNraORNj5xXNA2AUDUfVO8UDecx45OxDDmCS9eS2nNFvXS/DEUCnJGVJ9ox3XerdSB1gjgR69 Mnb23F+Ioh0u6nCo7PvbdCuzbrSmIkVz1i3zjKtlvKrdCysAPRl331ijShFjGwn0cOWstvTZa03u l6/h4xSRqPRb6P5aISRr5gRlh3pE/nSdLLU5X7brZj04aMqQerDrIC1+3JEa6qSinXZ58MeiEDLT 4QHXMeZTpJm0Ql00Bru1rCsM/TwuNHKIw/CIFfSe0lBwDxklYB6gp9zOSzbbAlOpZwkjfqTD9Dtk 3JFG8l51MH4Xi05Hb5ZPyJX59X0EKfT7XdcRZHotgYCeauHDXGM7dTyFYIQSEZ8yhgE9SbFny5l+ dAUmlqlYg4N1edBRf0Fyq9dnBxYJNkOEvjUD9PTLKS01R08h7sMyGGk+1ZeHhijPJTM6VLsQkiQZ 3Se/86mqHI7uX/OVvKDnPtkiVGPLVdKrN5Koqs/Eacu9Ga4EDgshiCTVktypwEvZrspSjg2YhyrU 9hQdg1KcZb6YM1rzQPPscbxHKZOLjJQZDZep757+t6eDzJRd2AN2yrsA+OXNfaw9SmTKHdvdLhaQ nM7O2al04Nc8DWlXk2F2JBy6aMq2+sGWZOlBa+5ogZYaG2V3cGeDwaf+q6cderAA+m0as2zxZAcT cqO/5nFAT+k27bONfRG4TF9OO9E0j2lPSFMONTmmrTKDTbyxyj0f/tUTbc81YT/egbLCAtS1HrXR z7d60p10g0CW73AUJmLKDqVR3V5NsJ33l9H3U+hnQvB68q9/q6fSsf4A7ClbjO3MkG8xNyqqtvA+ tFG8+8MBNWmMmP70Gq95+pSr4e7q4N+9UVchVHxaqQLY/7un/9aTSloOUatPx4FpdsStU9OXQc/w Cn3kAcJK2M66VoHOfDFATxz5C+X7jTbsN1T2ZUFDXOCZtl5/wm1KngbckGR24jcauyz3ZNsvKrTq gyQ+aQQEdAvnmwgD+ZmzVxxnXLQETM/uoX6Jkk5eVXFFLfO0aZ2e02Q+lCk1aHZJJ/CAe5aRoGNx jKKvGw80P4xapdTobq5z9sN6Kkjs76RKHhugQyT7a19PO/6qFuKQ3GcdXoxvxj4xwEUjYbpz55sX tbyRPQmfCGBuY7i8UjSWHoNOyPy3OJSpnS1WmG7q5Yfs9G/V+MJSGhBIJTfRqRNTeApSiECd2MQ0 yVZVONI3zjh8zZxQWypAq8RaJ8m4hBhmsmJY12vxHwHzAD19qMaq8le/BeEjbULslzHdNEPxwt3s ZPU3d0x14BXBx8u0HU0nEXf8MkXFpMZSMrLzlycxPcQpCBdbhnjIm88RtqCAnjwgaHmEp7+dFZzH WtSKNJZliip9rsb6be17v3wGqtnx134VXxCcr6EyCEegTaL6Ty/K9a061sUu7Aysvjqs+AaEzQJG 048M97y/hHxunbeip/CJdrDD+Q6lJJ0UYlUruCt/qnfFMJiZ2BdRC3ix2M9BVuwGk7a0DMTL5nDg TXGoTWiuNATbGHbUsDdvMZZKsTJuRb57+t+eGg5vKMfVCGfc8n0xaiAlhYC/4orYXU6TYAlo+7tF 4qy85gmmpydIlPbSKD96z3ANJzyKzWWX0wi3NC471yVytF6r8F89oTwNlwuMdMMTqHmy47AJh7zm STxOD35X1uVPuQ2+0iAd+yTh4ujkSgO3daQnaPcnmYPaf/WEqsqqkk7NNJvQM1290j/s8FZPwrcf o+hcfHaNrA5okUtBvGQmQDUf2+hZ5QuxBmu6kmLf6km6MxgyjMp+4jjjR4BKFc1i3VW1mQr8kKgx 8UfXJ263v94LAD11fyaFpqFH9EgB07qhS0CIBez/3dN/6wmKdHuaCaReaRbvEhykBY+PDv+WC3pX isE/bHNvFgSiAtBTKI5DSkqkWOI3nP1wpUv2xwZ9ycVLoOOJkFZWGswiB/7gDcYJjVBFtpqn2s82 p24bO6Ypdzvn8ozo0L4vt1cQ7Cc/9RHTGFCC5OCriro66xY3Eb+G6AQVQVmKEdvcCd2nxdxR+5CX MEWoZxXGfqZNKEPaGbDjd+43Xi9RyyMRnVED9PQtGVUXA6mwZUrJZT34M7rAZykInVZd45Z0dFjx amO3GlzNHfhAFFrQAljZSm3gEjLo7sSHzk8eKdCkC1MHisAkq9Z5jGzeTeZMeGfun36Pq9UK15W2 qfOcQdAQ5i21HKq6qOGVIdAtuuy2dzsjgCSzAOYBetq1lYZba5FvWUrJ9zQuhE6paZT7as788Qes WCdsUTuhFWx0alL7DP18yUA+xQgYXSOgJxYWZK2UoJ3Ocy9IAn/nVhtAT4r+7juRaiCsULQ/+SBK V+pCy4sM5lCsg57tWj35gFdnAD2ZL9YWakopGZJaU/5pLJRhIkcH5TI4p+BeSrbXdRS2Gcr8jGQV Vx7Nzx9wOM6kApN7oQuvsh6mKuLTgAElH5IdZsagpjr/nLS6XjwG+dQ3pDly76er3Mlr/nMirPXb IE+OZm1RgKlPSj/SB6+OqtQh6XdP757+Lz2l/17CPjNhkJGQFjYHbRrIeKsnjaKKdTUT32ZX8jg0 a8FuFEGa3g1aNJIj744XpA2T+qi3erodWCU78PFfbAObr9qrb0TlHclKLdW35PQxdejZ8kfYe81T hbL1dw9t2pyBrzXTatEzJBfWwSN8e/fK28lqoLnGq/Zv9TQICVogN618yj+Jq2ffFoEHmPeaJ+Lc xV1SbWWoI/7FfBtf7o1oO1g/+4edWEK1eNlJh5G8f/UUm+4se5cONTBFwdPhhl/w134VQE+4ZVjQ chdfcsxhTwuaexGNKSsFLq6oTHfL8LvzayXBGN49/bee3G/me3/G6xMTWw8usTaZjsrYhUL3Zbgy ouKnYVr1JgYAeiJcM9wWtf2CGy3zPVxagAy5VMuUCuxLdyQLU/ICDnmrQvW6HcR4vv16IteZ2J3N lxlAT4NyZIrLLGNxj2Mi6r8vu14APTE/mrL+iBwRZr7Fw7yO4kc6uhQghR2z6OsomBr9lhJgDugp pQaDEIc1JcaG7W6STNaBNNrAzaIisrF3nbPD2NvK8gGl6hPpJJz8ETFBVdTp/PGBZ3JCVd26No7V T7dxm4wz+f1kMlJnNnnJoWZde8r1R65JcR05bz4pg/VFD89JwTTMfYOm8Ks4Mhhq1C6SR/+a8ny9 Goo+Dc3TraiF8Eb3JBbYUPWnWYyFZpdZG8zl23YYQE9ZxpROkcX5HxnYxtdcktVQTmCg4ZtQJNeG zTMfiG9HuVdU3PRoPjqiNa7EZGRhz4LTajF65/EWgucJdt0kVepduuCMYyxx3c6KPJaCZUPCNXrn fOsnP7Ab3tyYEJVI1nPrOMZUhgeBybOqOIsQxT5PBHm8a+/h4t2FEzVmy0ZfMgf0ZGeHv1eZ17GO m5ekyr0yuC1/UWfs1PwJ5Iecr9Xj1CMQYP/UmvGMq1/++MZvXReedY5I0/QArxwN13+R7v0SarqX kUn6UVek7Zd6klApTvTxI2Tnu6e3ecoQvVOsB/7QMCp5JcFh09j2mqfCz4bZzk8my7KBawiJJX9u jkQDjjZ6CZQOKVlw5L1PnaGc83gqleuAHYRuQA+5tZfe6mmPvEcD0+LwvhzoRKHCQuY30fSJe42D aXdK+bFXOdl3ydc8JYXG3HGygh3pt4ABVfJA8kfIKOwZLs10UUFLS3qUIcO/1ZNNpbGkh6Wt6leL xHotH90qwLzXPNGvNscXf7lCgmBZyHg4EKajc6DvqhJbGD9e8ulVP0sR+VdPQ1TFbB8XYDF88zlK fKW1mV7zVPKwdtaE67qtBKdZR4cxnGqnBUxSrpgLxx4ZfIgrdwHz7um/9WQGRvSpEt/0Bzs8fowA Oub4SJi/DncURq6O6mQ4iGodI6CnwbziNBvbls4ZNb4JoeyV8U+P02nOUD5ysPAXKdwCh+n2oPG6 6M2fLTRt1zh9NRv+ei/Q6I/Jc2YujSUyzZmpwTf8a/+4Mf5x5jQbuA8GM5sWT6FqX7wY8s+FhUf4 NNlmCj+qY2dAT9vQPSkcAR2PlZ9QC6ohkW0qnscmb2BXVDMCQ2g0wXZ3sblW4uuHEbzGZuw5Dwfs pS/9U22Tmuhykhysj+JSqhn9GLm0vEZfTAR48MPbMH64FDGUgJ58x/fCatFQNjKYejCMpBf7Zh9h pQ9KfxG7mEl6tkrn/NmA/idcs6Pw/AiRFMFyz3nGJ9IR1piirlNQLxJAT5M6yr/jFnbygvtdNbFq h/Arms47W+hQ9PezIbmDqGgnEqdahTXkngQCPmxdsGfzV4WcDErO2ApGYGwm8S5IiZBWizHBFgOz 9+biZ5uEFWtxLagGWzOHQCDhh6wV+MeRiAzQmDqh4hL0yD30UKvNy17Cf5lRXBnyj/CPcEOzIl51 +eseNSWhQCu1XthgsxFvbZVfqm+khe4Q8+8c0gbvxxPNbivA/o0ObmyoCwatoLRs6oQIs7IXl361 6x33iypmCqibJHhho1noZ2vP/eGu6WGsw4CA4Hz39DZPY+4cmL8K4cQKCbn27eAjAt7qKVw+cRwl 52bmRxsaBwWS96C4C6IyW4CyiwCnrqq4ECb5Wz3ZHW8+6/N4dlyY5T5MQXwozrVNPNcuZx0/9XN4 riXZun3N0zJzYlORKUOgu8c+Hvsk7cwYOiV5An8VbgsX7fUIsvT2Wz1R7kifmi2NriyGJqGmyWtY Aea95skfWIfM/4cU1sJn6Kme7R9CBXmD6/CZ1fAjFrnCdHNx7v/qqXoOkm8krbrwGIK8o5eYM/c1 T78xwCtAxVr0q41DkH4xb+SZh0kdaKyqqFOioQ8nPS0JvXv6bz0xYE/ULqNRn3V2htmjdHZ9O/6u Eh/Nt3gNEQWcCCFI/w3QU+bar0xdkoM0A/tZ7mOl+CmyPGEDhVvLr+tArTNCc1H+D/armWOs5grf yIgH7VgJaQA9lXoZgo/zJ4FirVGSNIVzMQB6Ygk6XfbZeHKOm6+1JFPw+ZI7rx5dP5ob43ojTN2Y jygB6GmUBIPYvFzb25S5oAPXauxXQ0iKl9UHuU5NRiVbLxv5kgKunRd6Od3wYczQid0kKARuCzlR VVEK+N5COIwruT76p19yez7229BBApQ2ghaSn7/Md+OD93km85PcwWMs8dqW4YOWvTxB9mbR9Bx7 9O4194kJl7qNMDhjt2izLCgThiu0RE8E9DkGNspw760qNCoDepL/JF+1p/Q0MoBPqoDYx6PSvucZ KLSk9VzDYzpRy+aC9lWrXfgEuHAox6gACr+7DxUWLyyuxWYjftRA/NPZmfuMV87v2CzrvaCvRua1 uexsTvpL3C4V3PgoRc7b0kMrAW6u3o+SPoHEwH517OQxQmVcOgQRDPMTWLXlhhxrstDEf++z12Ip i/mO6IpsgijLL/r4y9QYsSvTnDVgLpihY+oXKDdg/04CGTv13VE+Jkc0cz14AzuQbSOTlZBtzzHg pDM00RYmLeJDaGx2VzsTToKobKi1oe+e3uZJK+8WRG+ekdxhYMSiAhz46q2eiANIEnmIx7pFPHai +HAojxb2qtvVr2h4BKp3P314YBZ4q6ciTN3ScODpR1mXTGKl58ce6GSqe2JeZzP+pQxd0jm4o9c8 lS0gwqd+FfWbFLHEvwtTEWVI/LgqKjCSzTzn603SM3X7Vk+QDh1th0bnKEh2dngFGSbNgHmveTL/ NfHBd2syxtamNeewC9d11uZeYpDeUQ1TlxJYpAz95F89BXthT/AkIvuIFTInn89mHb3mKfSLPIrb tvVQHVssslAJfhyGGqJvrlLADqoKdIOvIu+fd0//rSczmy/fblq23d0Oq7T3Vp3LFFnX29xC+GaG VHBZlMl6sgA9pSh6YGLkyR5eYCipzkSWVOj8Vtpalh+X86zKpFDk7ScTpBayK0EZaq35otVtgrF6 AOjpjILL3D/bmLSLTH3twtGr56/5CQS2D4TKlyMsoKPZQFHfM+E8XqcvuIgIMdXaH6Qt1g7QU+JA N5HlKrO0v/+6XZvaWhHN02+PC5nV77ESUjFxcfnD2SlDgj5T3xa5MJuEGizumW5L9YwWOx45LGcD 4Du6CU/dnWt4e9r1L8SPGuS7OnIRpDHJExQh2Tr55aMFsvrGDkLYbD4kzdkNiXlqWn2GIQiYttCK A1oejnPluj6vigu7ALJjotHvXWr1NERlky/uegD0ZJEwowK5w0kg5YOHfOhTalU3btEwUcwQL2Kz qqFiwrmO0Lv5coorIaFXY7QZhQ5qG9p/AtH0gLUIuac5yLXjN9Vv+GFqzes6Jpa4+PPZKhnUlDlK v9PM6ITFDTA738OmUUpctWKUYl90o1sHqhOvoF2SFkTpZeeGxR3H7vqGToQXoKfmTxrVLOSbpdCT IHMBcy1Uiw+NWfYQs9+E6fdH8eBZPgD2H2HnTtOTIB7bhMOCMterTN1jf9bFOLxjTutNTbk3VeWO EJFSew/0/SNt82ydWirj7runt3kiTeMK1Jws2BeY+s5tZu9R/VZPJFMnk9wSyB25zbX1aM6aYSE7 duGkimj2swUEqJEPJQxv9RQdT/g7xqwXLCs166MrtZvNGL1XSy9rB1VAxlI9TaFz2mueWlQRn2LG gpgTYxv5ptNF9HDgqWlOCUB0URnlCH4KMMK/1ZPXXJsXWvxx66/adoUzS819wLzXPLmHwlUZaB8i F3aJ6HKPj9OPQXLlyOunc7AzbXeXxEo0/asnJgI002XUS9TJQRPSNA45m9c8Ca6QG6KGqrP9iEqx RLuhX2HjPj4xzpVB/YWHsvyN7ezw3dN/6+mF1L0cw0OMYaLWflsiLmsHSoMfRfLKlZD7XC5VHu7+ CdATmFuI4ZKU6fqX6SWuBjhe5fyoQNwB6MfYWB+ctHVvA4tYAp/P9Y7VQGzdSjLI09oFgJ40S0al TuKjQBI79cOn+KCbAT3NqOhB8k/gFsfu0yonBf+mZGGXnsyFlL8T87+WVgm8bwL0BHr+a4pv+UNx su4FW3C0wwohzGWcqnT3aIA8zeJ2/Rc0ZspwOV8YQkY+v4lgvu+Tl+hpbugbviZ8xCbi5nO7kBbd 5PQP6lhJKUU2d/DQ8sR6v/nkaYeIgVJGgacSksWAUAeLEulNbjk9t9o3wqAG53rjMnecWndjasTD uTZ/yxjZwDsqogwcS6daMu0qVgx7ggF6Mi4oTn2c35OZxe0p7bjl4NgJWnj8bgyWc3YYsv1gfNlq MIq8jMbNd1lnwmL13JSKAYXzg7hK/0QkZzpln7lqamsgcQS9pKCQ5vS624jt05ZVf5tYFpOy5/ME anJ8dXiZHNgd/6+qR/qvBEXzLgSwFphnERSp4evHdxjVR58/M/FaA3riCFnLQ0E5aun3D53J0z9y kIVdRm9JCLHObaKrVSd9KQXsfzXu4JASLGKTkYVoIae5rF4ZJagpVxbMCdnkxjbMu75a5WnLnOuA 2+KRjaY5rJSl8N3T2zxlaVt6R+gyJRD5RjcZ0Gw9v+apdiThGsIhhk1X7yCljl3oCwZvJxX0o3hz APx3kfAHzrCcA6K7Dg4nItUHZRA/ZWeqN3uiLdi+js+ZiO0FikHfz0Su7DMQ84F3Q1xYY1FWa08W es3TRCvy701nvEDfDWa/e1mEp0Km9EWDfJPOws/RyGJrktRv9dRGd0f7Q8FXvjvFDE+d6ToNMO81 TwxBuHgoiMtVyONukUS0bqjVeL/KlsUGcS7TmFLMGuaQ/tXTZgaUNJjt/M78w1i8w2bDX/9nAnrC HjCeW9I8BPoqW17Nq4uMDvPQlCXepIVqaAuml/jHxfvd07un/z95otpYQ1tMWWG5gzAelsnKDZH0 sMWI0t6MK5CFY2xZIgQC9NTfm7SBTihmiRRYSIXrSx94grZDT1Ah7tLn6H/3EdrQEcZH0Yhvzy01 XFvmsNBC0YRK15wNLyQfFj366Wrs7gJoKJhp5hvMbRwldR4W5ICDnrVpmZ9SHCvZnZ7xmnX/LfgH nD+G5dW791+peEB85S01+kYiYro4CJWh++O4EsR+SAN6sm3hpmR1hJf9EsUhFpRT2sJBNwTsdmUy X6mvfSB8D0MrB59kxzux9iihWa+f7Lw5sMLv4qqWyx6Nnx2OJ+uv4bZvSotbUXZWaYLR2APU9sku zbvDQW6W6+Q7UwKjNfGeduSqabKLikXrR9xxo1iEYQ3APEBPLuHa7WyJe4VWQWB1oYXEmYNbzKSK GzdcE2r6qFkv8eRIKMdEn8QpCXbyq9Ejk0uLAD2xgyVq4DFBSM6nXD0Ily6tAHrSKsc2hZolljIJ Ioa9maCGuGA1xaSB+AnrHq+8rjKoOv33vsOcHo1Ve+q5ro3yn8DkOi267q0SLfq0vxbU4CfpL6Mk 1YJFkkmF+bX5UuuW41xXO8H33ZryAp8dvyNM1eYFK5pItVS2wQnn+sY9r3KkVL2QRJglkALxGeTC aBTQzAvvAPmJ2n2VIPRZRYw2uQPC03/39L89ERVWzM7dTrtJbbspoKI7u6Evd4xPsodOlpeDuy4V gSW/5qkMZJcu91cNqhvVWKo06WaqcTzZUJ0s+aEsHAUFzEDIX/PTWz09Sg9F6ofn1kRgTtydcljw vubpDFG6iVvOKWwdu20FLfhjV2uEQGaYwDVJWPsXGnHjsbp/9STqvNnVgEvP2d0RrqvCXeP6Vk8X IbJyJCyQnS2E37LWzfkZzzdbtKaRPrSjeOW5MhPXZL3VUxUEjd2AvANiQtN5SsjsBrigPx7MlEAb ZaCLsHKLVozxa55yGYM1next216+KgS26BT3A/b/7um/9WTk0b7z6Io2uV3Z2hFm3W2k/kdzqcpz qzUsM9kSCDPzCtBTZAt/eWGV3TTUN1Dy2dShTf0OnFnYm0dQg5RYetYAoNWCneO63fh8gxiNK2X/ pDiZhxcsQ3+2m2+ztF/P2WU9zN0Iq+q4aLYtu0Xm4PPVRUJmMagTsz+3DMczV6etleF3GzBkNsF3 FM+AjehLfJT2A0G8HL0m8wndE96+mLuTnOQG9LT4SPO7uv0PXu2cPEPTmHXRMrQ8Lxh6887XhjvO dJcagfWuj+l1IxYwrIyMBiwdPBmk5d6eDl7UNCD8qCh37HMR+ex0d49qpBbzLyb3jJXL6dGNanDU DdDbgjyw8WjAsBJI4OV8muSRos5hJnYpA23kgHmAnnpwDWeFi6urT920a2GDBJUy1b59SNyDU69R GdMIKAgww2DVAE3g1/t4dpvr8ofSNRHQE6p7dqThbaCAI1+IE5Fx2wmgp8FMMYpwh5JZ0BPVe/PC ZIuVzGvLMVm++84oI2e+Lzl3gJ7SccnGgWeLCZfvyuHF8YHjrAdMlBxgnxWR3I1lBBUpoZs+oarh P3HIt6j7m2sdTbV6xPlqF9s42QmOE3TihWRwlDftnOpmvjx17Z5Cs96Yl3cq8xRD1n4oxusYCj3E Jf9KaJAcEYSLLfVrtmwg5rvl3Lun/+3JbrL2iq37wOxpeqc6n7+r9wOhmkxCgjF9SCfyBEPDbNVr nirsHcaZkmSHlCSuYAaqGH/3/tyeOql4SLsrTyglcyGH/1dPrqXOH7LcrvG1s9EtfwhxUb/mCdKN B5/VDlq68fanjBpEvC8kNhUuOkwVUVV6oQE6XYT9v3qa1XQOD1JmskhbaivukKBQeaun9HbzxIYJ q6+3lAND2jx0bFtba0/t2m1xuT87nNoWwKnf6ik3lYLFC32c28s0rYOs6SlTL77OiJXJ+iHN+pbM uTPT/zVPc4S8Hh+thNT07KhnjHc+pQH2/+7pv/Vk2Zjfi/RlS9jq/MK8E7w2+8L9Af/O2jBCmfI8 n+ksPRnQkx1Rxy4Q47mUrPqF4dODSZMtPFLdcDTCIEJg7ebYgbmYOkT8vasVUdfPErb0QAIcwuSG J9KHb/l89zbUU86JQRRGUQ2D9Fq81SDqljMqlBVlVQp4ZdULSVSmrBvx65qsFuHYyxfPDYQTkJQq MktKXrI/CJdt2z1PMTF/mjTrhwdlAXqCWqlhFFxueDyAfLz7aU15NjLW1b7XjtHL9wRxhn+m+bJp MEa8WKiDMrp+peCHH3ZRkfAQjNEgY5MP6R1eUf/pOVfeTgkmchVUN5Hzh5FQPVil5npS9E+atfw7 G1oRFDLWdvQUpzmDQNQIhgAnaSZ1DMA8QE+dc2a8n3Y/dmqBBUDGfi1CyfzJW0prKfqyfMR056ON 3vOYxjCeousgw6Dy3bR2tfCvexunnsw2SR4G0fpzO4aM89HygJ5eCpLPBYPqPtCQWXrXfkCIHflp 54tvXwj9ax2qSY5ZVhHQEyV5IEK/e6ca6cmMQfoLNkHSTfy3C6JJfpvKkpwEKUx0ex7lKeAPNIWi uMeEBkyOC0HfJaS3ukj7ccChnhW0cPWgOxlBWeoenM2VQhOr5p8YnU48cOauLm4NcOhmVqxKn5Cc gK1/IBlD7G4UlKrZu4i/e/rfniieHDqvbWtbBjQVQC951RfTppBtshxPFM6PxrzxUcLpXvOkX9Y2 WiWooW8oM6qQj1xNmL+O+9ujT/z5GFFk9KmRev9fPSEa1aQbXH6Z/1wcBXcpoZ/0mifzQJvf5nQp /StPp/lmSIUB5gq5AZlZyDtNPWqovZbNVP/qSe9+h3B4i8bbky7UUKEYju+tnuJHPTWk0HD0oSa4 abvllnqYx6M5qaiB+GhMeHdUF+qL3uqp78iAMiMVyD7jh29HcflKk5iNGe0isChoSUbjF5OFpo3X PMXVc+itfzmfLLx4jOuIegYG7P/d03/raeyWFlJynoze08IpjtJ2i2dU89MiDS7bH2vVDT1UEH0z QE97Tc8mXyFIez79qpsFuhDW9BcYb0uqDd/vZK/TCAoxMnZttAU6rr/usFrQLv/C9stn0ivdk7jj owHk+vbZl8nu+PSBmkWaUCqc5Kmic2onItBPSPozOZmokUYal5wbYQ4Con5TApPs5e2/253jWkx8 D0FZx5xcGjSf1/Q4sbLvgIoAPR0HcooLLdt3um978KJjiHJHAG1kfaprWa64/kEPnxfHWYTv+1kW lCbnh/NW4ydQ0S+zxX0LXAo5aXJ9OCDfmm/R4WZbGb56y1VB1w/QOnE3rQKPkd5dXFiVUSFJE/Gp TuQsOyi7gLMe5JcumHvjrNSJAuYBegLlwT8Ca8xzpt6zPNGBms9IHWmMiooMomd6uG0UjJC+0Axu N94YbM6l3E0ZnVINvAT0dFUoZ7fRGwTnzVmHBRkmKwHoaW1HFJyCF1yUNJ+R5W5yc78mwIDcI0hF qr4rvtP3wn0c0NMeaCndTyrT3h+cywSN59pKncWtJjtxKoRVnFya7AK+akTRejIPyBnxLdpXxlJf JwP2jpzFF04RPue4D640cTavztj2Y1MSgE83VjJQJH7W/Dn96AjO+MhFhqdfczzpeUVFar8Kcgwc WpchWVp5fm6B9u7p3dP/pScZwr6t5nijKrMPqJY1KfFnb/WkcH0EpDCMUhmIHhi1M71wj0KAWyqG 0cYf/7EIjjwRsfWtnmY7SB73YG6nfkvKVwZUxKytSQrRlrJpqUduSE0CxTkCveaJXqHztFYFB84S RDnYoxxxgbLv0YS7BBakAHVMvNSrPOKtnvZvsHi2x7v6Ce8nW7bb0ZQB817z1F+VRyqCUPbMOhWd EttUCyrpGTz1IaJKI0/fa/0qkM/lrZ6AY4CrFCz8XJ8nIYoZEdZjKIFKpxx67ehmx3Y+XlUVJL/m qTlRWI3y3MrstzxPf1iRZHtvmRWftt9MBmK/UTfHOW/4u6d3T/9/8uQ+Fbu5i0kUxzvBFN9/IbKN Mnx86NnbfHalJHYlrhl8BujJAG2RbtrQl5nrAkvqfDu/9fuwHycTdsZOBHxm20OxiZaEWYGscmDy 91w+ODuV0fojR28C1U1jMCHMEQQ1SP2bwxC8gMbfLuyyXBsPn2Uz4TVESNEKdk5PE7P0oWnC1ojT 1OXPmzaDfxr8QPuFtfptarpHbLpzA4Eocx+WVYbPetAR0JMZBk8DfYflwiSFmAhk5VBi0HhR3kpm hTl7gHFVGVMLVYJYBHfuJSzP3IPdDxubwxRC3D5e7JQqzwdCEvCbmGC4ziJvIawsz6yQ1L10nudt dqRmIk5oSBuyaI1WW8r5MPVdLQ/O7Hzx9nMbqskpHzYrwDxAT5AenA9g8kXqW4GNDc0/TAiNrEvY GVaSKa6qhczMU+lk2MmPc2rPxJqAGMbvuyC1wAA9rWthSDH0p5P9MKXQ3ie+aAT0FByL5sujUsWA QgMW9GmLgGxrUNiRnimJLVYSeEuQsZ4P0JNtdVF0iHjjINgTSjyyEBUaaYabKBQDY5yDV7oBxlqo 9gToOIJE0qmuL5BBbEiIJWTwZO1RMF5wg7L2Idw+rHxzZMTgIQyKq++shk6jI50PBnLRfl4MIcdH cNMqDapnWOu7dXX6LjGv5W966XuEAmvW757+tyf0ny+aonYYW/mGtap8rHo12Z54H3Ks170dhxlA SghO/tr/BOgJBcyma0VtNaAz1fGzsl24hlFu3FgIlG65dj9tAtQRzl/3zd/sKUGE0d2PhoqMZdGi da0B4jVPWVyk5ftlHSa+eRlaIKpieti2NyewYWU6x+lD8SDw8sz/6ukXrp+hMJjdd2SDDgUPBz3U t3qSJFMamMW5d+XKwVgkjUw/pXqclzInC+sx8kcJ0Oo/pHirp29BlT9ctdZ1TXfXdGkErXeSBVkQ dVOWuiO8yL4H5i7BvOaptZbxT/Oo50MtZ9PtpDdJPWD/757+W0/nBUqRvBpUOlZfFDNhdpVWS091 R5Czcld+3KUz51U/RQB6UsVBGVCwjWc8o/Wh7fYV+dnpLyKleGB7SkhNAaoHwrS20MM1dGT7wDFe Xda9iTy2ILaXXt92xhsEXX4mXhBiMshAmFdEL22lZnfFkw6ErtLjdk852ir4KAhVHqwA92DyPR5S u81LhyRF7grYv8IQjcMlKp3ANCGoVqU6HeFzzKI6oCc2n3FTdmp46jJfME8BSo9Ec3pI97OXr4tS DdHhmTMwNLcUtUra20GCJ4cCVbFwvFKJEv3gFgdYC85WO6jL/uQqPQw9F4VynvqSTIYqnUkqO63x 3JsvtYquXxfw77cn6iDgoeAS97fwhFGLgHw0NuE+AuYBelrXbAusW0FWDQxrnHiAaQq386MoiYri ymlWAy4olUDL7YwIgkAaBkbOvA9Ht1PBdAX0FLRdwK7rc6ATnRAjqGyvXAnoiR3ukVWaqDJ4MsLT cMI4zptnvrRb/RTNzJUaxA6L63sjoKe8aBjsH4PYPRxB+NunHUtVUXjFxLyKuHtuc3y1Vol+XQPM u5dC2Un0PsLDA44Kyp02CSuXyHRGdL/P4D7RiHuDezZMnjy5baCJ37bOPUZd1GHdUdj75itMtp5P zy3X0Z70TpLnPVlMfLr1hTiQPZf/8+7p3dP/paerIrmrwY+IIteYlLK5UxNhb/V0s7+MZNeFnswk 9GHwXidktGXEQ4RYrqsb9u7o1L6TfunNnloUG0wyGmKOEMKZd0WRCYOcxYKgH0IV+mN3Jp/Q/JJf 8ySb6Oer+lGaHHl1aBNDJlDi2viHPn3r2EDYZPinyPj5yLd6ukUviKwEc+D1T6Xd/fhxmwcw7zVP NGPmQa7lQ7pVlqWmRqePeE64yvLQWYySXeZc3ollMX3/6gnG8kC56nvuiiaWPafdRWrea56eGyjc tWDXw79c+V8zXUm7QtwLfd3GEy1rJWBdT7yLT3739H/riewR3tV8R063hEYW/NILJ9Rs+RbJ4fvy Ab0ZmgmDPLrzb6SACCefY9lKDpYZkv3Fv+anIM5W6eu1WdUIUctg8MefL9log8ffkyAzKWamd76I fVjwItVm6b+l1989qzfhCRT96/6dnWuCqc5oEIjd5HyOmiT0OKCnKy3csSJ9LEzUYNVHwRvbYNje TAN6Wc1Ss3E8h3E4ckdATwgVtwmJqFhp1BXPNxjF3wYTCE5S92zh5ml5Y36RfHapACVmQF6OjMlB Hsaid2j48isnokJLZM6QeIk2O562+lDREMcXdfMylunjRiYNQk516W+nVIU5bS2d8Hr1vdOxa2wn Icg6UKQnQ7AFNTttNuCU2+98HqQlioTbhkJXYrbH4Ve5lJr3actz321qDcYfEeoAPQWceJvSZ6UZ GyPiuIF4/wHrVp0AC6WM5+g13zr90RAKa/XbJitA1/2bKvwDxxVDMUFufnjiOf7w6WkWCyOoG8jB 5sum0HfQgPtl3HCGuHR42oFhZo0lr140XXZB5xwZyTPdScLWsX79nN0zB3EBjlqDR/9ZKwGbkvDO CQ++u0aieEBP0uhxkLW7NhVz4P5bMTBeQT0rfnKTZJTVlLGce35wbQ6A/a+gTT6nJuLgayU24qEM eOgR24bCFyNBww8lkiCnbQmdvnv6N08mxSc2baak685w3d2Wy0c4qp+0zUgD5QeICXd/zLt6dgB6 Gs82X+0akhS8ZjyUVWi3DsNZGedOdJVwe+ozy6OmT1pJTS+cc/CpDzpKZN0PwTXGE5JgLvt9FwVy mBlPNpwgFahaBJRvp4IEDcXCfQ+0kLrsnHNSmiZJ/gNSO0M6RHFL3MxbYNWqWgYmnPJP7dSHSg53 QwY/KnU+4V8PPctqH7HIAT3lxRL6ijdFFImw9SRRswzTOq5JOKozWpuLyjC1VSFxhct3yFpAF6a2 DMlwdmPQFTgb73jJo2ftcE36K0LnIOvraDDg2kRb57cP1bs/xg71m4I9cVbeHinoYDW1ldDEbU/F rlbQ7UOuQHIV/uoJVhBdAswD9KQyhIS1c/8lb7wBrddMAg5FyQMoB6Y9cGaj0xoJ+xd/e2ybS91K kfcYp8hXzcMekb88GT3FlN52IpD+/lTqS+VXIAXoCUhir80UZaOzOe4zaLFkUPNmtmKs+NwdNh5l zzdcvAEqQE8ke9GlSQ9YaMdRf04PXs4XHZrvD9QewFx/rcZZI9hUWqZYPN8jXGiWAiP5mI7q1Vrq 6mzSN5CWT18j7QnXX01RCGGMp1xeGquNInvIWOjxBUZTe2HWfZXBWynhYgmnW0J6nqrO/1rkZNu/ h039Faum5t3T//akPalrbQt/sXxSmNcgOxcmj75aQVhA1xEZglFiljHkqfaaJ3FomCzNk+pGGsTC D5wI51w6gRDISThLiZbm4fwITFaT/+qpSJUdXxPG0uIAwfbbzcb8wWuetIpZ8zyJFlrh/4zMB8bW DtqVKMeWc7l47UHWex5DzZv/q6eMw/1r1gpOHEeyCrsJ83Dlt3rqQ0fUCb0tPjfuiEdsW97oyg0N lXVMULmPQ6O9+GAkjPRWT8hcutDcwe71QQRyyzfypLafYNuuxGJyfUqCjCu8HL+QvebJVIadBwLz d2Huh+PVxdLEX4D9v3v6bz19d7fRWf0+Yh565XcSqK9Is1oiVe7SdjJ0HgUq5esDFwXoSUnuRhlb DU3Jf1Y6289tRNLQ9gfz1WmX+v87TZyEP1cXberxtHDZ+YHuBgTXsFzCMqNyEiipm86O5jRxpzTm QFVJdVbmrJd8l/BqkS6tLYyyXBufVx7W1lMLQxrCPAKThHrWzhGbV+i2CMaXFoAkw+96bkSkthBl oSJsXs2M/F2sCujJ5qXGDiTaRWV8JljfFNOXs2V+G51tGexX2TH0o9/Nn0huBt0J9OaOJiGTZFO6 vDs+7PHFQQte8PGPqEwuQxd4vROCmcU961d3RT3HVATb9igp9vwnYkTtK2v7z0TuZPx7TNjWjwfr fMHf/eHY43qxVAHzAD3BTN81k99AKqV15TjiVgbIisChiMBKIJMp2BVSJcyheIHdwn5UgfLyh4Bt UD7U8TMG9OTxdV683kvEgR+J/9sSG28goKfwhCgxf0xSMwK+q1ITctsQs8jqak79nNJ6eorgnlz1 CEBPor+grxL7FfOdJmzQtMUSF/sC+mM400vCMiNrBz6teOVbVXoQF4+pAZs2q2wkfND9zF7+AYUD 7yis1hHdx7BxI5bH3asNXlvftSlg51vQAtJBrCjbBnPNrVY2gTqnVg9wHbOD4VwE5Tf8FWvVCccY /j/vnv63p65dN6jvWC4EeMemW3/IVl900yx57nrJ6luXHPch1iWjXvPUb9O4S5YyGUrvpJvrPrFj WOC6pJNJPnx8vq1l0u1dpf2vnmQIj5c3ue+M8zdhIdzFzf/6HgzoaeXeVLs6o+MQ+/vg5OSu6ExH a6eMOOkNtSrwMwLdIZ7bv3rKEqVLDGrcQqB45Gev1Vm4eM3TCfiCkYSpwm+QYeGE+60NuCnKqo+k BU2gcPslVr6yvePsVNtcSCPrMdoMGj+cGbXo3uqprbc0olGwP+97Gf2AvLaZIz2/K39Rzle4kgVZ bh+VI6XXPD2mXtGIMAPTRvrKamOH4/gA9v/u6d3T/5ee4mHbhgii/hwMnoJcrcfQQc73WyjDBLk7 Q3fkfhTWd7UE9KTLrjmFeRRSmo3JnTqo0poYsX20LwSXOftTUbOfXui3mFC6eArSc9nqDZsPBS41 OjagJyuxmDl5mHpaDTTmFQYV3jpATzSXJWy5V3bzTb3LLiQFEs+9a0QLcjBuqcMvdSs/C860/5qf Qs2O9ZQltbekrY4psMMfLjHFz7dvja5qesa7PmB8vsQ4Etz97pQVTlfXnW3PCTN4CVPGOQ8U4Ojt Y4nszLF0J7f8VcpvC7GlJjV4YaKS3f9zUkGZzM2I9OSyjzban98prAI1YHZMwrLrHky3ONdKGTsS W80eT77Ows1sARXVuedwPCh2X2gNq7P6Z6FqvDSnAT3JyqvglgLhUe+HZwEj3QxtFfhZpxc8ExnC oJ/eHdMtVdJKTXxthsbwVY1IavImt14FY+3wQp/yzgpjaK63d1I1dyM9ii819C0gxplHH5U3+HST hk+1oOATMuFnYovS5l1VgY5GnM5Wnb/M4Jtjo8RfwLKp/nH2q06zM4WWGvjTiDugp4/o4EOEWk4x JhvqkdS/KU7rbCPiLJRQuxpmRz0+oc8vAfYfd4ZHkk0Lu+4r6dAxe9o03vuxqfpXJk9eVvrVl+wU sHVyA2UQvNBUCJsxXL5Vc5/bd09v80T823BRWle+jPYXY+Lon/vrt3oKnjhn16ahd15f2po19q8F K4vmPCNRLT7Jqj0+3XTbFH+rJ/wADJZmxJ8gH82zMx/SnGNqN5dmxX16Y5+dI5kcVRyGXvPEgki0 UNHWfZKYGjIdrUadD+Lyc80P5jSqtIUspm16HeKtnnZd2vPJsdA7pA5yE2WzlA4A817zVC4mb9jU zSonkulXNXIBioGmoXH5ky7fjjdM3FlVz+brv3q6/R2c7X1Nvgz8YN3/PZru/jVPeHfjnF/YAlGW 4Hjja9tfZHs6YAs3zyDvLWw9vbOHOnPePf23nggeV6dbpydkXbCf4Mg09D6LgNwz/ZEJZKnn1/Gr 11H3A/Q0d8aqe5Kw8UHwW5VC3KHA1Rzjx6xeWvy7utKZsTkI4lIELY7MZmfiCI406hEBFlp6QE/W 0cF2QGz8PQozU59FJPZnAT0hhK8Dn/Jak/3Z06RLcxBlc73UAc+oAlEkTIBFvJ6YogX0VOsXt7Y6 wpxqeqJ0167VdDeCk+DYXts77toHHW9jJS+1w1w96EJ/rpat4bZZZr9iyoLxDR8Su3Te/QxS4rt+ 6klWN5IDCAhlxOrH39V1jj7jkk6L5osJJYup1V1L5hjldpgC/MbGNCkOMBGu26oRjnj544nDMhlN x44kKhzDv8D/jPQN4IchRK1+FFQMY1VVxgX0VJz9Qtq9R3M6fJ3mKPRAOco9yYj4qCmTHu8Cp1h9 VDvPpZQqqQqRmInpvBOmvXRbo4IlkoldnKDwOXJ3mtsW49Eeep6Nnds64uElA47HksFSzUy2pXZH m/bLz35Rk1bUrVsYDh+r6of+nSpfAQ8ZI9T1SzOpW9ecgZjvmLNk+r9/A3rCwpCFsB/B3506hdOz VZcREZ49mZPf/gA50p9xgF/byQ7YPxwB3RS/AZQ99nqM+KASWAI2BQWRSPgMtkT7IyjdhvN+yVAL AjwdvvIHbQs/OPpNhHdP757+Lz2Bs5jiJPTjXFhqeZSss818AwHpob2nl+VV3IHKWTU9mnnNU/11 aqVwn+bXGAg0/Iuiag1WWeMC7VNhz6gw/Jqhvsbmf/WERJAVavbtSA4zgMeCb6Zd5TVPup6h1hqd RKMHkB++ieajnBzoqVP/RjRrDYJvw4CJT9L9V08ho9wv+SsK+2x4HWYv1nG+b/WE/IL4MpIxuWsA A2UhZQExQ4P5Ez5ML2yngW8KnHCnZOitnmKYdQIcaNIXD0V5abAunVOuvSShjmIr/xSPD94RGUBJ vOapDdTUHA2iWUYsctQbtuWPFmD/757ePf1/6UlAKEeGra4XGfG6lH7BAJtE78Ao6Ys5fpK0AAk7 owf4KKAn8uxmj4TV6dtkIIIXvKk5nUTzkJUsfmcFGpuT9fUQoYiRODHuwH7VBo18KF1wZ1ZTQE8/ REH1oWMNye6s0dv2txRcAD05Yk9OpjvmYos6gF3VHBCVKHKjuDNMiT19vaFFGEZA7wX05B52r9Iy 1Y6uH9taGUvJJUnF9Kz1Qf9Z9GCDopG5L4mcZ81E/bJfgX71jma6XZ5na2eVtpw6maJ3deIjwsyM WP1kSYhX9zVsyEZ3OSqPh3Lgn8c/6xWeUro/hHw0FDRRh3poEYpovxUuG5fFGAeYwR3gpUNEq+FD 2uDHUUHdUY1ZOCQXmXwqgKM06hbb4ie7XAT01AzHpra8hYn0eHWyb/PhevrZ6DsN39WfCSrLsPaZ P974SbojCzV97txE1gPtNtjXg9achbm9OTrjMaqpOyh4MbmTHq1dOPKtqfvpboIwO8ky1bZFGLWN K3Y+WXhmxE6UJeNoAdKdDSlnRt3CzXLDPzvKu/NiPlLhbelyd07hMbKuAno6DKD+haBgW6ZDWM4c NLQKPXJP6k1bxpFx5MPeNlAqgADYv+7A7UYtZTbk5dcwisqUuz+ki3k0459soREhi+jcBbUQPvT1 nbHGQYt8/ZzEENFlgfru6d3T/6UnTf5PBDo5lJhcrrUwutwipOAa3vtSW1atfiEOOJZgFZ9e80Qp lm6tJcLIma5x0Q49zeWt/ljWWa/GuCNlsJtE/YMd+l897TD6gPzUzZ7NlaeRGwU/dXjNU+OLo1XC t44Bte5UYDYanAqJRMamModUqe7PG3Cp4YqC/+qJyrOnq7WMXpx1Wb7tV7shxls9Mfz5fAySzCJY 0vIrN56zKLtD4s8MfdnG1Kwx1yVPhK/ZWz054EsalMF5sOfnvGRC0Ut1tebeGTjmEU5Uac3TAGGj 7bzmCWUMrIs4w9U9VhT6cRmr3w+w/3dP/62nPlxLSNlKuy7QwIX+aVoO85T8Q3pqzCJcGe1sqy9o HAWAnqRYFRBzTB1+382HSAVK0WMh2Z1h6TUJ5MY3dsON2R7YEN8tfXr+QQzBWOH16NRbUicP2jAh +EtllkR0qwuo+P43skQgYaYmPvqYWsa++G2LPudVWnatf12qXQW26Ch80eMfRftehSltlNwPROe9 biqCcwcjdXa3Bl33Ld7Wsd34BICeHrmwKr49lZnkiO7yfhe2bkJNxWkZXO8U0Yb4/R2lfoENE2fW 9wM67e8Qftt6A5lr3AwmRu9Ym+sy1ntH20x9JH/kpbhjrrH7DfTaABlOKI5alp90WLsJx6v38t4/ UwzsyYG2CiuFad3k5gm8fvq4cdAC5gF6wufeQZla2Oxuk4+u+o7AadlVZpcA/NM6gIC/WjTv54s/ MOdVsHJmxIEJat865b2551/7ot3LR0ArZq26WlxQUmpRawA9bTPPlchyMNC5DXJU9y+uxSJpcXD3 Zk2jhGC5Unh/15QG9BSalS9qYEmNVM+ojJNDCf3klpYxIRDp5pn0bZpgllpNHLk1wb/Hnc5VXSYT iKJQrLLuEW82SroAQi+fDnJMBoSFjexDcW8Aizcn6jYm8m7EZfw5NDI6TpTxgddWnibEJd0gEu+H 7syhTGd/laKg1JOBd0//2xNWZayVjggbjZn5ZSCEoqG2J7rj8N22P4Hcnd6Vm4rmX/vEAD0BGWNT jxWHYRm9VD0ZcjU5bA5j+Y069ZBKACvljTmv1LzVk9Ccos66MZ/SXTh6Sjgt8MdYP5OtEm6b0vZP XjL6bBR1r3kam7WqwBQheeE5Htn09FGjdkp3PHGyOh4M7cWIEeZbXP9XTyP0+odgcYdom4pD4PCP Ggxv9WQ2dIayFjRN5nb6E5tP5U5kstR58Ctjar9MUbYvluSF71s9QYZJqBPdll9U7kIJP/8p2jDB hyE+sTW9NOpyzD0q4Gp/zRP/RQZPIXM682itxh/QYYgewP7fPf23njQmno84Tx4N9an0ac28bmhp vCHiKb5Fr8dCfyXOgJ/oBfRU15Yg1LKnu1UKlt/LtJTxUbHWA3SSinJ+Y6HPm1mVZ9L64FTeYoGz UvVsV0U/N7ifBaozYW249/QzUHDmajlCf+gvlBgBaH7434yguREl43X2SkUdzXMFUctso9zYOsMo 4cr4/tHcPhxFN6l0tLONdD3uq3HaNfbIKOkdZg/zVuaAnlJ3VGJhQrHWOx5Y+grpsipZm8YhupG8 C+Lpj6WPvXNyKl6Cajf8TxEyBcR1CIFln4BO01C2NMkRBAn//JjL3d0Lm12hp4SqRHtK/6oP+aED p0h1kWdzXxKkYbSLUs9v3n5eg0MiMs6wFWa7XdKsxgoVMA/QU52hJclhuSbvsExCmpfqjzufAS1m Vn2KkEbh64x72PojO9pWTcFx5fxb8vvplf0nN0BP7QEQ3hhMVFQ92Hp1yeAzPwE9eQYK7FIn1/VN IyrWQTm51legM+rF26UpbK46zOjcCXoBemrEkNmqwSbbgoAfsCe/3iEy+NNO8U0zau+2bR1TnOZb p6n8j+ljHgEX7h4qquR7s75IzRxupCgMfS4f9DsTjEKTZp0llDuqzxd9AXSgtANLrYfrbiGlZWvL P/J9Z9oTPxEXe/lJkKvuyKMLrQ85krh8fff0vz0FXSBS0bL/0ZoMOY6ALgkN2+zXPrk4KgnyGxDW U7wJ+Ou+OaAn8a0v0aQpyKE0affyV53MOCmU5ia6lTHXDwroLFZUn1/+1ZN2Dgv3ywOJNt3gqWnZ jyyW1zyp2VaVRx3gnaCeOHD/udlblrDrSgq2jl6F6splBq3/gPqvnjxjvVI1dg+pOBt+5Bv1c0S9 2ROSGsK90rgnJhEmONnmrLvABj3HoU3SrcspjKxT9i3MWz29nIbAPCpx2fJog9Go1rxkUJqHxsdn aozQooedQhbVo7zmCXv6c4asSnzQfvxhP6w3MC5g/++e/ltPzUTmWNJrZgryGLW6NfAUpcDD1Ht0 p34a4MfQ0eEiPDeAntZ256KVbuuF/c+JhI9dHxYrK01zpKy6v2SRzTB9d5V3iSDa8493rrvsJjZ6 +hA9QGNtuzX1zV5ZYgYYd+5FzZls+2sWJVJTKXQz2VorBPlw+FLxU5R1e0B+8EG+vg9klQhe7Izk +K01kFvk4C92rZSJM9sQg6/mg9oN+u6HcZO5IYCeerifP6fkJqQjcAIR//mxVpmCJo4qNC9//8EK XgmL30aX0pBrj4MdZ8i4s77JpuoJEkgyZihhdmoUMvAHTboilWsQ0tHOisXXMpSr9ro5wYZP9abL yFrfSIBx2PrkUOFyCknOlgVgXXDNQbef5jV2rmcA8wA9SYTfYCdSgNnbuUCq+2cNm3NV1RVmV+me P3rVl7EXyiTxa0jyTxBZhNV/49pMdBTgB/REkBV6+sO23L/gWWhixlANG9CTKJew+e6DlJdOrN1a Z7n24ugRaCxJzv7k49Hk3NOuFDqgp+Mx8OQmuBHZ5xYKXneqTgMXNBcYtrIas907mekokiFfpwGU NjgyQ3aXShrDk08swj8G7x1afsr6KCdhLWpvVEqGpyP9NuO9sabFoEsMBJ5Nl8lPAPMsxIlhJo6E 21su3uLA2PeuKNyggagGtufImuJ69/S/PbE0eerFXS0iuWngEV3H2FhjQLSDg0llObW7ml2jfLNk f82TYOWUb9AxQUhQ9//D3j0+5/1H76JPgza27TS2ncZorMZq3Ni21di2bauxbTR2Y57z+O7ML5Pp /s7ZZ+/8A9eD+3o9uOcz77VWzK4XXN0kWvGPhl2SJI+nbriPwKU6+P/qCdXPoebbyLp4tig/Pi/r yu1rnioRlkiMLDwFn50uMSEOoXBiNH5v5OCJUs6brl+ZdHZ8+VdPwuq3bHwlpOTfvqObWsOdeL7V 07gnAgbmwY57FufPWhLyH+I63Amf/cso5oWy/wzV7yQrvdVT/3eP6zAry/SWDmDbALv1HxbzGMne mvQX+GMFQ5JHQzmveYLd3rIfn8YOwQvLWTCuIHQA7P/d03/ryQDCkV+E8NOQHtLz6a9YFjfB3+iV qGNJfIJUFyopuHmVgJ5WtnHL+MbHvmrrqzcQ29DqHd2HMswdr6elJqsbdJmxFebpKjzA+F9KW8Zl 3QV+P6M/DS9YJOziYh84lE2fh+ULOFod/ak6Nw9e2xHgUC5Md52xVzg9LXEYBE/yE4aTG6VruHsZ VCnW8SvRn0P5kKXppKKk+wrk+PtMC1gi1lW/XklAT4kSx+yl+KOYynJIt9gM3CLfTNs6R7s/24MT ffx6chTkqfglU1jVUGDkg/msszQCtU31/q+2td1hG44GfpNjtxO1GORR62s+viuIAMxQ9qXnlEr9 yKb8M0J2LV/raW3n1SwHmOlfc08V+OuJ0czC4q6XgHmAnjTHYvpxRGKMNGblZtPZ2NZh+pV7VLmT Fz8n+sf7gTIaTwoX4GXWjNeDwJCVLp7nLwB62gkwY1VFgKl1EMDlJ3WBZwf0xPpVN+pHaiZdv4UW E3DLbTdO38oiHKrG2lz5RtysspUGoCfgu6bokPGhWsSjJpRm08KCKVBoVMXT++EybKA9LX5kb0YG VdEvP6JLmHs/F1/XMGfvCOH/HlTuzEc0oxSwHlDPRIQFCf8Fj/wD8ocqtrq53jm5ZkNmNc6kGlY6 UkY27Goy0azkHJ7qFgH2ngVBbNv2xrun/9kTy0fLFyb0KrWKS3Admyqvs1UqWxz/1b2AFtjO+7Nm QdLXPCFFeWH448rD9LMumVFTG1YuD+Fy+nx+oOdrhVf/2tj16V89xW1JaKs2IUeRAFVTFOjxQLzm qXTxcgtTItXSfhK4RrhoCyIzDnqO/sguu/z0KMMkX4LzXz3lpc2llupvFO0p8lA7o6RIv9WTxcCH ACVMi/1Kc3O2dUQyseCJHJIFl1rF6lLOb7903Gbe6kn26vpIzFXaCqkRQoHIBAi5O0WRsGSgrqWz BfYgQvMH92ueTLf5RTSRH+n4d4AdPaw0vgH2/+7pv/VU1oRSC0tP/cdv91rssFLRHozVuFfXnMRX LTFAwl9ZHB7QU3W9rT12ttSYReRmKGfBCeqpRuzn0+ea3zSm94g/dHCW4C9KB9wV+F0HDXzP421U kA3cqZC1e0iR4ybtyyLWkmJFuFWXRCt9ENHr7U+kNcHwH9vh/ZF4ZT0fCIUarddtgzr4mtMqjWuX nAuFDeyHU1tB7wLXhput3Jap3aGPyCjCAD0ZP9kEkXmZVSDi6VJ0Cn6soQPJJ0kbdjD5+kCROvNA NrnLTYAMtVJxfLx3ilqZa10oNcg006PwPKSIXU6RcTB6RhMbRY75IIjCvAJXBlEAKVEgRTNmcuc8 4gQlwG7pmedI9/tD8RWJCBzSZ//F7S0WNcA8QE9UZRXjWwqR98SHz7tlZrdtnJZHhJnMP/mTlZGs WIcoeS7/HMT6fvgqSbOM7Txk1z0G6Ak0nlyt1UelavmPaBnbVhEQoKdMhFzBTyZUPK7MU1/NYK7G jTPLCdEDjuS6f4GM9oqkVQN6uqpA+EVBcMrDfdp2SuUHm4Frb/c9BXECVG2CWc7n5dptf9Kk+6gJ jHjj4ek334XIYEAlzSwqGnWH2IHmrwWBQn4glgSMPsQWm3jEWK3kxFaNDxIOzXQ9OjAW9hhmjzQN ImKjTVoWepS/XO5PezyMrmbePf3Pnn6JBBxYkBD8uQOhE27VrqUwDcCQwF4WkGhXsAE+pOn6a18P oKdbCov7I3qmye3yaSdjXVbkHQYDe0ZfJU7lVZSiPoc4kbd6gqQ8YizQEVs/iuYSaoQix0SofBa1 CZ5yml2OM9ljj1V5zdP2htgQhkI77DZETjvSw/jOqrL2dxzvxLgjh81KHrDP8f/qyf0TKgkzhrM5 xTPYtjcn2sJbPXmikD0WLZCE8XQ8fpxmTepLDpiVT56+OuWOMZIXzumSf6unxVOpuGguKDZGyceu vF1rfmIKrLWncCt3qFuVojiCnqPXPPm7bU1sHJah99e3qcySMGYA9v/u6b/1RD6a4Sl+nr37JOzg aooVde68i3lmZqL2rCtV4C710yQI0JN2iaZmLL69x2WlxTjZLwwiNNmjfIKC8aFB3xDWnCUz4Q8W 8hj9KjPCY3UfZQ7TY2tdElib0G4Nw0l9Hy10y0ZebodjFDq4um6j9JeHusKd5oWw8dKD3Y25Wnfu tbzg2Ox7F7Qr0PV5bYIL4mMf6aB8b0KTb6V2eDZD27X0x3qnfwN6wjPYehqCIa2vmlpI6jFHrcMM 2xvz3w6LmndUH7nHnEMI/0FPmPHw8WwvtCttiV6IAGdtPsfXKwJUykatzwb4+1DHR9q11d3dtunG IJcgdAHCNgvLSVQILrLBISviyPBjvdjv0QeWB1ufc6ZgoRrk6icB8wA9PQ+0lzffAv/6oSfRnyI4 Mpw2VDdA0XTRgZrJI941gNQrpqFlZR5aKXpFhk+Ob9cgCehJrpy7OLNig3Ic6ygGE6QnF9ATxjc9 N3JGddro2pDh37xGZ3pau0V6FtAHoh/FDB9OZl0BPSU4i3l5kSgjtuLr2S7mNXbTRbB+gRapHGE0 TejDZ7RE8YQbRJLvvLuA2P+0LnNrNzWLHqU+mMmGQkbTnSh7QCH/iyg5gYKgQsaIlVgMwr2j+C7p BP9sosBigqPWAiE4A8vHsrnq5VfVkX95d+F2lIvuu6f/2RPuDl729WyaD7aWvl8dEXDOZudH9i5D GEq8kVhJnJeYhdc8DZcDwUKBzUi60+ICSRfs7LrOripoQX6No9mycmjtnI38V09RWdyk1dkTicjB UItPsDwJr3mKTE3Vpy1Skt5a3Br2xPRE6BSfXL69+J4orLXRzr5TUf+vnjJgHjXgersCkxkIfqx2 6WK/1ZOTiMhUasbjn3s6HtLPHSWN4I1GL7EVAT8YvzfiTvyWtHqrpx4Yek6X8ZNdYLCm7831rNQa umrznL1GbJySNjgdjYoZr3lynLok+QU+iWsNszp2WTvKDNj/u6f/1pORaNKAsqKshDQMuosQ058s KBhjiF7ljLiwCs4X+WX5v+7fJYNxQ5mRYKHnMcBkgULvHOBoliDOz6z4Uc9466Un0Ms6sJQNwh6r I8J0FhV1KRDf5SdfDsdA9Qh9lscWuES6g7z6ta66r32VwyOoieqXaGe2UR//bYM0FCk5sUTRlDeD 2+dwhdII2Dcxuxlysku58svHLDJwK3rVB+AvsYN9MqGLgJ5MDMMxVlRDLjEuN7Q0VmHaYnJg4qFq p/n+FLi71qFYNfFJLWAU5Q1ui3X+8hyaVUsh8LI4+wYsKLSW7v64GQ0dXXsY08yDuVPaIT8vNoZ6 MoVsmyglrHT+Q1YA7sNqQ4A3lA1p9DfL1o0m7/DOZarlCMA8QE9Ljn3ZzB+M4Dzk04C/lQhDEEuN anBsA0vJ1r7AhTomhIpgu8sp+ldFniwJLz598PxrXkq4S8xbLMEq2AXKqBzeJeDqr/eZioTQ17bT IlZIc8r7/CLQnK4ZsFlJ/Q/bzhy+KQ33BICeDoJAF3LWQhU6jhrUbSPni+VlDTCK0BetEL2hGEAQ NxyEPqO7NfeERHY07nI5ZXfvwtczrutCRwNBk9twHY5KqrHcz7PlICLt1IqcqsJ114eoKHifZ9+2 L3elAu9z4chqnVzT7kJGsEmr+/i2Ex8QRr17evf0v9JTOB3ypdKnQVUFsWeg4WNo1Ld6Qm/pdj/h +0r5S17ep7HTVmQ5Dr5SagaszeN7uB2QfZ/PWz0J4iXDOOJ8cjXm1JY98IqwwiEu0D2u3kgvNiJO 0bqL4n/NE3+u+V76l8LIjB1IEeiche/z3HipuyU3xAFhuMA4GPy0b/VkSg37436UsVoTodCE2naw GTDvNU89NTfB1S4ka9wkPmLxlp6r0x2gmPG1nHafCGhGmlTWif/VU3j38EXKaZcqng+EyDnrn7XX PIUum0wZz5UVS2UOJi7aOemABfRNOz0crif086unODvyvnv6bz3hQ2Vfd7cvWqVVWZf9geFvsDMP FNr4M9NkL229WUVGDQPoqfKcHrp/Pt9cREeo90sbmr8chCyM41o5oegsRdpRTRkLZgTSSTfddoXe k/4RtO6fC0BPQAL6HahIolRR/aTaORZYqYCe8LUtfYVdy3+e6VVXlIp4H+YA7w+ZBxqd68PgKhGa mGsCenqu11ock1+hbSDDSzhkp/CujokPy6dKO3ajwWWJs6pBlHva/rRndmWOp2CVHFC6RMRSjk5Y 6XXAFIpx2J9yvCmrSB9DpI2+48V7se9Cy8RuxDl8H3KXA81mrkuYdCEuPkWZPxBohO//HMQuwzF9 ZU3X1xFIUdcAVhVPP9xx4Kw9AgM6ldcq96tKxSJh4nn4CgrQ00MuVKS7/4c56bjcWuEL/+0MRI8O lP3hNi44JTwkr5+SQpzSQzPGTNqMSpvLH1djdeGOg/erysLsqK9BfzA8YWecU1Qp13dBDMSINSTV LcEkXOoaR3o/tkpyg5ENPrv4gK/4J6xehml59sRxKWYW3inJBFMIBxMkXmWVb9IqNBgdA3q6K4zV NjqHekF3WyKkLX+Z+uH/TeAI7Q6IGnmI1dExGBOw/y7XuUw5i08OB9kv11VLx2BfTpAIwwZg+snM mcJ552mOcPYMpQgxIxTDOKvlg4fH4t49vc1TqjvfROwqQ3PPhxETUmZfiLd6IvezFa3jzBWZJ/k8 LJQ2w16FAGWP7Se2r/0ouYh9of39rZ4+t8NKksA0qg8hf0QkmTFAiB+9NWVHKHFJ07JpbO9YwXrN k02osbokpmfDOZwlc0fnnmCJm4K7ec+Ws1aSz0pbuwjmWz2xwyf6g7CyxOa1ZRhOsWuCAOa95kka pJlhkb67OkIPs84uGXmTYsj0ghp7PNYflMeHza6t9189of7mh0B0ICeX8XMYltMSAn3NEwUfouHl fstvuP79QEj+q9yyC41bhSR6kvYjNuVKjLjMd0//rafKH7F02dGpdoFdqWwz5KK4ZbCqV1ADOIsf C/BxYq39PAA9Zc79Uv/kNJtOSRRwI1ypNlOMoMHopFzfFB7R3SrQb4rlZNaPiZKnk6uj2tD75InY COhJfa63xbP+o9Qf3GdOtnKjOEBPUR4udCnomD7LIqTHf87l2u8tAjMpiRZPOGkLwyu1SzIBPcWl Um7MnZRjyiOORZAkwGO2GrJmHqnXVcxUDgy6HdgxMJ/u4tvhDPiyx2dGLrWQ8x/d+B5LEAvMmdhY xadjZP6OesxYhu4hAdOrIUK8NSrp1PdirjbMGoPRQOMtg7dhZe5xUyla3oq5oC8zxpbjNtOadLe2 S5qxx/nyDd1eOYwjnjRg3KBkbVTIDC/HirPNtQDQk0K6UfaFx5Ddfl1OuG38glVvKGWdGg61SG6A Y2NonWuIXos3clGSwVdnKZ4TuthxYg1F0mv0ARvn/spjh5axsdObjXSWXq95yMXO+nq7nHYpjdV4 OEn9EAyRWc3d9qi0ErvzvHVGsWGpkM/qlXAzm7LhXvkfNuAN20HAy/e16VlFAT1lFICflfQpUKJ4 s+DfMp/IodSSHmv1pHRjfi1pq1n+wA/YvwWDGMoIcUfa3vc6bfkrbPPO3ZzV9XknHcW04f0x32NE EpXlbwhY0X37JFAXNulHCu+e3uaJ9tyQIthrDNfSNYbrN9uZyVs9fYUh3q/2OYZIekJxJbUG7he+ AaE1u69osR00ZvPZ6E96qyc9dBMtpL2W3hZsN0JuaIiz5Wat2PmPjNvWSqAU7pHQEa95ugJdG9gb FN8nL+UMWr8rAZ2wsUNQDy3BDhGPxIbCP6l/q6cgE07tCVmF+9kQbg+4EgMqwLzXPNncGoHQlhHl DPkaapfpMMkqfYuVOD7nKQVqh6APXOam+1dPBNyzDVTK7e6eKyHIUaUara95ApF0iajIRlbksRtA I8An/rLmwX9ut/pT36d2MbV38dTv3dO7p/+dPGFBnG5G8EUdgPhKw254nIb7zeuYQZzo7FN/b+vT J4H7694GN1q2H37NcCBOLrrvtf9KIeS+n9bnb/73m7n41SkpIKo2qam7MCgYYF14IMisutrgv+C3 mKhCDlQHszNxp4POjSh6wtWF54WPCfUPU/SFKnZwI9TLfLHFkL8SslqK329BoIogTleoT28+ysTo dvw8jxDmmzp+dvf65HRpMi7/SaUF0JO+j0etJm7uLqYQMIU3NcnhmXnBnz4H0EMnZOmQh2ItY9jN xEg84HTKGTqV8c/EZsdI3Zikl9hHwdHT3FZlKp406+QTZRH2P5ceQDz6QpNt7aLuzeEM0un6oHlF cFYbvSgTKYm/iHN0KVFZSp2UbL30AOYBemoqEBJqfLrb7t0fe6naaMKqmr8QxXLktFq5RksMpfKP NhmlhXvUIV2NE+jC1v8ZvQPo6YEQmWlDXcDzm5X+fHwttySgp28a4SzPHGkLKh1FmiKpKWZlWWTs sC1htuwdGVkVGhd2gJ6sDKbQbGw7DwtJ4GR38pTFoKhMx9lNRHNzP7lvJzf/mDDp7irUDX8Rz66/ Q7AQMUIJackFiv78VSxkGxwJLI41YnTnrMU+wE+uT6PTKVs2HNkkXlOZuOAO/mKn45LmmdsON/eZ gQ1G6av2fAtei/rGzbund0/vnt49vXv6/6enxpsg32xWJ8p2Z4nvxOh8jZq8BME/8sqDrZIGzDs6 Mg9e8yQJxjqKVoOJ0pciw6eJveiF/xtLaL/xzhXs1uYrXMLn23/1pPWcoin4u4OsCmxqsDQbyvA1 T046hUojkNxDZBEPZnijY8rgZ6HTkMd7oscc4aOoTYx3/+qpCDna2gvbwVv+wpuFkubq5DVPo/u3 d4R34yZPD5TMuI0aGaXjzJCuc2ENHqPV8r3H5eJ/sGVwcVQGq9C+xZI1GzuFvdUTNg+w6/YOBBJZ Ehlnbif0BB3QPL5uh70CWq83opVyy/BrnohG+PUonhJhoCSXbvGCSowB+3/39O7p/0tPlN2Wchon KcmN9pQ1QJPq5faKUPDMwdH3P0SxgEo878UAPRU+HHRDazHO69bXcTicwtHrwm17eMdY7Lg0f2W7 Z0jCTwApbnw2rGFho2YbFAxbjAL0NIicFMhnxZUaXKk4CF2D/td+ujro5OXQ0Nrv2qiHoRcqapmV gT5Mu8W9YgGGHMGYT4p/3XvtUTHlSLk7//kB29Ie/Znt++31/rQvcUSiFXCCsRJ6A7D4Vi5ByOg5 msz0ZdvAhVjgvWINvltgjhndXVQQzOVAotuVVqwRFtoSd5K3XfeH5JsvAiqbgVx1pA0TYUO/lYQt HHXZcJYaetg/MN6xQAUkrbPnovw+fmzBdOa5LOWWVBFq1VmGxxPnuG0VOjo8PKDU+es+wkfpw7Oj MFG5C0nJ7Xgjzw7tGUb4k/abzDst341bM1mSxKKUhXuxuJgI5ViUdqUbIS5XmkX0j5BxWflX/Ks+ LD21m4N24AWSjxUoH1ZQKxohOIgeUGAuJX6LheURrM1WlNAlTavD5itd5W3+CZM8PFA3Ew+Q1gkI KSCDYgm0NbwA9AQky3/iVH0ktRyq4kY/hy70VXUoqYrWl/WXg2+n5SpvNmD/BrZGyli1+QKrZROe QWMz1tVOZr/d8zQ6P6BQBUJgw3lUivP8Rizxl2HSjz34pdsm+u7pbZ50NNBuuEDbeWUp3ZDKumVk 3+oJuUtCb52KAwTuUxNUM3E71iViyb316RaESE6ByFVX385bPeGh5cJgf5JGbtGjg7Nuh+jPkaOK xFysOG66+ZFIcT3d/pqnT89gH04ktSD5uLgI49FydFcJgIaQRQtjpxittT25hoLf6mn3QP6DmKAK ikq7WuoXLCBKwLzXPBnulp/y4tJXh/pr2WPf0+DRb3xU2zCtyVxp4qYzGEMk/FdPnR9EZBFdmXDa P12onjFZ/zWPAOip9V4kHDyYa6J61Mvt/jETEtjr2HFVFP6hyEEn8Ch8jOHd03/rSZHSrgGvpOth Hq++JvsPYzdMuUEq5IVzQdNPM3sS9QHWv/bTEV2ArVAj2eTViI6cCVFW39nlMXCRxVAg0Alo+qms JZAHJGFoJZifrxBgZ9NN1FoBerpOc/sRcq7FeqY8a/tlKtEV0NOu4+1iNFSRar6ivMshj3LKSUDt 2FcUtRDrpY5jXsniPkBPShB5xVyBzgENpO79vxnVGDUnY7hAN9GGhDbNFez2geYn9ey2roNZkGn4 ztGqULgy6SLS51oYROVOj0X0FfChvEDuYGXq0FBSXXPbDZGhJ/Kz+xlqhuvP9BMD+BZW6H8mN/ci p2hH23xH+25JAU/P1eR7UQPWBwxBZ9FvgSISEpl5a476M7iAPpRn4MZDyiyQC9AT1UqhmoPINTp3 /S0YZ60rkIByD02TVDiyaWOmHiHnWA0fmcRPkuZIg2da/ubJWq2RPSekdPq0x41stIGVEwZoVh/G rphiBSvjBpRjkQznVPswBp5GYKYq9T2GhgXUDk99pvNSlS0JK0lUCR+1W3+0qWtIsRVVX53LCGkS 8u/SNH/tV6lUy1QyRA3vnGB64nMIFZSvRyYmyMaG/UUtdx4+ZtihCti/GwdX3yb3qXZ1HZT1EdmX TFrr4mppZiQh2Kz6j5Qhx/3re/Q62KBeG8R3GZGpA98q3j29zVP/ox2TRPbnZ1reSMExqizSt3r6 FFqSZUGW4emobK2JQA8WrUBDP+KKGVIcRkqOUyLb8vOtnqYRYJ0bRr6FC91E/78/376uFGWm7yzL PZaWvitOhR7YX/MtgJ72qU/gGOIxG8Nlmb+fivuTYROYOywmMU86VA2MCFfgBLzVU7CsgBunUfH3 gbkkPH6clxPAvNc8jc0xH7kO7AvWXQ/nJttUdpB9vrok7taWH6BW6ifh1V/5V0+FsAeunkBfcku3 3ZsL8BiDX/OkkcSNud3pTX1/2+GJBFOTph/KjjpBtjw2oktFmBJl+uPd03/rCbmH17FyjR6G/qLM TGdOKdWorOjSyLZ22QRa80pvEdIM0FORlR6MXAnY+J7hIwyKAK8I2WoVMNLypFCfElqlMwd6f1QF ZO+EhYYzTVGWAl3y6fNf9++oIyFfhAi2ZpXCoexavwYCejKTJBPcFbkmRmiexDeZDzM74qjiwOrV Ryb2iV5db62eAfT06YuTEqImQ00+jnMbn+hqhb25tnpd3cvaJDc7jnon6xHLwtMvhM/NT9gC8gxq RyN75Y315qnKuw542t7Rc33bWNJ/UjbUY6p9S/M5yT4yPghHDkP62XIOcc2U0k7/rk6vRPKPqG5q 4rxzBMn2DYjPru6q2XKBNywzm0NRkGyz73YnFoG0aWrMOjM11Iy8qda0B/TUnUHLDu/VkLnLQcGh +HhmpqAso5sNQpQ3oY3GWR6eaTehLsajodKclpvUaK1GBEN1YyPK2KzhwnR69Ey/FHOrGAn1xdtC /I+HoJS9vWiEZp/qSQxirNMtjl6ZfsNjqI5t6AufhER4+50Rgteextf6kU044ExbNZPEmWs33TWJ 9r/22XdW/0FqbvPl/EmVkFJB1ysxpY7Vz78vC55ck+JcLqKBAdi/wVWrrUCoEGR0HFIwwdFCcRzi VPzzvo6DrvinI/YQvfTC1ad5N2EaLAEWOLphJ1/kd09v89SGEaFW0TisDjOjavhDOgnkrZ7cRlBJ hXnZ87vBbxJpJnXP9Z/voyxztHkOOVVbMa0Jyd7qiTNOACV/c90Xi1+rB5xNvBmHmSUquD5/Oil/ 0xN+rgLpNU9nrAlKguN3zZCE62UrgwTkOJMNDhfGBHh2V/tzIa3pX97qaUjWDIaAJkQ0yLhmuZvB vRUw7zVP2i1Z2HW05ymDKqRzgd/3zRIkZUh+adBjkSmwB479WU37V0/fNu9M6SVFn1FDred1NEqT XvP0e85eAP6xFGdMjvBlSw2ki9QTgt2arfUzYyhiCI7UveW7p//WE0Hc8jxo4VDZfvAY8+Yp7YQL ru6XOPYfaWMMxZ7juj+EAD2BfCEKc9yRyFAnBQrIrs6x/fJg3q6djKS342gaocFrBfFoXGIUYB6e AZpFmDi8lqkP6Ck2TrN3OOl8RGjHCRdTRoIX0BMTn0ODd5A95QSLxRVI6aT9gTd4B4Wb759Z5K6f NvGrboCe8hYv3OfLUvAGDF1OYBuB1rmn4Vx5EGkrKmKJULhllNfj0iJoy9en6Smit9TOyCiqr8hT wGIG0wdSuja5DWAX5Cp9yRQlG0BQ+s4/hnu1n//g1DtdmelUMGWxi7igD2S6nDtNkFnhEPzgCjod FtYQ4RYGqS5UsFylNIF5OWT/RdgB0k0LCpYZ0hfmbgF2kCJnEdATXr0w00hscN5n+MpKWPd1G1sj 8q9B37As/AOfzq6+r1p5NmY2P81HjNDVuGFB6z5/oUzZBJ2Uma/25+XjGaqYHR7dT9HTF0GV6UFC 7i5zO5wic390EZkp1zrvf4wZUrZx4ygdVYYHlhWCDRO9X+N0Ws1GtiFnDowq4ESfTvALvHoC9DRv vu9YK66hBJWFtM7HEGvq25UoJIoEPh3HqJOecxBDD9j/yWKUEbclBBMfyYGpC1p0r9DWxydu2J8H UJr558bP3SbCMdLIzaXV47+rj6bMsycl3j29zZOW5dF8/EcuqJ9bM8IiRsjir3m6hOzWoGwVM8zR AXfHRdw7DaTFE3qENodQ7sNsuR/fwuZVfByn1DpoeHnsquMNszB9q6f8r7No3UCDUgNBi9/ZwUdt jtzZyKhbf289MFCwgRvmJL3myf76Hj4OSVaf7EXH/EmSyheSnC9khihNnO48997U8d7urZ5IVfeI kWt9CwRBBMzmsgjcAfNe84RAQpdrBH0xcG2kVodSpMCWSY6En1H+4GOWh6FKGKSu/a+ePvxR682O E3SeXTCeAVv3tXnN0+lNZb92RhGeFfNBB+3FqdXQPOxNBMwZXRAd4zqmvZ/su6f/1pPfPbSIc4/q Q37wiiDo2Oil4eSdryWcy7imzU50+9BsE6AnijiNqPrDrzwWy5sp/V9cCrgH5edSj3QYd8qJqEQ8 F2Z+dSbTXre89BhE9PH58VDFA3oam3A6e9EmoNzWeijdTepJBPRUZomNxJLB9Ww4HgfL/mWE3o0w 6VsoCyXcTjye6E66fgmgp5JbTr3BolgBD30p0qu6IqWzn2Nc8TMJtVbi4LvYX0ziCiJnimgEbLZe aGJq9Lhuu9j17A+npLDcwnKLEqUDrCsM8S2BDMGa/NWDGTlguLM6tycSE/w0zOIxUFiqr82kvye2 MzRvhWQHm2IZWfw2OJ0wpEn+ArZzkDp5ezhvJp95KeO5vTqHypX0mGUOQrlDWA7oCRXfiieuZ5Ay N+O2YJhKIHMhjlk0QXZ/qXgpCDK4xs6/uPFEqWwoTZuVYAtcddRD7aTO4b4ctaQm2MQEbVz8cuNF AdUCVcMjtwqjWkRc6xR3u/SaH6kRhfT0C2+vpqRRZkP5irKm2i14mF1yQU2bgNNm0STLrU27Dx2T jmpQG/IdoCfIZHYTX7NP2vuWqt6ukLEh/Oze7A16tAtjOy4PIjUrTYD9M5Y20JQxF5KTEkDxHK0i V7Emd8GtwcTvmh/G74dzw0/kE/0E/62lmE6cQmusYuvX9+7pbZ6uq0mRNCgMk/cMI7O4isNx3urJ tDGEDaQ/Yqko5sPR3U9D3BXHvCdmIV3wPQ/+JhFq2d9v9dSh9iGcJohobwFBvhY10CFcB92JksDc Bgd+RGx9RFV07zVPNu2Hoabfurouxa5ZF+PTJHS552/mhTOwWNPIvFw9rove6onXHGTKm2KE4xGr 4pj/zyk/YN5rnlx3MwlpL0Qnt255EhHIpdweFqcQmNmVLxK/VQ0ezLpv/KunI1CCX2U0EfvcjXyV c4YvHK95Gj1JBANq8w5v42P296+rkLD4LtkC/oFdRn+k54HB/4fPu6f/1tNLs/XY5mfl24EFE0dX rJ40Heac30DXU3WZngPLlHY1f/1/uqkV7XRPOiSA2WUsyw382dlxU5pD5kNBcnF5KpU/FzhEh3mN uXJsPvdyzLFNl77rD+jJEWQXTXgwePD0hHo92RcjFNBTE3jpV16MnU92ycbW7okHaWFfFH6mpnRu mloJkKg2RswBevqyUHEN03Od+lQvxBu/KgtdBs39jW3HOBpCQcv7PGewNBZRNC8ATAkUnJ17KIls JWK5Zu583pOcFydWdXYAXbK87uMGrnRGHbthYrTv19CVMHjCmYWKvkbd55LBwryvnJ67axK6UVM9 4i8vBpUtc4zCmKHkYHE6L4Ffhf8YFsYB81kM8EbzMCidteNXH365XlsA9OST/bWtm0jwNGM0a0TZ Z0MXyzWtX3BbDnHPqlFb6c6dLJ3CZ8aLJQibq+bnSrjMHLR3TUMfk33WN+6Fak6noDV99++2M3Cl /Rdz4OSPlJz3C2ZkhyCYmV7gRbPlwhTM8NizpLOyZfpXjlbrimGU1qjiKSdwsWC9kWUXOvgWUKkZ QoCe/JdyLqQOKpRUwGwRFQwypl76ivrU+o+L7GG/1Rc14oMB9n8+fZGjya/rajyQEUGY3j+5VTJF MU0wVTRzYEJg8SEcrOB0MtscluFE0zmigFn3mfHd09s8wZN+tMRzgwNFznQj4KQV6n+rp2rey5gP njehOefFTEc+XPh6rWSlfyaiYtpPDmTkp+or3+pJdcrbRXOeuHvpca5+Zf/2xsDpuNCPVnrF2shz ix3EE/Y1T240Y5JYihsJTRn72wMBdkR2fNoVF8XKz1voyA33IDmKb/UUfbzd9mP3Y2u4Zc8n5fYN ScC81zyZYPnClNzHKz6LpsTGMsXhuVPyhWePTk1MJMfYK/wJV/9XT4SXhKDmibGyaGaGxrNIJomv eXICReZNFUCVRFgDFkWMzMOeG5S1xw5MR1XcW8WxfOFUfPf033oq9TlPya9Nz3HuvT12a7zajk2f dRs8KFolaP6GBCmwjgHo6dMTN4UP6IOasq3CUq8H2Q840vt0u2Wa5j5mm3OKlnqZaGLDS9e+Bg5X qTOCawV7G0BP42OnyX12nLzwKWNovpk3goCexrsRqc8Fxn8xoKUi0sDa5m2Rn6hjB/eQGB7cqdIi SzMAelpo2A7u5+tNn8cglz+dcyvcM8pt7DzvWXXQA65hdP9FkSGruh+9Fhd8DNQOrG0FIRYpLcTM ADqDFVFHkL84KS6TPjn4x60wZ1vTuUoYXXXnZU8Q9nqAi7vVZfUoQcqaj/aHCeu+ef6Np8knt/N6 XvGFj7FV991nUsjAMNoYanrywhQ4t/vM+la3jCQDbtOH9gGAnpgMaTi4s+ZCkn/tVRDIPhQflJSa C/rWa6qZw5+IuG0f1U8W/F4JMoT2afeDXWpz67uv1RhTqbbVND+pzrD3A+GQbN5v88KaijUNSTOF rO2eW3HqIuwtEWFB3tWCRmMngnTmhDyUMubz5ADtKRjJ777zraz/w8PfF8fhvGI9FDEdAOhJBZvN 9oWliWVJ8fJEbon8LJR51F6fVDPgyQcJukF2Dwyw/7GHiDiFQk1Ee2lPOpAlXEHkIMom1ydNDesJ n/KbWsdgwRUTnpF14uu1bIX0SWvTqHdPb/MUHsv48BnJ2WBGRj2wL22I+K2egFo7K0NNKFDMikFQ rurirQYIN2ikpHvxKrQ/HbXyT2u81dPagPqEeNCpLT2XqDkY3mT5y9rOTp/CFe8409F6dM/R2mue mimg5Wb9aHuVQF6WE+LZM2sz2VsUwTeXQYOV0L5ZEf1+qyfbLXem9auPSsJplSXHA/D+gHmveRpy 7PoVqH9oVPB1+SVogEKmZMBulzvyjuJEndINzEax6F89kbe6Mt6qY/5Yc5e16DgdyX3N0w0JRnmu LhVr61bhzWCGgST8s88dio3PYcOjDPpwchvXu6d3T++e3j39n+opksrLTgEsLl2R//eTn9awj88R aoJJ0L51I4iBbE9cZySgp7u2EbMaw1w0fLBPf4Q6mx7cVBsCj1RJrCUHDQhJptHOEMRk5TbXQUoy XG6WoQwxwnbau6Xpn5qcvxzdit4PxGOqcM/KWlPuaazhIdXcd90djyZ1V12LPLbIRxDBBwqmR5Xs pz/Q/TT3pFS81TtgwTjToLJtOELZk9Ca/sOan0L0A9ATw9qV8YeL4NZh8DbjCpuMn4f17jDja7yd dO561yopmPQJZryZicVX6sgt1Im/8+vainIEVW7woxnqiWyGMQ7GLDBDD5jULPuVAiJ5ekc5lqjT 3KR5klAQVbMRR/tqudh0UzYlgUzC3Bdu9r4OP9FSmgHmAXpSQUja7JD0uPK8hv0c09F3fMlFoEtF Ept2uDMSubQFrxsOChmK4ffZWpw/XnCni3sL0NMvzE/KWoJdjsRDoKYbOGKYgJ6Abs3K/1ThM5sr W9ki5xzKrKDvPdUV8fEmTheOlcCBBQB6ohgDYj+lJB3NHsHgxxPhjvYK34dHYRM4MshPCdnv7VOY 5Pf3722Y7jditBY9w0UKD3DMXrADr3OZk4DWjefZ0dRaoRbJQjQmUbEMsP4txEzgw6R+fi5dv1Mx gcN/f7wZ8PJpaaFRss0ofOWnc8FynPq7p//ZUyIDL1IsZCY51hNEv8XoEiHSUzYtsEal2znB8tQ0 atD8a56kcIModuSdC7aD9c8NYuEasit2Lcs+yj5L4KtGFgvH5L/Vk7MWK9iqzjWM0q7OTemanvYB rrJmbGp3YfQsX1IcC1z8a54I92QwrlTqPU3DqB+PLBgSPZ6QsqrbK5UN8zuAg4qN5P7V0445yKOv +JTMVtVF86aP7fpbPSU22oEudVDsemCcrATw+dPXaLo1EEyM9gm3GkjzwJg3vtWTABcR0Pwq51Oc adsHTcN88nJN/YhYqlBg106D3ash6PrXPAkmBf8acfoeLjp+y813nvEI2P+7p//WE49Gown1eEpr oUShmxK4xyGVVNU0W0Yh82ipvX1D3IEhoCcse5cftdAtcOixma0hZKMrnaDovMxLOS2NaviCjL/s 1cn3xCRWzyo9JNoerc9cJDcOSwk5ICgHnNEeVVCQjTLt813hW7BwHBbdNw4vqPJsMkJ3Orsdk7aA 7eMJ+oP9VwYRlvkPCa8ovL54XRtD74E/uGkScyZU7HcF0iT0tw0b/7V/fEGdOPiUmD/dkWmf4yuj zWhpGcbW0Z8OUyHQwrVvBjy433JZfsNK2Ooaoz8U6tFEcimvJX26hKo4Y9kMwXR3A66j9aSQZbTq q5WzM4z4OFBc4tZF0e0O4ztRkBhoy1c08sK0kgUrRV9gss7zWcFLV0UEMA/Qk9J0Sniocdt8kfHq MIyY6ee8caeSAlzU3KlfHJzzWwfJ5Qc76u0LQMd9CsSy30AXvwN6ImgtCZb/8AEUfD4gutFr7Qug J+6OHNQy8wT93SFHigXSviEwfeZqVl5ioE3eMTLsKqY6QE9jEA0IlKNsLcDiRxHpwwQgdDmHICvB odN0UcHZi3QEcp+gELrNHkDlGMH+5GF2uvgHmzFkCbfHRy66hlON+PtlTsZTiGlBzjTuUB6XMxgs EHIIB1sQFQxEIWeD3luntjLHQc18+kTHcOgcjxQQQ3ZQ9u7pf/YUutecvc1y3txN+vMrl1121PkK 68ek5/CfIo/1LLk4zx2vecLYrnFwkZ5EEk62qT90WGFLOHefbRqxbT+RNt2SGPXw+1dPjHdFhAvu Wx9lERmv0w1JPF7z5OCplfUoJDurqySJlTcJlYUk3p3xOeRQNeqoJ4UhponkXz1Nk3mTbN51kPam QSE8RkDGvNWTU5yeSzdTg7B/exdFVCbZZC1Edwdaoe7m14QJKV94Ad+3eopLHcrIjLJ9LC7wTAiK kAmi12GXgIgsSUtAa+2IuCWXe82TEP8Gm8ML6axvauHRQe+IL2D/757ePb17evf07un/Tk/NIv2w g6clEVI/EW+X5MDCybUcBDqM2vVYPCpSR+MH/prnxPjkeCenN5jS7xGRVOEm3JmJStWsgJiBgZUS ij3IyCI7GskqXK8pwP6Dze1sOrOUrk07vjqy09v87Jew8aICScjBU4GklZXh6fcPQNIz+W4RlK1I dKTCKvWPxbm+12yHDeJYrVnyo31I35jIxUj20h4orw9l0rIDYhcaVKDXZd3mAD31GKEHYTxBDuAW X3EW2IrPDM7Lx1/XTOPkbe+l6NeZzSva0w39DMOH8AOzdEgmWTtYtOqDmji9aPDBXhPe5bsv0jeO y0H/MIBOv+tQZq7GJmzQQArcAaMsncUH+rsFrBEVZy5/XW6sNIZ+k9xiw3uHDjAP0NPp5EEioXGp sC/BL7iiuBR4lLn7S2ONyk34YsJ6N6h7NOZMO6yhpFYcCXp/8NNH67/2q+Ax8EZgr4K5DE9fq8Ly 21kDejpmppbDoFJtW9spZG8Ym8Td0vb1yKWScApxbsap78vvBfT0e4ILO4yoL7UeNXtcR+DSB/wM zB50uN8o1B1SBiSnHl/Dz7UhW17vwfKgUXdA/U5pNDzaZ//PFJrjGogk4g/sq7qBod6oyPIR2igg /+Ap71ttynb8I0gYacU1ogZYK8wP136bGiIfKlKVMUZZ4EhZKt49vXv6X+nJTaK3eOb5i62Y7bSv zUTX9ls9DaWTJTGE5O/CKtaLF0N0bPIUSJ4NI+paKPxJtNpiN1B4qyeTONI2jVwLjUTu/o4bl4eM k+yc+K7OsZlVWYbbwOlwstc8RcYzfXVB2VURx7RGJ/8mGXyFazzDdTeNmybSOm0yCZb9Vk+kAjeZ ny311+4JHSDPsiKvAPNe88TZVT81fiufG4a4CongVMgOC7dxigMxAUnTt9YOSS+K9q+esmOwQH3L vaKVCyQdl3H0Yl/zhMxgYsAD4eQzBt0bZ4r2SBacWcD5s3arF7KkeU2cRkbq3dN/6ylKqJNPyVJe lf7lbJY/FlQag8ytD2s76WLenatHBbudFNBTbfw8UA4RNzh87AYFduyc9rPclxymLr7cwF+jqQwH 9+cqESbWODFROfpBGjja3u7VgJ5GMWW62NNlg9nQVqcmhwxpAD2lN15hlxoQqtI5Vu2MPu4EIphm EbWg6is79i8di6nntQF66gp5hAP/w4BTZHWaZbPQ7XqTa41QLc1wYJZJQdCcsGZDJ6PV4piVYhNc 4IwsHQoqLNt/IqhPcNCd3xIBVvqUFOfAZ1vb6NYJKgCCyEsrHUAqwywaHuFDRNfE6iZxTiGqy7Qv BEPhnKDHLzPIS9wOw2yDkq+dCXWJj1sYk3uXJNp+5LGuLP4bJG2FhqCcNOdKENCT2ndRRE/HSxzW 4B9/0j4lF2VvlWOeIXFeqopWGkdVrCK79gZxW6FfQS1Vcg0m9ylQT0NkmVEjn9PcSHsTh3/kQDCj neF8/i4mlRnz1JCRJzOCfPAL5IhnUl0rFtOltdVtHnf63iiiujgpfdKFB9fGR4HJirUZs+e6/15T qExvm8AT0NOITmcD9U1BzxM+Ha7EXNDOlr4DWuifHn3SbGz3coroDMD+I6gmOvcpcK6h/CMhdgMM MDKRtLvrmh2ZpQvb+z8El2hblkdEsNlkCAfHwOMNu2wxvHt6myctPSQZyXBJiWuOTlLxzY66t3qi NrsB8euTFKnWFzjbevImjixncRTXJtZpT20F4o8U7n6rp06mO8bFYbGUs/5K5dVs586pJ3TKNn6B zkVSkMQMOQrO1zyJdyGiHWqi8aCj43L81AdSWiStyb8RW0m4u6pV9OhNmXmrp/jetI6m/fMJtopE DsSKeFfAvNc8kcB5jFbbFIgMcqtjpLvtbVw4cv5kxQ/+MmJ6dSBzRAvxr5505SkeO+lKyV88IqZC L5jtX/NE1ZSIaPoRDjjvBh5BdZ2adfUHoj0lMvmmUn8rI9sEHPG7p//W07VX11YcDRTJI7TFxXgh 4pko/J2cxGUM/Gi1P7N1ZD8RoKepniNuFuntdkwXIE2x0lGFTeOWg81ZsEPWXW83uEHFMvDSJq8e 09SydUO+O6+qbHhAT+ewWxdwkfAHE8rNnmZftjAAPVWU4/cDlTWIREZ7B5audXcVJX9+Gi/GJFhZ ICunlcPI+WteypTruiP4EgGoVzZHDylsfNSzZiCx8ujay1LZY5WFkcoAJGsVg28b3UvdT/ahLFry RTGfaBzUclAwyD2ITeIRgc46NV+G8yOrIdcQ2n7UR5yx22KaxPnaT2wwPPhEVtt7nx9+CGMkfElD T4r8GnO3OQqfQm1YAVQu9mL6WT4jAb1YkASIkGPdQIagil9YHJG8BNBTarTM3qgTcxMEgxxubyZ+ Tp00MwIHMbjACW9yMF74ACpaPyiVE12itHHs973yM/iMPG9wt7KWJmFgtUwOW85NhAHqGuGf2EmW PjUNKA8xCJ5mjUw2cRAFqYpoZazZ9Ey38pvgdijayZcohJZnay02pchcqrtjTWINKurGlYXFh399 fwpTwJx/XNLdwu7l48JTfUFgtYmUvKTOg9K2kKW/96FaAexfrpK4Y77GTxybnRGod+NIBtPZIG9H 8FxzKolhrwiyABmnpMhYpq9qBS8Lh21ocvD83dPbPF0V7ASVbOp5IQjCX9j13Oi/1VMX4kcORQzk ZYUxKmLCH5hkbRerX3LaNC1LU7ny1VXwAt/sCfxpH97SUvQlpLVyeIEl/pRCPcoUyE2m7VvMkI8v 8uNrnuRZTA3bIwmahhfA2FzELX4W+Yb3Fnhqs9avHjfprx+ov9WT7T6h229YoeVvU5LWtBnwWoB5 r3lq96G8UIMeKs5vC/3Y5jExv4082KXBPZ76/AMjy5GiyOutngi/jWUWuZ+Ck+RWNzbvFTVJj4AS FttocHXx6h2mUq5ivOYJvprksE3vsWH2CbLNvDu0v5CfKwCRxxFU7Ea7M34fsvfd03/rKThqAPd2 2FZQBMpzvPh55LGbb0Dw1DCIsh7aeaFuBa4P0FOc/bbp/CF7c1Vpt/nJ2h/rXKcYbcQMfJRy4aLv kijUNyYBSpMacnCPNXy8uIZl1MqAnnQLKdTHj4xX58srzqZQy9sBPS2URiGDDJuvY41Et8LzqrZO uYWLm4mWtWRlOi0JmlWdA3qa5l58FBCycp6EOUtsHuX6aJ2SAsLJ6Mh6BZtnhXqnvbj+uyZEIfVY lBDpxzIj68m544Eja5IgIbi5mVN3/z5WQeh1wkXrQ1bfdNfSAsHJ9BZeLailJPfHcZ8nCnx9xj/d 6EQn1CJPLgLyXcmN3FO/6VHtHgltviRB8RL9NFluY0iR927ycLEIrRKuyY+24XdsAPQkS+oeBqKs wgjRWcavCRJZwB5kry+8/7Xa9IrT9VFffvp6IkBaPtPNWSYcokOrzd5fpySkSCheC4bxy8l48mNb wqwMJJqaWb1nleYm0mckCHoe3+Rk4R+s16lXf3D4qbNVvzv8pqWxVm9B0mbiJPBe3QYdK0hLE/E9 Rc1luqkvYboG9BR516OCWyT2Ce+rcvpu4GXAve+QqSYa8t05xFOO7iyBMmD/opQZSB+EjxhIMtwN lkMkVuAQ6JcmgT9K3V9/goges0ocfzCWNynXgsqvjZHZngA/eff0Nk/y21+rYSiAqGz8hSapWr4Z vNUTWVgnj7C1tjtNV0c6XdQYxZyceS7f0MGgzyDBbzhHuPm3ejoWjk+xCyva+y179ZW97JB2O9O4 Tyd1yC8ZfVyeQSUi9jVPCC71YdnS3Nj8jXRMDWpgi35BWWyEV22daBMoGuSKUl/e6skrXHUBdZhm jLxbGsY7gKoRMO81T/kRMBkL5MNH7tpcmid3ltGXXNw8ItysdC2YEXp8CLqB/+rpt6lqITEbgzKD QjYRsmpQ12ueFmN3oNYXJINDI7uH/aZ965Tb1j5JqrEdNEtgc9Git1C+e/pvPZ1ns5XUJZglymVC 47Uhl3ZThwSgHcWSrUYb1VbiiRahAXqa8EdkUBHWmx27FhBut2AWY5aVn1z4PCZjSlWe84yURhhx SfidrcchBeMTYT7fAukIoCdluJ08/fG+PmnubSEd7NxsQE8/UW2FsvjaPM404g8uJrnwyrCrE5/4 qdV65ezImbwL/tqHsaTAa3MEOSYNccNYyytKyw3OQonJJeuZhLIJr+wu/KvTuuATkQolBje1quVm aZH/k/N0yU0UslMoED/WkLENFA6oCb7uJOOPuFnPcNsuRN5hQW9CDyFCDi6/ITcUfEPwVm/UybxK ZBTPjmf55mcTnXhm3hewamTJ/KOiE+FYX7k/xcDRGWMdtEDomsHyRXKME4CeCET0IUdSErRIGDdv 64CVx4y2yS1inS39wnoKQHHjmksRCpDVW4LVm+J19zc2iUO6Y2tBSuPzLrZKSinpg0dl9i2KUPi2 B7aRxNVKG8h35rw5l4cn0UjxYyaNO/lX8Q2G0sC+EvwSdiD5adspJvdtMOYipw+DsCJ/Y5hal/Wu MW8f0FM77vqtHzhRs5575QuEv5uNaAlQDW8Oz2N9gFxlStwGCWD/cz9iYAbkfKzxjZGjxX00RYsv nO+NGyAc2m85zXu7oGfmBzn5mT9xjz7057yo4SVVvHt6m6dKIw1I+y92e2YykbsGYD3eb/VUoyMp +l0fH0HTo+haXeVGzdqicuJiQWppp1ejoYV+L+mtnuznqvoZPnNzwWamFfPrlroz9J55nu3UT5X2 oISQnjo6vebJ6Un0UJu+BQX0oxJmr1hp72AWXUzS99TYm08pmO7LxfNv9YQlIjUshMni4AQdUE1J 9qkRMO81T0iBqcKpxb8r5D5XQvDDhwg4mfLlOacElcE2NtI4Fc7t/qsngfIcjhN1PHsCMQu8iq89 Ra95cjM8ivhtjZ1SF1Ms4+GnRRSwyGutZK39W+amPaceFwrl3dN/62ngPsMPfr0s51TibojDzZR7 3mIX9Mut72flTrciI7VIKEBPsA1CyId/QJFWNIJSioLt/OUixT+FIiSr043cv3S0Rmgu6nzveEBa F2DUvfIgmu+M/WsfBg0VzGreFTMKdW93NRg5DqAniglpz8dCRdu+YhSFZgaPSRf6A8rpvLUZGbXz MPQQyyFATz9FZqLL+7QlfyAvEUE1/5kHw1xKm6Ke2mioIz0yefpmWUEohMzdUu/CBIVjQD27wawJ ZhFIte8GQw9BoIzXxkWljSN+xrl7hPOyraG0tF2efgT9xd9n+uBnVK6xMmMJnq5fKlB9PPuMZF8B V9bNDxlGhgA46C7SFOmTfr0GWKLPFSQdhKEp1s64iFFh6AICBamAnpREeo4X2i9DZ6ICdrHq9C/M UrieonMd4gy/tYAw6amm2m7/ZlY35s0UmLrbpMA11hGE1CpqInNVvNFEjnINOkQPdRvcuk/hHb4e S6drsVisgftoeDtiEJdRimn79XOa30ajKs2OnkUE7FqPcmk0Xg3DOuwgv0h3cjvS3O5WWULHJaAn ChpNl+uEAMWrsDpWh8JrWGHufeU/g00O7g/WFOCY9eCA/dt7+PMiIitXdXHqb8MEfhL0vvMldI3L n+hdcBdLghPLOjyiVTwzjlJQjK4LKLyq4nz39DZPSRkXVUM7BJJSkYyKRMJQB2/1xNOaZ/4pob2j WpYWViKN5egCC+vItQ10XlxGArLu0MTyrZ426yfWxxyHWrk0SrJXFRN8hrKJxU79BDPS6OeQ8wRo rF/zBCwPerk1x1Gj+PArGReUGGWW+6Dc4tKKnSndfBdBj03trZ6CnH9+daxk7Fkk50THFYkvA8x7 zdPz8CyWglWrjxaWSsOQramLlPKvi1J+VUtCfGTYWplvbv/qaZ/JF0g1wd3Cz1pwgiKwqeI1T0UP KL/jCQORjy8cw3TXIXV4dYYEJWoh2IDPqYvsSUX93j39t572Dqi7Fgc/aa70lbqdj/0UjEv/jtyd ccLVaXBJ8WHftB7QExZ7Zu/5oN6vUEfKrQUEzz87C5pLQNupi0WRB+7wS5qLmXqaITSFvSVfC8jp 4I4M/3rvKxU27nKqj9TndoFVXFG4OQfoaYaFjZvbTZBgWj+wF7UjI0Q2SPvzeMyA2/keqFF1z3M9 oCeMi03+yBSD9hb+QzsO02oi0IWAjzAvD+kJjCHaU1BHWqvbsTrqhxr+LD8VRMA+gvCQuhEg0D/w HCXh5HVmKp94ZQqd9umGQTDJryM6atTJj9yMCDeW4jmg8UTwHFfVfhZa5hY2jNcwJUqPH6BRmDCU UmXYYROvhfqJRmTo1Tp0OsKarM7ueo6Xut/nmij3ko/+1/dx9HXUWloG4PKvmyrGBLNetRgsF8jB sxmzKd0UmMNL3l40OsRUdt0ImVRyHt0jTW3hJZDkJPfkXP6fBbZkCQx1JvnQ7q/Hi6AS6s1IkIVw AnSlZpiuT8ppxMeOMKs4tCqtWKvNLiSuZkrAe/yx3HIwaFKwaJCDvtT+GYy+H2oShvjr/XiJMEwS QrDE0AScf+4EEfuQySy/Nsglm3mzvUR/jYEWYP8zJ6X+tsRVYB+4RtJZpOz1iCoPtHVPn9XBT/o0 ew1+8J0dMLo9oKHcKu1xm+74MaK/e3r39O7p3dP/rp7O5j+qEj2jmMHbWR14kzeE4PZyEd01NSf5 Qc0rjYQQIL/m6SIjyDOVnohUzBnPPAxC8zrAiHEf7lM9eepqboe/Hc6vf/V0hBcAOurgTnueWzG6 DyP91z1qQE8YukMqjsVPDVf/D3v3+Fxn2/B7PEYbNmrY2LZtG41t27Zts7GNJmls207a2Gma/bxe 18ydyfS+5tmzd/6B74v1+7xYc65zHUfkXGUVzD4loz1tR9dOIO23GufVpvK0pr/11EnIG7xWd1Sn /GxhnpV+rvVWT2ZiPLZwKvIbP0e+dykX494wBESJMaPjrCHatvjBlpuovtXTfMr8gtE5eFMXYZm/ zrcn4zTH76AvFHTBxM9HGfTBWMmveVoQjvuq2SHrlobmIevLu2oAuP+7p3dP757ePb17+v/TU7rl Gas99rgmYm2lmEl/M9403WLSNOUKarR2BohCdTc5oCcXN9KYWs8MJW6IX5riYmKJYYFe1WgizN6l qrkMW/BoZ2e16T8wS++ngKobCHTFi4i+qP1hLMS3We6Rvm4Qjzb9SpDxzRrK0nkzlTc88YBwjWOU Cfckyj3gA9eq2td6IILrbR/pwJ4c5tQ/wSwKtWthZrwVR6vkXiE60hgYfs6DQHmAnoRm/rxcGiN1 wUKmZSGUWfdvEvnOVP1eUbhAHQ6OJU029KkKys0SWbfKzz5auj8R7hmBIAANRaTgANKHu9c6SLs2 PlLCn5ozYHq5SF81t0zNYv9ZFJDcSFwIrI16yX3Q1I2HuU0xOlvQDW+YjM+S26IC2AP0xMcPznBT qIeIogE/ELzbdZJnz68eFOgkLjyb2MXC7sbK7INbEYqs7jOF9Ky+7I2HCeiJOTCIj1pey81C6wsM U5aCIqCnGNBWz5GjW/qBbI1owcvVnKR6zNiK3uVklfRmYCYk7R1AT8UJay56j5KEnj8Esl8wKPeB k46GXAez+sizr9QlJpcydCyJnD9gIVDHUn6rtWS4LxxDjG9a79sSY5hXmUqgh8lg9dZLQNHFri1O iCzypf+dOVIaZ3PZ2m+kzs8sXojr/zGa8hQYhsfflLWuQHv8I2vSu6d3T/9NT2H0i0Tg1XSTsAg7 wr0W56GveaINpV4Ib9PTwYLObicxPo3Ovx8NkhgDgrOTuNFcZJHhEbyvYyBps2vBuYtoDFjvgHyr p0uUXf4iaQuFyxOSpV9EpvGcEnzH8ds49GrRiNeNqsQrr3licuT54Els+qjKEeZb1+Z7w+0vQ48i TJOkOwsZkvsD+OqtnnYVNYEkrVY3vX10rWJnBdEBe695agMlE3YxiNOffA7Z4mJezBPiVsD7FqPC Cvfcj5jUBZX9t5646mYL1KLmfWdhrVXuq/j/cb85oCdboSWpZnAJILbzWmbzdorwgu0+hU3FWB9k bYXlONz1sndP757ePb17+n/Vk2O2oB7lz9rzH8BzTwO6yKf3HabG+tN8fLGucVNzPEmqgJ7GHNKB T2xWxZq8YYVItJwn/ZXHUm5dEM9Fuu+xQ0OxYvknY+0joan05q6IhmuU4IwdRkfZW9omHZVuRmNh 1hBEX6zUtDVx2NFhyK0sVgYeRVwS+EXY43yEJz8Em9kVGCNHSQQg2t8R7FdppBsbVoqGV7JQ0M88 Z4jQ0TBA8MPKdQN6MgrMLoRnOXVrC3FLTirsj0D4cLBIEfZlXvobcbnDJ1fIqkhyva/f538jLzlz +jnakxdNz2TWTkLnP/yRuuOpVe/3/fAbVmq0mmLy4MBbF1jT7zONRkrUTcj+kvzmN/QUkEzlE3x5 IzS/kGo0W1Ue4EtKwB6gpygjfgsugssLEA1VfpqZiS71emNyjpl60SHd6nMHhokamGmYP7YsA5/Q ist/BWuk+gF6Ambj6sG03fceeSSlDp5NkQL0JKNgqkTgL941Ly7zYTUmJQXbV+Z7tsWGOofUI0QO nI8QoKdqHtVMbGVwKHYZybhRoraXzzaq2zcBoTMKJKMbt3JLHJcUdDNaFLlelDOONsEHOWWCYdpw BrIcTYok8V+Mnd3nkUES8o5Q9fo8ClDZNu61d0sJ5sZbs6uMZc6WdZuqjEvQjXjjZvOsovoSv/cg mtWkvXt69/Tu6d3T/62e4ieTcKpC1ZyIrlukk4h1197qyZOFfblporpfv0jYG1hIoOCuU5yaOT0q 2/jbRrbOOhbwWz3d7ef6VWee91fl7JxIQnb/+AmFMyMEQ1VN7pxaFo49/eE1T8xoq3MiNUCXX34k Sb3YEj+9MB/dlC2R3idz5rTqpUyAv9VTQQPn7ybt5T48qLNuxf3mf/Re81Sc8mVS/8tmvzy9VZvO 3YeZJZuSQg5rsafDskhVHRrg+r/1tJurSJL67QayVcWntOGasPU1T1/iTlEdfIFyW93mKZqHlxXB g/fWUt0jPvDR66yBp4O4vnt69/Tu6d3T/6uehK2HV7qj76xzyfpk3R1qmTVg/Hf9PoirjTEX3yxr Jh0BekoH2xOpzV1pXqz2/s0YajnHz+dp+JGyzmgEBk8DnXXTRyxPBft6VMPBwIQjneLRc9tqpgtv w1s//xJ6svFa5+elbWFUZCiCkljvesxYxfYllnbEHryBR9emBdgeSJqNa76xM1pQTwLGF3dkZ3BO mJPv5LYkdejJXbuKxpB5J5qfqQA9eegHeoIq4hEVPAfgGD/au0zb4cds393jyqJA4pWTQxfKQDX7 ilEf//Kl1sG+27GoKhTSK0GhekBd8TdyTd1ECk0420RzRUUIHPwDHS31Qzj/F3jE9SfFfpwJB5Zr HsWcH9n8XiPDTOaS1JH4PBxdECuAPUBPsL3ml0V6YL7ksmOHnGK9ph9x54wJ4TTizqEK5mJ3VBhR whgXxuWjSb8Jq2zsNCN6AXqyPIHb9iIy5e2rQyEholu4AfS07U+TlHJGpMjGoEjnB/xprW77gZHY vYKfq2XEXEsdCucfzwvQ6ujVVZsxgU7DXN2MkRVECGED8ZkiQYCt7CE/XUApuMNxEYRlPvzxdp4f H66otNXd8M2+/Gh0wEdeduvFdsVfpZqQu/JS43KvCFEqEr5p11KiYvicjSRXvkWvh+qIuHqmcwYz sHxm0WgNJVe6LXP47uk/e3Jh7MKDiIbAQZftJ6C9+7jedT5fa26Ulcv9UFPccnF38pon6FDCjYAq EOOxQbyaP33ulZyYPQVqG9VJ0sM/xSkNIEX+1lMUvSNvLZU5HiLVByIETafi1zwxODfLwe5glLKu u2Mqf1tGKRwLYTC9zBKv66UQD2pgpfpbT3AldCFHgp/PMukcwAWHwcve6qkQHCaqXa+QIaVVnu5e bSl6tRD+li6XsTt2OQ8jd6Kr5K2eJqY97RDrjKoyvb6OJXVrOqM7rYM3kFt5s1RfLVsxOT685qlR 04fbCDR0dVq4ZQ+Th04JcP93T++e/jc9meNwifXiqk58ZZ+5DHRqM+KV1YbG94pvjGEgeMYe1BgE 9LTcmN3GCRbdf31joC1JdKwHZxVEYgob2+WmKkSmtMrPpgERyuSit5K1z42pe2/leA/oyWB4lvkE hXwv1F/lzLh1TxfQ0wUMTj3z41IkjjDrXHGkgi9PEfjkl6Yxg/PD8axLsZ/bgJ5Gy0AMlh2mB+yp tFA58H38asriiJqZRw3mFy6vFIIJw7kSkqFgVY0vybKPseFu43J85W2Mf2JLEvKjVlmHdva7WqqU 5uXpljxYqi3ih2NVXDYCqSUJHhV0aDyam6+vKJabqGI9nR8+XQ6a+2kSJtWFcKP7gsgvN9Ti7JUW oxQnUT7ZA7PbUGnhsvAsbN3+apYF9LSnTMfzSQXXG5sd0sLSFBuEbrTBD2q/CvnHvDFxe4dW1HpD hpZ6F3bRQBQoV9VClr3r9ncpyBfRfBY+iTmpmDl/eDa7raHcMumQB3+3m0XkgJ0G50z0L6oHuF35 AWE+IwKRNaWP0NMOqr8el390yXcUg1bcNtUsr+pemM9rxZ+nPwJ6mnRHCifTYCBrNiuMFjasF02N 9BKy2IdaAyaSDLWfspoB3H9TYK6xRkQTOSDf41j+Qn+cT6uztS0Cw5XCM1NqXt2mUSCnKmgpmh8a BiRS0Pwwuffd07un/6Ynn0IQbgNUau2wgogboO/7PA6RuF23pbByGUUV5djaLi6veer0VtaYrNwx YipK8BpJGvjtR0DmLbYgTXhMs0R+UsKy97eeJqd+sg/Lhq02dTqE+9Y+Hb/maVzUjxGE967VErev KyXy3D0ah2QRX6sip558bg0oDrHpbz2BY4HZ2nS0pk9ZE3sqrEiwvNWTw+kTbvNWrjBmAyYFvZ0p eAISOfcLdr1WTKkQ0GAkg+dbPd0PsGfWUo+Tsh9jOX8Y16GzK8c0/l3Jbh8KphxRiiGu/Zon6WMZ X+QmIbsHf+m9s4zbEMD93z39u56ubuqHUg/d9jOs1FjCBD8myUnsB6eqScfRP0Spk84EtwJ66s2L oNatEyuZKi6cfzYWS7+wZdh9ZJZ+FGnLlitUbyhGPhhcQcbcvq+KYy7BHRtKwFwbsWv8ToK/tMS/ fcwSA0Gb0Nwc7oTI2Gu2/sNnfE+OWF08dMv6wtbGBdZgzAa4thUzy0bvy4dP9bEUM3ncjnZBEknG OgeFJRNaZQ/RPKENgJ6kNJ91YWsmtnaDHztEpz8LGDZfBTvG233ItxhZaSxvoZoWDOaSzaX7sVi8 QXP1ciT74QiSammaeDZPLRCSpa/U0x0MBG+3CiXgTiExy3ZUS182jvD30SGDl+hn4s/50fS/GC2w CumnYet7cnTNOT5+LAbsAXpiGKEkM0+PW5VewIcFvjLa7JN8IP4SKLfBRbybyYas4/RTDTiooA1m /M6l5VoHsSkM0BN4E8GhsHAhMygFPsfdhpoNoKcS7+GyZaktD3ts77zEG84uGCguO4VKbVPrpvYD GXBDZUBPT7/m3TidVzwzHCtYxFBaktgk+soJScVR3Ex+a7xwBv/c2Jw++Y3pm7lLvuzunwqXfx0I lXOSVPkNXKWXU4EMjQzPGqVbNxzrKJgdfqKcoDBfmgwv5ifqwzKRerT7RncnK4hLPpNswzrrbJ/J xqXavMa7p//sCVqq3Cd69iPEzqTP9U/EJc8xu6fq5hBs8e0hKMccPoHd1zzl2Qpr6YQRCxD/cFj1 jcv3okrGxzfl3fFDKNISVNB3lvhbT18grGNONZTiHiF9k2kp/K1e8yQedlbfZ2Dhw8g3efAhgbeP bKFNQlG9MW7E/9Dx5CVg/G89ccqijC8H/eZA7AOh6IuXq3vNk4Ggajwdl5QoJUzDMHDjF8hvDybK qlE6I1JKdjrHmL2m+Y6gfeXsuyIkhPq+HiqIF2/1FLDka+UOGd9tgjGk0gup7hs1GkqguhKcO/3z h0LscH30a54k6uQPRQ7DILp3fLIdBohXAPd/9/Tvevrz2L6tZ2fi1RtrHgWusiFWTh5nPNktpFb9 w9aMMqb9CtDTCsc6ZTNuLI6Cbq+onv6POVaLFlyy+n3h5Rjxcb8Or29MQ187wPVgedsOOUyiL1bs 77KnPaW/wrWCsNkpjYeWZLhqjDbKeNY1Xqp42FkVpFP71YVxWMfpkunOlJ55k3fkmM93n5JERRGf AeuIt1O4pl/KSTvc3LaY7YUvaxloY4ICejKn8T38wlWoTwx26yl8e3/sEG94ilUGjvwJsp0WGhPs Tz2mu7JyyFzRJ50Cftv0ysppSrMoyrIuFwSK71psXjX+513U61SmG988tb04xFOhowRyIFe23Cyz aiNCfbpxI5CV7VtagmLMXPmhL0INEQq6AXuAnu48+t0pFFKHlLQuz2Doagjkptjp9HAaHwKPSmN8 oUt4QEXrowksvzlw2vcNFEchCQB6GuiTnWoAJQTvhBRNwXesNwH0FKJYrqngdHmD4pPyhxrcByQm 3eVJL22HFypShFRc5J/3t+Rf4CWc/Lqu1legk15dVCz67fbHkM9+uKt6w7EaVGFCqNl/b1njES57 xlb5XmUO8flIunQCCbfFezCN6GNVA59qiKr2cmTdFS1wAyTfx+vkc8byMlyXGHHrFx8JdezJ6MTR dAEH5peMUnkFRKTba8+dd0/vnv6bnrQaDU3hrad++3Yb1ZCSk1e+1dMfx/PPkklEZK1Do/M4n5Fj PahXgKtLMf0Yx68W9mpQvr/VE5nMIhefnK9jKlimWBit0iK4De0v8EsOxh9aE4cgiYMhr3nSnvAw 4zMkiTnVqlgWLArogy9GCs97MfTQRiimjROWT3urJ4RpNDcPtAsoq70kSL/ycxnA3mueche3YtSq +ZBQdTAg9lPavV9cEVWNpFgI9ufbuofaM9n+1pMPXs3nT2riOgGT+ED+K3PAr3nqtByT+phEZFOY E29jbXJz3D9f0ZWQlOxaJluloHGRKPvu6d/1dMKmo5wf4PtsMpQGJjKJ54pM9fXUygW42YaLmLB4 am4f0FPtKpyB07QrLuS1j0CTn7EquxcNk61Ouwd/5FlheOTlFAoyTf41ieMFEHwn0PiYSiugJ1LD C9/ucGa0/IE2+ybI9n94YkztpPuhK/zpCbIkSObuemnR1K3Eb+S4bmw43gR/Pq4F0JOo7RX3NJ5F elVXJdt6zaAPl5zm5dj8RczoT8IidkuBJnTmbTnqWEfj749WdDNH5w5T/qZoMtwQkRHU1qljjZNG bExXXtl01yEmJxBWoGSQZNq3GI5o0QEMiM8FJJH35dhrMuqeE/sz4j+5hk8CcpMiiflWg3TwPHqd 4MkVlHwstRXlhYK0u9eLdU5j3ISFJUYBPZGhKFUm3QvCkoUZfCZxS9irEbDnlNQWlULXXX2E1vFn iGmSQj8yO/r60ZdFkUeeuZ4EfkgSgzZitxAXwnMB+0yHK5DR4YelbXmOFze5vtQ0ZbJb+K2QY9fH Y+UfelogJuARvDSlBtOotx7pGqFB+Y4Yjr0XgiQzp87mT5fMIkp4xYCeBtcMm3B6yOe1JhJWSFU5 KcNWTlzRiV4cZqJn5gZ1DiAB928/htLM9V9P9HQ3h7OxWecI9makypT/tMsuFEluZMM01VOExkFA 7dh5bE5bRhlDjfru6W2eviVVSK5gO3eAQSxdFrK5HLzVEwKSPIFYrc69DVxroxkQ77HUgYDpSul8 orXkMWfKdXPIWz2Zc10DDSwRtAHnKzpR7W7r4Dc7fBIJZzlptC9rJe2DXn7Nk5KyoDfiFoaiwqkP I+thbdQlIr9k5Bps1I2gZsjObZnSWz0V8wlKf7MMclzTp/ZWUe2QA+y95kmJtDTfzQ3LHmQcz9fB TTlN2bwprerCoiDUGzt+wXpr4G89od8TvQRAgZEqdUz94RUkgHvNEwL6LY130a4GV45uexa/Qrln rTb4k8RBKuVAskXgFdbDu6d/19NLlxQa6UJ0X64Qo08cNl4HpYeuz0i2eZX9VIQLJpVQOaCnwcwZ kCXVwTXedN8Kzf30fSfYzay+mCATC+KomboTLCrtlHVToBeIANKWjsL5fGsxQE/cV73bfORQAkTg ecGGaZESgJ7qrom/l5ODNBNBQlujDpHkgIIOeoUJfVkqYpE1b0M16AX0FDOJQHXGWs+Tf6WBwUr9 q815lC+S6yJ9IJ4RFYHtopOwx0rafJd0wheNGjIlLaqCZWsbgiEaSS+RsuzDYPMgIWVyg8Bn/g3u pxnWWJoyc4nVumFu55JrkZe5ST7R7m5auovAUYOfjNE/N44Sm1KXKH/YNlRZKbLZYuVEZuqUW3T6 lZ036zL8SD7wTuVY5SNaYgT0JClG638D5eg4xSorfeREbtx0YCy/WZE1bCjC+MPb+9MY1OKmXzdR RMlshakmPQw5ZLxwUObxZGpYiJHn2Xo9yFfSR+vjEdPuZTcK/IzTfd4rsSnMsHzr0LbuFKGPpxrp A0gfpUh7HCgyqXjbh0QDmeiPJf6gslst+rJaHuWXDR3BAHoa0qALYe9m/giTG9nmIMNrvmj7xC6w +UievhkwKRElTw24/7qG0hcxWSFipFuxbrkmLjMHcMJA8tpurUAYYSzuk32YjEzpDOC24y/nM30J j34wKe+e3uapSZJD42u/p5mTheoBT0j68Fs9xTk/hZx7enpwDixtyDeGDX8rO4GxfSDGiYRSn4dT Wuh6q6eoqVZIrqfJKIaPI+EDCB1T8AY5xnGuJ9i7yutSCdWdG695OtTP2AfyGHYgqBX52LxhQFA/ /rL1kErAXh/8oUm0wfzLWz0BqR7mit/2+GzHCcxjij0gAfZe8xTu1TNrH5jxHMHRqUX6R3b/Sx0O eU6czlmrbh0EGE3bP+6Xeqsn32QRe5672qygKwWBrZSipNc8yf8Qpms0ykKouOCgae/rSTvIBX4A s2fLaGsxrsoYweF69/Tu6f8mTwN803zox1bRoNhCcrZeisMBJVdKLPPpu0WGtqpqJxAsgJ6o+pfP +tamtZGiapf/iEud31W1g7ZQQVxfZVk5IC41hrJQUSZyLwcIHM7mN4iIGxh1VWh4y8UtN595YMOU FjYUu+b/YegzcPTM/D4wp6aeac9HdIRcBysZEoCVprETUEhoDV9xVPbYjdluSImhJG7TaVpLwEXZ Y/BsLF7wCUiMKBPQE5drkVv/4p+m5OkL88JyaXh1LVb46kf0ZmdMcKfMsm5sH1Zq1MTcbRXW5mBQ oxs+H3m0mSH9xz9+jLQT9TdUCCPeMme1P4DBFSniZveYTd1MbXjdEcv1U8I7pMQzDuakojpYChn5 iNu7ZEU45zrom6oAe4CeyAPk5TDDxHofTdYk+ad81juWnrgkdEg74uQxnfGQKhJVCnFy242BqqEe 0NCwTzH+cV50cGQYfXm9MqfWhzFmevGvvwE9XcNLE/nz/SlXzsbCn2mws/UeG/xVvvw8nvwwKRuJ zD4K6MnfUIT6tyd23znNALESidlhTvd2O5qXNX7h8gqPrBJVF2kOKmFb/c3RCya+WmOmN/TPxyaJ We4uaqecOssAftIih2e4UAGb27IAXCGE4Mu43lkaMILwmZuLEkbImoPuSIfgCezmjcucgROeAty6 /VGOd0/vnv6bno5bEbsxSzKk2YwwJamqv4++1ZNXibeOA0nrqB2zCM3j6cd6ZNTrNv48768kwTwI X8x6e97qKW3LDnrGzTMYM811XyVhWp4DRx9q6xS7fR943vc6MoP3NU//89noh2HoB5h2h8rkQomu F8mBuSHshAflYbixPhpLyL3V05RmI7kEEy2DS10R6Sywvhtg7zVPY/5KMc9GcBN4yOiqn5vYFcax iJ+WfV8QRxywb3VdY/Xf6qkvo4rPNED9tlN4JlpdV46Iw7owvzLGLwpFJvw0+eTP1mueXFK2F4tR jZ80p8+oHas7niU6Y4bGQf2yj4ewQNUwGwjePf27nh5yntGrrwKOFn53nyQnOeLuuKxiBVTOKqOl mgNjFATiA3pCTGN32i18UMKID8MzTB4RFh/s3P7UXWlvK9zUMBdQOLRv18Oja/Usg8zcPQUN7eED 6MndCfsROclkeUxijXCVgMId0JPxwEe+j6dtPUsPBaFq4+XMvZ+qSR++fMkW3WnGS58v/Mf7BVOw XdKQyrE+k9e+xzNgY0tL4Je3lhnkpmGD+Ykb19vUE7ebipGGUruIlbJKhJU1Cj0htb8Q2bRBYOey HLEK+kE2BoXt7xZv7asLPtbnezFtumutErGl5QbJ/CSC/IB3oe5mrZVKD8boB9FtJIyjkdfL1xvf 3ZxjnIWUi9+/o+ZsO0W5BY02Yh+X9qcHbJhu7QnQk1LhdmKOM6aUYxn7aBfWQnnbRZc5V+nLc7kc pL7AKIh8/kOh1pmP2K4ctVHWz7boewoHLZnoEz6EGjISYN4jwr0bwtpjzwG1zBrJLr0WzAD5eGah oeOs717eEWw2wd910D9K7GbWN00J2IAlqVID+0ZM8KhjFNacjl18vWPJ4qeHBPRE+R2Bls/OL+vX ZzQMR79Pt13ACo7rN9U5dszmqvCVoimA+4vI8QqDyaAd04xlaACN0xRh+jaUOOENQrVxO2uUt64v DsEjRQWbElCINtU5XyWGG717evf03/T0TLdHC623pczW6njdTvUt27JPssmqafDsUFR8g9Gca/g1 T5D5bh67XnIqtDFSrbBua/Cp5Q/+FyN/zOecXpqYAkqd/9YT01QmfFWs1k6vsTeKckFJ6GueSt1j onV1rUdO8uXnPWzIVqxdCGPr7R/7K1yUtSxExYL/1hOwCy9YXFEQ+WC628/AJqPtt3q6UaQyDHNe 98o28xdmABVcM/M2hbbHFMj86vAt+RusF8hbPS1eirE6hGG63Za1aY7VXIT7X4i4WRwpFiZpH5dX ZuFRvOYJweLJDwPVDxSZFzooYCV9CHD/d0//rieZGK8GigzFdlLIyMHiXnGda+GYTFcucDpGv9pG IlPr7n98f7poD7PjgBwqtsKWo79j9J/UCiGWdCaFgR3A6oFquy73rAtoVOSvGtNIB4PJiEWNO9VD YMbJiD7J5nf+Kg7enVfD0Rn0CQb7KKmmR73KyKMqjDqHbWWYuQ1q+IePpIIgu/spbM36tcBnJYoj o1+Q1ixo9eiikypyRWLHoo1ViNBwgJ5oB6mtswtwezECL+nmB4j2XPkPeo1G4O0xzKEaHX+2C294 K/Now9oQGGyEU4rsAtNYff5MEZrxA6oCjNSpu4QkwfbobPAL0adA/KP5fTgiEGcsQ0qJ/kZNxt8c 4GwIeZ2WHGR7uBbczlVCI2WLFUoB4IA9QE/tGtDiUmFomWc9aFFitNliQ0wDLfpDQqJ5wUPosAzJ vTnZ8IO/mXp8RHLinJj6cR8APSlkPZd99GUErs9kdTQd5wgC9OQtItQQLwTTWjeOUnvw8sir8bMZ z34XD3+UpQ044aWEANCT4cSXXZq6RbTxBYRM8NlgCitQNti5BeF+fuHwnNj2LrYtHIuN2cmJAlYh EcXpgmjJCupoPezJgTOTVTGICDVI4rP14B2yXO45hb3oJmsxJltYILydP6yEnhQxGd6jk4WKdOb4 zlZavcC06Jxk0BQj4u+e3j39Nz0ZHV4fK5eN4wqyJ89w/mlweKunqvVTmMOWvAPSfKnHD4cQYcZf M1XD559mh6J1vv6B0014qyeG5MDFYSQl6lQ/fMUJe69Gr5qSVUmTiMb7cXDGT0TrWq95ghvJtRWW pEAT/WGXpjDwkXIVUkNwxbUsr5O1XUdYuR/krZ6wqPgI+3eE0plPcJ9Z+qeQAHuvedLwYoyokrRw d4x034BG0MscpeKYYLpPSqT/sm6Owy3T9reepr8ZijKthv/gogUX4rO5P33NE80gJOVJhY9wB6qu YsSzpd6fgheVZHSeae4sihhg/e+F757+XU9CgTzrTBolBs6gMbPH/D1atcobxHlrtTpctAwP5k5f fwJ6mqzJVYYPr2oNqVwT2lCMuEh8SOuBRQXS216IthEMGxz8RVAR1AIvZDGhGl24eyn+BOiJ6TRz H1jwO8ugwOZQ981vHkBP4jeK/MmGjhSBq028cE9W/4NFi1tdFS6HC0H4M04J9T/+HzxgX7vr0mSi drjrqGaPjuJGYOMYt55fgtkgAGwERSqzR/OZq042HcUVRTAaqaRh8wOdMH+m/4sqYwREgmhcO8HR ICEon1VRAigzhTINgqyMs2HEnyKYkWfOWjsyF62nX0IOeObVVyfFdoOCTc/MQBL0+Mws+QlCQhb2 DnJaF8X+H8B+CLzUj/FEA7fjZu0MTToCeupGCciPeC7nMO3NZoECHlAfVTbcy97r7NWk5MFzxLK+ jSmd9dSTHrxBWR69/TBGlxoytx5+gVDQn5opoPidKikuCD3J8Guq3HUMx5d52HDmUMKIkA+/9QIz ZOHMnnJSIMiWLJAwvNfPqZlObBZvSqls6gVcCIkt6tDBeycn9nsQ4/7hiZ7afb77nHehumEhUw9c ObxXz6TUX020C3hac21smwZw/6RF4Fq1n7m2xOs7KGGwIJV9kmTnY2qFrZvX6/VHEYGUF7os/Bx+ 3/L8apX5sW/xKt49vc3Tz+QjmJ90IvVRGSn6Ud5cJm/1VNmQFxvge90Zj49jWownqmLkRygn4EcL LCgRoK8661P9Vk8FDFUYjyjKLCSPJMJxAU57dLRozAGZwOhC/LWu1Xx8q695YvdvD2sFTy8PWvho oI/aFBOUH9FbeGMAjs3xCURlOEzrrZ70KCFpF+HbS3NQpZURJi4LAXuveZK4I1hW2nS6Qah+BBnN cHfzwR6EvK90e/okIcg0qbJe/LeeUAchmiGF6uaSNXSqC6FCYV7zhIjFQzky7JxPiKwNvm5g9oht 4VElgzQ0393s/43UfDLw3dO/6wlDfRJCiiFyGsV+z9+7CymKzsyBaLefNe13J5NElDF7HqAnvJQF MtloOi5aCNmOzdWHMmqINl/Xl8H5iRrixw+sUtYuK1xPhLLpqB/owmyyvR4WAD0tmUNgGHBzRbp/ B7s4/mmLDOjp3geH9QU1OVSJGNyoFRIWiBX0yI8usvLpUl0POKYlXQLQkwHiR+PYcHEOy+vw1cXR dSbkZ/QtkxfCb2pwRBDb13eiZZjeQWoo3763HopRsLX1669rSjBfm/iKMAKDotKDh1/KLjBcghsU E+hvPUHGkIAwBZmo/VRPHMHjyDBl4XLO/ErmccP27DNi+Sk0VeYEhSVkuo6KXUwJLoUYe4ojPcq8 2uYCwz8D7M+3huMNinMQu3+8r3LccVY109DRqZE+bW1d+PHeEH6jyi4I7CRbovLAQln8pIM5SFsJ lwzDQpptsmX5tNDAH8kHKzFiTJk2liSvoDfeTmLgG6nxnF//lKWyZWpRXG6CGaWZK7zAo+6dsnko IwqKy+dZxRBPXZOgqJUi5XJpfcLd+TbewQ+mqO6SdsQyAoCe1uxVlcrSkDyQz3vYkhIJwXH61+R4 wzOHU2Fypxu2pMAB93fXrMWGe3BXYLVh3oQ/ZPyFoEeIVujFOzyLkxJP/4mryNvEKb/HseDIxTeS Vsto5fbd09s8lXwvUwgyKS3SXqkGx9gGATsMAQZjN8xxCSfPHVCui5QC9CQ8np/xvLvOrRZ9mipp Dez9uS2zcUZWoXK0YuAScmFd6yQds//KJqp8c74d1FU9Tf/B5LKi3DiTmuhP72PJPDJO/LIwWf1m wywkm1r4nwfrKvjG0Y6VfL7Kum+olSQmrSX66lejnNCVtgNTybU6ZvLEUJ9/4BYba31qXsNuaQPJ m/3H73eyBQ9klfkPqCVPMY8/oZDVLjiVVL6fWBEpCznRsSobrSD0qB1GSpI3TEPmGSRgFkFOFauL m3BBEFzEq/0RPEp+vOWwbpm7u5+dNmFcOEeAEhZRqWz9Xik8rSR5WLxEizP2PMh4hHgv2PK8XJ4n ProJ2AP0xKhiy3epYfOR+7ceDb8g2l6WD8kW0AB2y8lC13naoHDljxA8twCRQ5+dT+L8w9a+/oCe +Cv9KL95sj7tY7kAmftzqQB6uq3lCLI17dP5YCMsT8tXwfStVd69NiBx+CCgQrnyYegfzwvOeCs4 i3CNWLojaS1V41hgV8UdTj8uXwVRIx+hJC4J7pHpXzzF6gCnr55SRbCN6UiuI4/C7FZAd+fYc+Jb Zol8oIHsZoLRtGv1bKzpKAWd4yug1EPQy0/vEasS4JMfTuSggIUuuOcEaaO6ZBqQue2cfPf0nz0F tM/zkct9XABr16HQSPKAMYDccSjXSDnCdDQ/gNe/nHzNk12CkuVI3NWSDCpmr41JvAR2GVQr8anQ mfY06X1llPA/7g9+q6fYnzE50Rns0wxuvxOUgmNKX/MEWspk0LB1Hz29++mOM7jJKYEoRxIvM0Sz eIs7izgZV/VvPRkcN4YjNB4tOJekY1FDl2y85mlbLLTP0Iey+iQrVS/RgcCy2kx3WJWXWAJN7aoq 7nymR/38g1UUVBN7UY+7EEQ2v95bPWXCl/v9irsDyvq6DM2SGq88R2svKRyhOfzZ9zmu1VTT4TVP P4e5VIqb4kEWmDrdyVvi/gDu/+7p3/U0vY2JYtU2i4p9RKmn/n164mWaoX97jXXG7DMblM7nJj5A T9BtvxjPLy/v9H/YDi9IXrosbBZCQKqWZzJrXne4ukNUF8EQFuFewRCMjKn2I658h/6MWdalnPRs /5iR8SxnlXLodWVoc4CJG76iZOSyISj4gQdiUcPuljiun/m77uy+h8/mt/Os2IsHmzvNoH7g40DN QLyNG3OnKBAh0hE5VuZtTkBPOWXLjHnwdFm/23nqGY/hBocoKpZagS3AELqPT5mcmmBj2UO5hDqL PMjT8phHss7yX7qKaqNTFqV2lGVIftOsYw314//psEQxHj0MShXZUTprYHmoEXO1vfiCk9oczx7N kprUolrLaSbYKBR068aWRg3YA/Q0Tu+vEQgDD5J+HvgsW5NeLXWHeHlNkbCqd+5grZkVxydXdfT9 iwX1wFftwC1BFy03QE/YTqw49vKRQTu4M5+5S6/FAD3lHylrBKdjnAIZ57KNa63Fmj09moDt8d07 0+op492e0QB6qv36B83vQzLsaG1k5TlH/nb7RcUF/Yb90M7z2IgShjdzMkHRdzOXOqN9Xzh90for EnabiwEmoi8/P7XXSfFPcUGuartCoCROUrmUB02vNz15lqaK/kpLwHlSdbCIG1Hyc8gTxyUIg5j7 fie/WHXuNtP47und03/Tk0d1AVyHaiWdcBrsFnQA+t1bPV2dnsT3TO96jLGtgmkQbWVPgbYdFi40 M8XQj+xOzrTrv9UT10mBbx11KhknGOxRglt2de6kcjNl5mW6uUYj/60T7T/O6wH0dEnIgREbfczB svR5WiTGEqnO3XX+jyEEpl5ilsXdxp3CWz0Vgnw3Y/4OYaZ9x7S4SxTDAth7zdPBUi0nc1n1Csjl p+Xzha+3cxdSJR+3e8PtgpFT57jam/7W082gnMaDPsP2PG7Fghx20z/u5wT0xECNDdKF33jtSECc 6cEWkOXoG8on9pBY48z9IYbhc0vWu6d/1xPB8s0I13FZd8y8TeypSxM2k5Al8HGn/bdTPlcpUXXl W0BP95NFUwz8DWrJ2YiLQsHTmXIbH83MekAiv4fwTErfBpQ1evS3SQOx2kaE0I6d4439w5PDRl5E I2t7VjNDfEoa8+eKf9x/Z/Wk7SYDdP4ctQ1kSim+T2yllRjEOtNafHUXbmXmXg7oaRaV6x52bDUN vkg5oQkxfSAbtr6gHwh/gAsOtDSdEU5W6cOdAO7g2m2FtmH0xY9xtXavj19xbhIZDh43dVZMgZt9 yS0o6+biENipPSilF8oSuxkFa7lHlI6zHjVUGlAfqY6IRymlU7/2NwT682pSgmraCNzkc1jlwnLK cifAU+Zh9JTRXnjur+r6fiePtVf/KALoqdR2Qz4Z/AbKNyiRXX+ur1WrH4VuRcow8SvztBbRnsBc MPpnBB6BFegyX2JzcYoT8CDCVDjJWMQE+Ws1FndxzTRabIuZfdc0doGG3pRiV8sahv1VSLB99C7O w/p9xiJfWwTxeFvoxx7eWbKpsDTBvWoDb9DQdK9vMS0VqNHVdGVfAD25YykvDpsql+/y9R7f9i+U W7b7npU3ClL76aaQVennKQLunwS7XF9B6d0pFr5m5x1jAfb7A6gj9jaQiK4Bc3DIOB60hMJv0z3g 56ZkL+m2OXK6jndP757+m54MMrR22pDyb24FC6Co+SnOq7FiL27u3UhsqjIh9W5xwl/z9EhxO8PO pI9DLtnE+3l4X+J287TQ4VRmT5+ZEk5L2R3rbz0tuH2CinwisMN+frK1aWNse83TEC5nZ9Z8g/l9 hj0mlscorHu+jBYJiDvYd/nbRD4XB7i/9RQRsBlCspp22afsKumqnOv6Vk+Cw8BNYYklJb/cM8K7 eLWkFSuXoNwSv4XSj7TLJsF6FbzVU18zmAVr/2NKG5qHy4pCx40rBnEB5X1eJjMEBc9nnNh/nBcN 6MmkmRHcwteaxOR37RXZfIEe4P7vnt49/W966g9wSerehDh0glOqK511m5chGGiQ29/bKUlsjly9 prUE9OT26LBvN9BSKwwkunV/MeML9uRoOFJef5Bpr6ETQtohJWN9juvuxHGmGTEzFUBxzg7oySt8 hkNnQYerqqyjD2/B1hjQ037cLUgS9heiD77EYmC/sVG4eLR+3vkurYX0rE2oZGoEAHoiNLCo2RzB uU+fpIXtM6pxKS4wsQXd8U/yhfXpPyqIi4cNvJs64HRUMgjZ2+spEeXb/QhzP1Oa6vV5vHGGiGS+ QrLETYloDYsgO3Bg4hTbttfP5oknbRDS8sqqoSg2YhZFnafWujeT7ySo79KoD4gH6dutYenXngSX ZP01PPPLl4mMOBgOwjBtdQSd3kxrD/oEQE+IbAWo44t3ViAFFja9ciG65816ZxfzSajRmDhS/g1W 5DkxNMd4dLH3jrCT3CNpCemoV/jeVWT4g5hCUoZMEspBhXUOg6XPhfSmKgrazS2NaoPJ8YUOiEG1 QoiD45BP1ZYDyqXLLe7d34ruUII3fgmnherkgQDtoY8Q2qHUKqxqHwB6ehipmuvRtSSVeZA5yWsW HPdvINqNpLXr7d2yE+BvK18A3P+05QQrjrZnNSqqjsLBY1mZsDnA2TTQv+rXft6h5KnCnPtlBYSo W8om9j1pNYaatOu7p3dP/01PnCTQMrdW+Jmfuh3xESzTvVrYN7kD+buJtiSNCkUF3dpf8+TllBMz V/aog8DYcdDclqGUH4OI7D/lXYuyYxxaQcO49bee4EuHumMWkQquuEAEndMg8l/zJDP1NYWhm3AD weFxw4sVEtr8BkULAuXHJv6lOKdyGuzY33pyO3PpI7GIDF9P0aVtH3eEeaunhexS9+/V+nOZwhFi jRCqSzcv44wpFVmkTeV4iRxjXfJv9cRuq7dOWVlXALP+UWYpLbGfiyBUxKMGvRIYBOjUkIIE/jVP Aj7Qw+DhWAIzrjod+eQv24D7v3v6dz3xxhnirvkQ/TBUvPTbMybwvhQ8vljDhWtwOoe1sMY3TAT0 RAzt3tZSecEovF1d9YmAk9h7dUUKxGTLESoR+N6PT7mwgck1ur2RVeQ6xOgbZMDKXsJ9+C8hvjrT HTaGjiZrXuPgzwjYrHss0EjlEJyf8/HpZkvQu2XmAhxCY6fLzUjamx85Agg/qyC5mnliDmXvnk6h /9iP+VM7LLXCG/TpbB0IB9BTzHnct/qkMuVeqswy1r7i1F+1KjN3hD+ZboqQp4I8WCu+nSNAc04d x5fkAs2hLkScUInh4EPdBFDnz0xX+fxo5hAIVVgQMKuI7/6xe6rjTwTuryimWkDOJb0+UivaZW3E G+Bs32vMG2DWi6LKwpkBDgHYA/Q0QBXgFlsZ51Vg6dSpXg01TOVoXN1n3vctxkw1WX4H5YDGqriR n3GLUYcmp9YgGPEzoKc4zOWpmfsvFM5nk4ORbRjTgJ529z6YXoBu72zqhWixp2NsF+zM1QcdqZpk 7TIbFCM2/OO+MtO6MBqtjF/F7bUOfl3dCEP1WpGR/M8PFnd17kJjBS4IlowS9yKCBMcyMln+6DeK 80Mgg/LBttDpc1ZYhJMhc+jl4bPTJ1r5up8fEEsb+tPj7k8ERJaZjIn7OlwIqvF2Pma7f16xuvE1 cKdD99H/IQz27uk/e7K92RgJfAGvucW+j1fDtd87NeAGS8ywVJ5KWgjD/PKg+ZqndA0dsObTIken JqfMbGuxmhZ6orCl3AX0LL9d9LX+HYS3ekobz97k5AzlyBfQ/GybG8i/vxAz6ha/EzdA6256uFVn /JqnqRlDxSeLFulW7TEe9GnIFKdycGFLHHqW4yzFlmtnVrG/9SSZivi0HjxgnX5iWAlGxqD5Vk9c 8iofhXaykpWzV/NdlVvTvk91mdawZfnu36gb0IhvXL/Vk7CfnvbRbAKz2eGPAE9c+bpnNAVS6g5w PbXgYBB42gSm1zz9gPGxqP4pY7iCA/3IfkQdAbj/u6d/1xP1GJtFcnCbmwxdabm6N8q9RqlzfIi5 Gx4P7aZkKiY3L6CnuYG5xu88A8UkkKXxyFY7MZDVBcKOVSBggc1U9LZKhTJfqSqs8ICJMwesuD8G SvJqZK40TqJoTApyFKduZ1yC7C+Y1dML4mRBJYh4HOoNJYzjmp89PYQZ88ZBMd+daxptsADvzlYZ 9Yfdxikn4n1bFACFczKvh8em3Hq0DrM0p5ED9DS/86nWN2oqRWP8TF7IcVrLAE6ZP3JCXJLeV0IZ FQVm5wD0BXaislXQF2FksXLNvY/tyYeCTZUxPHh+RbX8iY99BXfilmkul0FhKjU1HiNGDlIozUh1 s+d5pWu/BEFhJdket2ZUsjIu4Guf3oXM78GvgD1AT3+GZXlEEVraRI1v+Rwz8yIF1bhOCxO3bJJ7 5CxoQ35OXILiCwqDN5/rlY4cLbYOLQJ6+hYS0Exp28Wd0hZzcMHqWwToyXzuGqxSdwG711HXwsct zFKnLCpXXMcadOJyDB7Y4fE3oCckKbNSDydB1YVRok7UUhMs7oPJljMyPmH8ky1KSKmoLJ38rMKz z11eXxDRddWarJeLb1n9ERUfkGS/UrXMC7I8ozb+mMvyXpc72ojLzTPUwy/Yrr+696bP3g+zl8fh fWKXSnfJA6Jz2uIMGRBFrptvfPf0nz2lfmPP1lT/lSBP0mfUwCixpMcjSmHf3cRJaLD0hzbwBeg1 TyhgS9god/SJD5wDlMUf2+Ibxb7VKXaTuKNxawnlHeYN/a2n+vNsDv1mK4vZLN3Lk2ow8tc8/Wmh GlQszXpMwkTKATbX2L+MbkySd872wQaO16fSigD/W08E8zX7v8XIKEPjwNXFq+KK3+qpNJu3EOyz 3U1m2pNBqaZeL4ZR5jMqRQSddbNqt2OwUMxbPSHvyJMc6Y3dojm+RCUWnvnhHhTy5R/IoQ6csOuF jkuyv+ZJTFITv6A+d4eD7Fv3iWrdE+D+757+XU/znVn5zzG++TFpe17zinSTfvdREVp6Up6yXS5H xRwtnoCeNnyiu8HbIkKUpBAuaBZTv3450lWK/5MMjXkNohH4aVjFf3DOH+pOFU8BGhefu7b7MjBs B5Fs4aHIznFNQCvmBUSKWIF6ZHkSdt71JuHQmE1HcezTycVyYGKrb5PfMdbKljtM5h4rQ7GAmmwe xOKBkC55V3h8hWpJBG35OqicYFcsoCexbPAkrMyBiGgj/kSVluU7+VrLT9dcBNr4EAyN40llXg/n tzizlmyDL7i7cJWgiotKHcMVW5Jnjm6fPXUj0thyeiNpYivbW+IunX/PdDA/CB0KL8oQh18WlIx1 8aOgqf0yt4byYbhuRr+piQClB88CAewBetpoaoRGMoL3yz9BvVSLKjnrma/+ro+KEsKA+Dtrd99V SNyp23FuwUvedDs1ocvbahPQk4uV4RV0Ac4YAu20bDPzLxRAT+Ny0P7L2G3FDAP46pAqoC/w3DLB lYNm1xyxgmMVLTlxgJ7soYnH2jT552zUaq5O+Mf1SsUcnx4UZku+sNUZg8aYH9mOBrcwzwuRuTUa Ud1GYkOzUcCFuHUqsFtg5Jh0t0C0rAyeDhuUylcozArG3Sjhsiesz+ZJ4twlkZlsH5c6qxwIeeDl nkjjcuvcwUw01HcmvXv6z55AkW41sLhnHdG0Op+bDwbvpUAHP6wNh9YRtXMyo0tmLL3mSbrPR4pX 5lwKGiOu0vIDW/f3j7rFNHi7i1IBU3+miSE5/9bT7Tpll1byuE2IGIiUDiqb92uekvwtnabNwbPZ ucAjo8Bx+LC6ommZTk0zDGVLV7D9u8D/1tP+Ghu1uULeA9qRd0c3bcb5Wz1RMeIfVp6UcDMJTkx0 bPUWcGCggRjm9HuCVn+5DSivIH+rp5O17lRuS7qOIbzJCewdpcUhBa3Jts1T+Rqjzhs3mf5/fH8C 9HSLvLbWVkZbNGjtRGgkssILuP+7p3/XE96MI9phxczpl6Aumi3UUc+fpUzsg/wLxEVzk1euIS7F gJ7wFxfNMpj7NRarKEYYQS1/bGuZAHGMslcRn6H9LKSzhf24Zjyqi/bdoGcB7lkhVvmDdcnjFSdz lrmaAyZmCoNjeTp3nrrMkR+Yxt0GMSFew8GvggfdHBUq0Q3+m32/4rjAl4SEYNzf25TudYv2aVFH pfxpkD2d4Umz1Vj7xleIVb8BPbHZkUK7E9z1Qm19Wa+9xaRTVdX0De7ak/C3/ePmsWdO8h1eCCZL uU7LtaneCZF7i5K5wQ+RQa7dVdH2snw/ZvcXUGAK7hrr4DoCFcjgjHu+7/pVP5wfX8eK2NdoIPUU M/y07w9xXgs/UdFBfAfaK3K6AHuAnnj3cgjo8L1DlCjVj+d013A/tG1WkMKWNhcot+I831Z1bNf7 5SNxPKUxdPnUYonJnAF6uprZrhQCci/Kly5qIwqT3wT0dJPm+xQ9IFbmfybCYUT8k9WwzYff1hOM VMhZEyVtpfofzwsmVuB8eyk95sIcTn7XIGZ/QeBgW1c+IaTqkW4f/a31IvDsak0rF1xEkcySXDv2 ScOWkt546ae450Ar/961ymfehzrkUIsl4PuT1Y9fMjOwX3i1v86f+y0XUWO3WZXaH8Rg35fMbrLG 0zc1x092WZYptL17+s+eOHuNGqmQ2aRIszlk9X8SO0OouDsW7PiFiJnt1Cqc9bO/5snf28Ts+doF x9NCiPmXrNwn+htTMC6kQBy+HhRuyyuw/r/1VOmJUZ7ya9kAMy/hOysPsuBrniTa2uhABw6mEz6x X/fPYGM6kMW7eKz3DepjRU+WUK3Q/a2n6u7yGRPekvQbqT+HPsznbm/1hMSQm9wzRYdf6s1ikgPu /XKwBVpAiLshI9MpSEungav8Vk+xRmYZLPuRH8KFfXuDlpl918ZNqqB/0nSvEXHzeJnfPr3m6Ztn IlM5kOgSLqMKpnHyUwfg/u+e/l1PYeBeCGgG+Vyxpv0/rLZ2g5iOwutNd1k9UnddBlxIptgAPcku G8tV2l52Fx4fOd/6gJA3uJn6i3vwOffFZPSjDkq4oy4jMYSJsw5R0k5fHQRt2xLnriHuAiFQDjfP NleUUPUyEPnJZ0AJafjtllWXl9Wx2XKZ27cnBSt3da55FW27UNB0uxAZZ4eqE63EDEwHguKwM5oz ry1CbW9QXxHZ99UxA3oKMdiQvLhPEZbC3vniAaNJOZ8ei3cKesAv6x7B76eHnI730rvb+LUnGEe+ SPC075OCGcqTEVOdPK1MpO6EERkU8s0DLPFL3plpCv+cJOSVP2iZHGcE2GeOyBZpurnGe5VgXkWr pANMN3cmDPzNVGzOWcAeoKcLz/lFLPXevolUpSvMET8mCPBLHpX0S7uWIDHd24Z+gVQSqXRsMKsi 2pBmumk7ZwVATzWq5HU67lXVX4vs5SGWsEEAPTENP1dtZ0QmHsGZ0GmNDt87Lus0bx1FnoXls/jB EHwnAfS0l166HllBAIqWB8XQziYJYhD8jZnFHesIKw/9U5udEvmtHFt52Dk3ShjjmkkUsX1Co2gX zHdiwry1zqSk1qZKoStOlwKxH4tN+3GVPVKpX+nUf2Wro/1a/Cx/sZk7UDVq4ehEPpopuddXhqWb dE7mXPLu6T97goNTW+vW2nmSnYF7obexTxaApp0cMxv7syEtv4rP3lvymqeR+pU/EGk9o5iMPMFo 9bse/h/jCbh9UX5+7M2C9JHXTnqrpx5oX/VV74vFSgaPdeS0EbbrzDNST0bPG/ybwzwKJX3o1zwN Rg+HRkwaIenkO6uiIVHejt/CV3uZx5qZg2zA0nGdIvytpxUqvQDWLRjhqes6fWOuxMK3ejKp9fKZ L2yPDCYpo8I7VDybYs0qTTUn8LIwY8Arr3pJe6un6fioPlRjXbi+e6xkzz9F92I0gRgye/Q//Uev F9rqhbhf83RQJIiehDJGlYghRgrvDtEOuP+7p3/X06/d4dsjlN1uDCpe3FUlKHcY2sOyZf3kTCAL eLPxkUwrQE+Hz+5fuoa/NNvuSGf4B2vtRNOgdUtVUqkPTOsnONo+gYGDuw36c2zNI4seP+bLk6c6 z2jAfHWpIBR3YRa8Dnw223ZQhyKaal4XMwhhw2YB79oMYdDXKrlsD5cnsbFMsECmvy2AD712/+Hr vRWwMRZq03Kh6xqDII1sSU0TYIA/pA/oSVrw8BcoA+yhvt44/NjJiu7mjEfcRWrFOamTg1XAYYBn DA0NK7xnTdmfdbwTfyD76oZ6uZ5HgirTGHFpopriDrzkTFUTAbG7Zz2DzqqPXAQ9nyLdXWu14Dgm BQcwynqzjiMpWs+d0sk4ZGzAyyk3fDABe4CerEuOxHO5kZrWfnVJrSVUCiKxLNBdPGpiLrCc1V43 /hwAb20Zd+Nx7cFsU3gSRDXwBvS0oAFyEUjquY/QeVgESfpE+o/zVajj9BuKhERrg9GDMCNJlBjm rLmWhBI9PSCgPmnrqVEAeuq2jLJFtYly36IWwfyyXcnMb7+XR67P78yrrJwta7dB7231lWJQy1SC cYZzwAQSihKuMi5sIrw1XcWzpBmoTwxpryy29uSrS063adJEEvYNsTqQj0r8bOtsQSfXjJNngzrI NDDwJFnrziARkYk+XJLEu6f/7AkrPwEeUxsp7p6QKCjffnLbpdrSILnGyz2+tEhhVDHK9jVP4oWJ tOjqLUK/wVEueNe68LbHSDNOI9p+PvAt/dSNsV37W0/KeJKrVOfARlshPfF+v5X/cZ49oKcenIaa Ty9cp0yDOIIlyRM3/EYye4aaw82JhX3oJn5qLH/rKVGWyctnqLJtn3J7ZLWh+eKtnhJtIErFzsbn +GzAKRS9JbsXRB36dVg7OZYy7enZpOdY3uqJuE5RU3VC7BNqM79tq9FJnHAwaiuoxV2pMY+LT38f wT/uowb0JMR1W4qm5uA2QvDIhnju2gi4/7und0//m56iO1eOGLeTNyPLr8av8eS499B19QYoBH8b X16LoikVBgJ6ahH8JBhGY+QVY1Rpyg1M2dmpEzO3S47EGnUuKkcTUfvl/gvfLw1SZRVi1l+KQym6 1ICeRCdXyyXKgq/qV85hxN3uCgE9hQc7OMAlJjsSaOAO1MUa/x/27rG5z+8NG32sxrYa22hs27bZ 2GwaNWhsszGbpLGdNGps28bej7+d+WcyvX9z79mTN3A8OT4P1qzrWucZ/Hu0u6351OsTobcrsWQT Qw+gpxb8ND+rBUTP4rqh1k9e23DoBiEKX9rKveoJP9TTJ2tWOGWFijgiZ8anVp+zsLB9FqvJFyoK h0iYaSKmZKpwu0NymNTy0NpyaYiI8XR/4sz3QpV7uEYieZELYWi5kcxQ7s+GR2BtdHwcIAysWd13 GszpzLbLFgUlwFhqhjWMxz0Wr4AY9XAD1/RI+M0GC36TSgfoqZdsCo/dNyZhpKpdMX6mAKkcoYUB hrFlfYq7kQM1+eib9MupRI1VN9qBZql7b1DEUN4yjFldr19bKd+x7oXLb3iekTad8UDuA72D1IUr ck35dNwLc4QSK/TpS9YYcu+f1GYgyLeeOSgwkZMZswirT382gWSqh3m88r0/0QSsBA0mAXr66NeR NFjzrbbNzK5LCvQ4IoYoi2pPz2qT7orCz0i1hwqwfx38DPjReE6+U66R1ot9jNFDbX1wjeMGFetd S9FqiGGnXG0ua5AYRguvKzDHVEWl03dP757+T3qaoxVTwlk/8lLIDjE5B0FkVPAnt53s7yfLW65c bI7zMX3NE4M/ol80cJ0AilXYg+2PKIpzJePxpoYmvL7ZLYUWHtmjf/UkESZrNg3SFsZ/qIadJuCO +ZqnbQ/iNteoBceuTQbwHZvC+jFGHNbu+f7WUoEc1m/T0hH/6mkHJPAX11ZAcAIlGeiA9gHIWz1p KKgJemiMY7jvJ9iPMF3bemoEdbZYzaBzJsReZvdWKrzVUwuihRVD19y2bYjENyvyJic0zE1CObtT Ffhu4v2plF+nr3lqf86fULe5dNmXJMngSluUAez/3dN/60kqo5af+ZfZLfI0Ip7UleAJ5G37mh7v 8I1vSbEpXj7f6V/7gPwzpswr4aWVBCC+yYJpOIUec+OFbI3dZtKJAA+Ue0h+NMLh1+xu3C0FwmSE YlK++ePRFjAoOUf/TKr1adPyJ/HlcS6Q5y+SL4fjtCazTDVnc1LYpyureulM9GYVEBTBCXxE1sjl 1OiB+S3Qmj48HNZjvskWqGz7/obl+8AxFtNegJ7MNUempg77FshWGKGRz8m7B03/MDkeAp9bawAN 2eIPBqwXeBs7iqabCCOFNidTmMSTR3kCnznXSOx+K71cElmXiGEG5X2QF0rkQIWcV1PHTdWa+Cxu p9nn1zlwYJJFqRLTiSwvyn2pP2P1GVZkV45RDjDvr/3BB4wP/nEXFo1bMaEJC41Loo9LRvbXT0AF hSWsM1Sr59vxKQ5UgrQyMoeGouoBZp6AnlD2SUBvcNXLtXLxNGdpxHgBPUEdr2yRd1yUE1o3JaR2 pXKXsa+J09raUkkUtHhDylUsAHrqxRB00RU/Rg5feLIoDasd/22sNyUf/Ecv0OZC/te12ufKakEG TQUecW5XY81ZRFmtAmF8iVoG/ElBvHzHtpBZeiDDMsoJhcLIehRdlpZm34DornPUUG+HVJpLhCy8 Q4iOReVuXaFHKANMbQfTmDgB4HdP/9tTWIZXzO7yddGfFV8ppeinagjpli810QEqQN3CGeqJXouv ecpwkG2KIlgNYpWRYTT9mC474w+/RWSSkYZfaZRoav5D9l89hbrioGIyuqZay2RR+2psDLzmSRWI dLyQ7x6lUZ+CWS6Yr/vWaL5tjd1Lhv1sPW83kmvvXz3xbidl8QL1RSenSPfstXxIfqsnbQKxMQyo XV1+apgIIq2gAUeonVwZK7tWOeN6ccIMSNu3ejLvXl1WKFzI0mZHr7+7pivDOKifwx29GdFdn7GY Zvy8/ZonMxVEW2/dRo7gX+T6z0sEPID9v3v6bz0V6cZyDl79rjq88yjBhIpaO271NAuNYebBEg86 Zk0JOwH0pIcM/ImZva1iS/xk54PXDJz4LvrMFRKsvoOh/Ig7iRghPzTMwjzjTDWfPQ/CQDkxXXlc z5Hzj63wiPnvPDJmYlJT3Tt/gEaJ6nALIB6qdQiRCPbHG+rWn8OaH0oc4nXs4KfSjF214f2yv3x3 tGj/Tkct+ihHFlFCR3YK/dmKgDruDNDTd5OvqOU3o9Wrh4OdnC/z9tRfjPVyR9aDoD2QUvvbZywp 0QXzvtTCzMY3TRcIkZHV3XbSzuOZYhNEjTcFesci8xavCTo/c6HWdCl0qRJ3LiwNXKbu649Izq32 NNbTPQ/DN+G0AZtpp4YIpXYJjAtvuAHmAXo6puetaUwGhYcNZ4LgNpAwIBNzAPoFQep7/sGQ5urL JUZ5T6VFRxCNGxGfrK8x6swLoKdsYexwzBpxBd0pWUxH53ZOQE8FmWXMZ/4PeC+dBsPBOKieBN+y qCGJsbZFfypOIwdBQwJ6CmozmK29WJNHfU5+8Bifv6seeBqv5r9B8SECfbCBJiozG/MfuB6ctLiO bpo8mK3IZqbbMnZBEsJs44Lg9hFGjrvV+PhxUzIvpJhBO/9Z2WyoINLmmqbKrH7otu23Hy/kCwf2 7fwBZdJYKcFzKUrDIfK7p//tSZ6M21aYGZ4hgueGvCeTyQGxcStKr0dqHSvzI1jf+MVf+6UAPTn1 affe+yNYoSOGixX2FeSIHzB/QK63352T/ESecMHw17yet3qCsJW1ZwYjqvuC6MPBIsA0+JqnH0Xc ONI3gXAnSSdUj/n+hb7s0uXFX0X3tjmzenL1q7j/1RMxgqH3WP3OjycoFB72r9Q3b/UkJkYzdoim B/wsgYIObSpj5BoRC88Ug8+VKr6UHlc3o/xWT6RFxfOYuSibIRbolTru3AmnCUTdNb+beT59vPmT dco5/5qn3nbkI0YXCzESo/toIeKZBsD+3z39t55Kcjn892AYxoI45007RGW9UtqV+rKzApCiw51A f+zl/PX/+K+wbjZV3+k7pXVf+/gZz2wVX/c5xxo2KaOtFtVfv238wDXR6J+AJh6sLYEWNZ2oojxz OD/55/qZYBAx4JKeBJCnRS/Ol2f2W8cVJt2pkDuOJhNKHXemtQxN9vvMBckrZ3IPxmXS4QmBBRsU JgMfaVOQwlmUM1Dc/kQzSvJMnwbmAPTUsxxp5fSoFCFwEtJP9LiahkQZnuVeu11x5aCtn8Tt5LOf 7R/tqCa/kntEeN+mM8pEYOaFnnwF1zKxhfFigNOSZ8mz+ARNakXTwZRO4OWWuAV5E79Lj5y/phTX dbTMdYfsSwlH0qnBSuHZ6PbtY1NXE2AeoKcFH9wcm2XYBOcl5efr8Zk/v8rsiBb0Z4PAm0A+fplR dE4slSHaMH169FrsqxmDwBkD9JSP1EWjtSnePfr5T0WTx8g0oKcXeFm//tGSQLeO0I1eihIR1eMb mcKlPB93zmoLzoGlMUBPZSZxYHfCKwk8uLTMdisBMgh6BBftcMkeSugFuRmYCRtg7QIYbFk6wt8z gX0/4dAtTYqoZVRBrygvkgc0UDJ5YGnf3FgpOTBc697nb/vIkiea3Qg3Jt+lqmTSkJovfdmRSE4U MEKu+Jh3VaA81mnrwvzu6X97soGqc7+vCT7ZA/s5TDNTbtrcI9PlyJ6ZMauieZ83M4n+mieqczEE VXtNqO4Z17ZmjB8C5tbu6QrYlg9XdCpnUpwdaf/qCTgFnk2x+47KmdrErm4MluY1Tz54owFYtsTQ Z16QDaMh0fhwjzHA4+QDHS8Oish3PJx9/+pJ2PrTcZX9mju+ZmgwpJ+s5WueWj0lOWSrQ6MZIjQc OZAT/X+qp0wXZI0xi5HyfmiQGMMgLQOBWqfn9e+RwwCCzmWAfKsnOUf1xa1YHlR7AbBjZr5acqrq yj5zBpdtT9CH21N+mG+veXK37owQdJPQrxQsVC/6vmwP2P+7p//W0zJypFYphUdydB///DUJmplM b1DXKBUDceAY4RhkOc9f8zAyjUQt9to0fmqsbK1xQtHAsOhF6TKjb34u8fsFI/GHTqcPtEJjAxft d3Mv40mH8NfobWeoezfDuL0Xsn2oGti8YXh4180D+jQwx3bmnwZjmteeIMVh3kVaCTiyJc2gY0hq ko+e6E0vNbUm8dB2Vh0Ewcd1Dp4k5nFxwPxWU/blkLKAnrimE+wRle5nEKtxEZPITdSHxjCzvt7A pZTTU8x0RJ17BoYaYuuwwhAdoU7Z7vPeG88HUBHGBWv6d6e2Yc0LBfo5PH6wl8HZJ7SIVnXxC5uh vRtEv9Ba8xvteKxMWH/gaGje47zE/PZcyoFY8TtqMlAEMA/Q08f4TWxONalUA99qRpBfhM5WTYba wcDXY7vVYtkvjSYbX1pe/FfvBx+vgPLTK1yCrP66Hx/GEaP5JVJ6dKPi0/NH4QjQE0amLoghqZLI vh/6lFJZfspUwRBD/cNyo3sL0Cl/bIUQoCe1gzVzoQh92+H+dHTiu02P4QqfIzq1sEqC5mk+EiSR fDz7MumFbVw0BQfPC18FBRUh+gDNMcMRu+pl/8iRZrgh7aGA+DTU7l4ZfptoASsNOGEI2WY3jm2Q LXI2ihiy58OQaroZpdAvdVo+ESFPuSpn757+tyfTyNFh/iGpC9/tI6jKsfxWtqwTOMXvW3oeisDs 28cUBK95ytkJW8veZKTsUoaRZQf3EkaouMPTlLiG/hgjrWHdoqz7r54Y8et+V/nGYbtgz0yQbWiu vuZpIO5lGfFRNnTZIdG4N9C3DHVgZoPQfh57iQTfFyTSFvyfPXmAJvturTdz3TBurWJEUr/Vk6es 5O5ecxJMsHmlBWcwefmEUiTqLnd3Qiftek8OhSXYWz25QQeRVl9qHbCD16Pc9HSbZll2MSrhI4D4 8Z5KiAtBRrzmidt/YR9BDxzdkK/Pq4dn4itg/++e3j393/TEsjXTdYyEbcdFUMoRFd6XM5ozCqIT dpmqXyv73KAsUw3oScqJzJoX2FWWIkig35+7YGlwoEYCq5h/B670ZqDYfn2SMqShCRPG3iyKYu4y 4ipjBtATTXNIEIwLu3LQafiFozJyG6CngILNmNyYjwToBIhff3RtDgU/pJJuYoQVxfCKSxTLy/41 v+COi4PxpB/1meW47OCeFhcUOgwnZEH72s37muy3FIVoVh9ZhkZ7JgY5FLa4wB9PPOi8JOqsHQRz nq+LXAHB2F3fkOMG8O1LBCVWKn4aCvNK1xNNnsMY2aL16iuwNSj3EMs+CGgvNv/yZ+uIyzH04lag 0zuabhTvcj8xEfpz0zhYFFSsD+aoZowHevB1wAciCMYY0NNeKkRxjSRH2gJzvnvLGdCNxzOE62FP O/k637byDOVYEzcV4mkvgWidTdqESdiIlNj12bBzyUQZa49XES6kgJ2slnqAgE21Hg+0wco1MUdl tmASlGJ+mbObkST0+FGEQl2vW5Kr5RbIgdWzYLZgTnLtDbww+xdqz2NejSPwT0T8DYCeWmCydNlJ 5C2vJrhzJ/aMadcUwhP4iaDmn9XgJ7cDlXQA+wdPTsdsglz3xLqy6kbL9CIgtttiZx3U8qtttURg 1DYW1Edqukg7SP2iiHg4foCaLP/u6W2eNIa+iLDN0RsVLjSLI0/Tor/V072PNFhM+bFcjQbLoWny VM2Qoq+SM6aPRdHgbFO+k/nWWz0VCbeoB0J1/6I+FW/PgSW+sfp+ymZnfe99xi1WGfyL/eY1TwJ4 EnLdc+WnEqRqXlP8mU3rSyJ0T80JYRzA5GKCZ3Jxb/XkFB2BnlSWByI5UMlLg2XUD5j3micfoi1G ClI3sM4/BSwnFRV4xR6Vqc3jNLBwDwSjzDZ1zf/qyf/+D12oRD64XxZdy+WXVIrXPBG7E0XhkWmt DOJVOoLc2KJqUTz2t1Fsl1WYn3FupxCsvHv6bz1VdJWEDKxI/JASEtMKbySMo+vpnFB8uCiU+0RC qjPeHgXoid6COVRxtDTOrC8WutTWe2tQbJwnUsam5/ji6uneH23HeLIiBQo+9NMGQ5Vi1Zo331/7 pTAE3UGuk/AjcwyCUAT4BAA9MRHUvhRjXYISBcIVyHzcDtMIq+imhf05LFtveoZRDfEZ0BNZdzdF eE/fT5VlV6E141z08pE0Kio/H6ZqxrnaCz6dxGOIltMHNe+XZ0m1w0erhmoIaEtXLVHifNZ8CfcQ J3z1jTWeVlE7JlbjHW1rSUO4Y4arD52dG2TTP8MEPgWkPPUccP9KIvi2uGlzdap7LTXKqUqX2Dgb o3cnEnqLXQWrgjsmLQvu93h7W7noL5US0PIL0JN1UVHjAKOSxBLb1ThcD3MRSdMSubOl9/KY204C E3QZJxq6FXNQv6m/vAjtYV9Lfuu9hw9N9/QUQmBqSfxlUrjcndZkx2Ey7M1swoUvFcKLlmU2yPPg cNeBMYwyyWnAh00ulqwgw6ql1U2NnN+PqyrudGpHc7qI49/n2J3Z8iwm7gA9tXGTICDeGYPx7UxL MGqyau97eRLNwsELTA2J8xazJTYA9v/lpBkumr5aniJ4PrNXczAzqWrKPM+uUgQ0CXOj8uCXS3ke S7CZ9s5Ye2ztJybJ3yXvnt7mCXyg6YJegItuNydd+kKts/WtnsKjPk+2p9Vks4E5xlyKZQyo/0Cl PE1A2pFj1GbZ+eFd81ZP9mfjRlajRVSIi7Arv1yoxXbWUHEc01B3IimBs/hhDlNe89Sr/IcrUU2T 9Pzge8LSIGeI26HcYaRLIWUvMdwXENYbyLd6qoX1uGksYUkon1SuYbpSpwfMe83Tp8efDHU4XF1E SEwjGzPZMOjNqkTImib22pLxdp1xer/+1dMz+PXTWDJ2/RKYKsuc5L7Ta55Sz4138Mg7SbbZAo6M pczGpnPUSy3HtNs6EkFF1K9VYN89/beexvO476268/HApHTC9u+wWgSI6NRuzTY47qTO0uSQL38B elItLXehMOEpnSdWe4yevrOKbjsT/Ko9HF0ve9g5r6+Triq+iIfuNjXl0/uVuAAtwhDQExYQErOh 0m3zIkvYxcfhb5KAntKW5VWyY0kVqS4FY1cT7GF1WXkadTj04B5tYwwt90xaAT1RWe40DTXiQTm3 S1E6ayDlUdcweUWNGy4xcsctJ1h0QW90xvqEEJjXcqQxfV6m5PuUezwA0ejuUTTC6zjfDTVeQUfi 9V0TpF/nGNJdYfarnttLtvfU1xcSuy/Tcyi1zewD3f3yUz/dkzcgHGToDG+DibbjOJbiqhZYzi+b cncVyP5sJcHygYCxoZMJJzTNHn1H+Ot7S48vd9UHyEM0SJ072wfmFYoX6x/jeUu/rgxeJJTuEeKr 077L8aX/Ls9ktnb+etSl6VEQBBVnWkoOIUl8PZqoOcPHz+Duqwr9u0USrkwswL6CRw5N0VrPrRLi j30+xHeHA6+KSzivymflRiRu+Vi5WMum6+lmRntHTc/Y+vDfuwtPgJ6K79HtdLCMRJPi6R/yyrGE zGv9pmHwv+I5W6w42obFRQP2H0tWBoV+NjmhKgI5rXzui9QX5EzlUiE+30Nf1szVGtMIWrQRsOg9 6s5Of1gCe8qQ/u7pbZ5Wfg+XXfDKmuEywH++usFgeM3TYCfdhJhyVy71BLDHcmcVOf/INx2bjbJH WaDP4YheUgyKMcTi3MwhE5gCtUA5rXvtb/V0CM5eq0DZeFWbI9MqnXhnCyUzcY3/yLKkX8lApvkt h/g1T4vqpkIqz58CkevJZpFjqiZBZ+94NwXONcCIQnaQH7Ju3+pJQu+DLXiNPtJa3fFlZC8JKmDe a54onel1EA+8XJ9hPnDem8H6YyMuHZ4K+XaGpz5Q83sd/LUP6K2eFHXuexDGMlzEi1vWZXLlR1/z ZKKQ7NDRB8P4uyxEqmlbW0jqDxfkzk3BBQHmNwtGvA2Ed0//raeCYi2Y+/x996+fSMHMyBiw/+z4 TiRzJ0u2VeUadk78Fgb0JB8+2iXvHOhyRe+8wq23oqsYzXbRtTEdq91deSPF1tJitQiUf13quAfl qZyJX+V5AOiJbJ4+h5zMp3ERhOASWfG3FqCnMNvvDRC3loMU8XR5ibe/LRMtiTmIZa7D3bjdUHRz urj/2n/HewgDuUNgxvKAVdg2JNiMcZu2jn2hKwPJyb8ppK0RfxzhgNkul4Lh1LCehE1RP0NCtfxF a87awXdqSE3VLAPvxDNiCRVjePiP4icafobV71hcecVaeiCK3bf5qRukrH8MyPeaxOqK0nhKA0LA 3TMYCu7HvhZVoS9J6ZHVhA/t5QxAZcsz3zm0LSBsyKFU2MT+dT8eNy0bRQn7TeE8fRC1f48zZQln ML91/bMMNVIFMYPPMjExfkj8nv2HYYzlYjJZjm/eZl8uvm6Ao0r3YqYOTfDUGjxgqP1c6czFdcsg ogrOWpA1+nZyN1cXS+fkailgPNb27QHtjjacd+33D44nB6bSjOsX8IDlkoxeUyZhW7mO1WdAT1LJ lFleux3zq341uJcJ7Wuaz+Ry/XwiuvlOuzD8mzLLgP3v1EwKcx70/jmU/QzcRghJjBGd5Fx4iE0H dJ2eQmdDXCSdhptt6rC68mAaDh7vkzf/7und07und0//X/W0USmomgbtiTBqBN9Rv7ufeol8Qarv 1AJyy7LmNJAvoveap509bk1HUNyBWXKtKGJBhgD1FRGtIh0Vum0/jf4cpy/b/+qphY9cCeO7RJGI vnBBSdnQx9c89abgFkpW8irM5V3Z263xJ94O/3rMlD247ZFlBkXjkZL9V09Qm5t7bFonJ+4HsvNd ppjdb/UEpUd81EzskgNs+HMQrmpHSW1QsEg81r1vkn+vwvkY4vNbPSnTPDA5aWJxTLoES1ZXbZba V7oTkNqRnG26h6wgXViuveYJqdo4LZ5o/QfMeAkrHfMhCWD/757+W09nCK3fkvHNr8mFVZExWKQd HURtZ9eefW/BZCuGj8iO7QE9YdIH2fEVTRvK3XV/f9TOch78QeNpRn544GNq9WIiADrSCufLvPT1 yu3ss264FAt3MXKAqPXxAnKjujTWDdVXT2zlJ9c0hdVQg/3vi9uQvKTM9FcG0M8PQDCc9ib5++0U qlIzBscq0FRohMmiVmat3bqxKhQerXYzSn079lwMpw98gJ4OCGqOrmbWWaYRgjno4AzrzHoCv/XC MYV6rD5ucuYVwaVd/uqCMjNHEYI5z9Bt9wsiiKATH4cWnDgFm6TqGs8fT+FVJz0YYO7csxzq//pS hV0VBKeuKjrEtWyJ0yC7qq4MV/ItP3RoweGqEh2EoQKZETAP0BOFDUYyUfR9U/Unc+oFD8F7//Al C0sFR0IVO5sPIGeo9zBlucXzpVkt4e4+MF25X8kBPenn0mzIK66BYmHeG3nn3EICekLyAImLUDSX Yg2I7o48Oepo9FD8vIBpaZ/6qajVAK3gr/l0Pp3LQmZ1HmP9pX1hIKkB18j+FN/JA75li/aOCfbc unaVAuFnFZr8TqX8iYABE+4dz3NJh8bv1vb7O3VCkBBbCP3LkP/KRsODknsbSqhzQAu/F5dLYD8k itDTb7CrJEf9R9dYU6aTEdPbzh8rE9Zu1TXvnv63pwkSsKCPwcW2sgEw0sOFhp3KUuwVE1NbZVB0 0AqD3E5fXvMUTVkavnd6M46qbwIW3T2n86Vv3DfV7ySIN3Gm2rNxKvlfPfXlEKmkjrplbqDkNTEh cE++5sn1nNlK2KKoXz8VetII7G4UIRNkuTzIaqLxR5p44nyoxb96ImCBlvfY5Nl2LyNVvJkuI3qr J+xvYFNdhyZo1r5xUNinLxnwnshDice+3OLDvXT6nqt2b/UEPKvrD7/jTS5Sg+ja3nK4xYb8Ed3b rs4pGIPSlbOz1+A1TxLFQVLC2AcwN72e4Z7Cj/iA/b97+m89RRprlIs5xTVDVCLczpzJkDY+noCZ Qge26cXXttn3OBoBepJthhK935EI9abPtj6a8q256tW1WSsXubwq7BLTwB8fUtdMzHs4n7pWTiTk LhI1NV5XahBfidlWJRvkfIJRjq+NX0OvvnRdWLG3JB1rdTRS/Y7baQnzZGLdtkyeFfIy/0yFGGFX brZbflfnRz6dcHmI2mdRjonIhbZ6Q26PimOGDOjJn4alnI6az6/2Actw2KkiIYikecfdyUmmbj16 G41AHJEVheZmXYjFQ8sXAW6b0F5rIRioTL3LNQ3F/5NpGmcb59WEiqCKkQt9r8ZELbRLUgoJsufz qEw9rMhdpDw3lxx9Hpzhs6RjHRgH3mjPANwPAsA8QE9Dz3sfRazkfi0Vp1/SQ3TtNd0cft2IQGwF kX8cGFj4ZkM5jREk07gF2zlGbTdPDWIB6ElU3GoI1CptQRrI6/R2a94W0BOyC6HPofxW/bZUtkjg dduJuSLcCNiHwEJKohPEulXorL/msYrjlDM8xKicnkouyMmG+HxOuN+hiSULxOMZHlBU+mxpnnQG esbbvjb21W97ASl55kP3mZpTDV1rorXMOaeQKK83NSyOHipEHf61j0EIk0jvjNw3avPtA+eMcF6F Hz/XFueeH2Fg9JrPWwfCVQhydt3ePf1vTx8MKORIweCuoYURLD4sB9Sy37NKjPA47fGXcalx9Kbn vOaJ9o6GQrdcm6HVqDMgrw73jux09jpMdvScwJNYDEhTDeZfPeXPsGNZxabFG3tUfDVTD1F/zZMW pQNZji+Xc40hfRkuUot2/Mmg754QtL89V97zMbei4r966gpwDZfULmAceoLyIW5I0X+rp7JVKiVW YrMbSDT80o83UlnfFb8wCKc1JcCbXlurKT2gv9XTLR88rh/8Iszunzufp1mF3Ry8edDAr+1cAiSI VrGPmn+9lwL0tNcWgEC35qA+KTRqkhr/4w6w/3dP/60nZG4a20gJTQp0lkrDmJQH1Zd5iwUe2Cuq 4AK+DvtmVBVATwK2HT+ANeTdjlQ4tHo9tEwbuuofgCNNorXk/DlSpH23zgod2VM8ItJl1iYcie7M mH1Povg9YhtnLeXEOirz2lKv9R6PZLU+YYZUtrWWH0SPoFX7PE8OWuItDGHEE5HRut+KxnrmTFw5 +aH2GWf9lEe5+TLqtCslx8g+YKMppiEW/Nd7cy1M8iBiJZlmN43brdyVmJKyO8RIURBHBJRrKDe7 maBlBeeewgfhPKhdhYtKmMIhth19DHux9NCYw6JCj1K8hwH7HmPJH+UMcp6zUnNYa3OcZcuE86Sx IUXeC0ph6zTdszqRIwv+B62KZBCb9+DLJIB5f90XxDb9VvPYUG1i1lTrINtj5epFHOHQvFTTRdny gpY+np3I9/lsLtFD9hzkMtgs8mEc0BPbHna1/8fU01/z4Y9aS5JGgJ5+1nuEEIsp5/LcyLKNgNot eXJsCAxHhJFYSaWlRztj4AF62sUYvbf5Arp33oZ5en09KhID78ARrZAdfSpPfkZqRnK2qHyDw9o6 VDOKg5iDbO3uGmRSUbwbs0Sj292BnH+999Hf87vCIMpIz3VH+7IYKYEmJY4m03Qh3ia167N4PhXT I4SiI+weiuc+h9XL9FbwCPC7p//tibXMr+EokiD6fq1jQXMWfgrdnQuTAE8EYejJWlphsWz5NU87 rTKO6HKN0lMyGItkInvujZonSIwgDLE7ibXsxhSj3m/1VJu/g9zC0ZpqlBch8pxlaawBPjWkpNs5 83EtdAAZP9zkNU8dNeo/ocwFFlUWvy+orC5aRLiPft7cll/t1aSVXzdWZP5XT2lIitqrzSoORvgM rRPluaBv9TQwU8ub36IPbXTBMdUR9bkolIvrZk/0xMFvtCerzP7y9q2eMMaN6XKK3GyYCoNh40Bp Zyc2ZzzyFQ4IN0I6dRnrLrBe85Rf8okz7WMCHcLTlVOVFJoQYP/vnt49/d/0hGjFXDZ3O/qyVY/0 tXncY3iOgSM0zez3NS0Rag7WaAIpoKcCnBbnk+lxjmLIuaUdvp+V6XPOj4eSvzeSGYw0q+5GUWGr tTx3Rw2+JvxyJ/Jw8MQB9OSEWFLLPewpkOPVSHbETRAJ6Ektv4Ki/mxmWKtgPqSq1qkY3VPG36ja KulDRq9hIp8/3V/vzX+w260VKqJYnUi5j9ort5//CE3mlqaOROvwUN/wv8mUfKj23YAB9bzjS2p0 DIP08IdSsrIp8RrWc4q0UcVVWDj4WLUppV+KBI1DIfRpKBXYdOoqiCuHpfLJq9tRWv/xUZAOLEhN EIPDYofKr1P4qT7Q25oW3V1uYMkbx42GdgnB7TJrvMIF6Pcas0b8/M++LEBP7Ps06Z0pxUb3SLCr YiBHffX1+Cl/si5DiJtzCP44Mn8goCehd2M7qLAA1mPvd7BvG8bQsySvZFemeokNYQ3KTj5s18/6 vq3kY0OmQzfejeTlkUlZ7IF8Y56WSaGGAWy5AyfLm3Os7EGGBRybZxCT6utYGvt5y1yzNi5FdY6q 2gAB0BPjDxvpbmrrhE0tIxXRSBOp6/MTbhUgvMdYtI/LC+LBGoD9K0FudJc7WKV+GyLIWr3R8GL+ 7IIN7ldFYTndjX0WH3sFP4RL4NgjSQpljCdr8EPD7N3Tu6f/k57MacxBuMpAthqEdgTWfduo7k8K Z6kbj3BgkGJ3/NHtOl/zVLrXG2VBYI+VsY5OKvWsjzN5eDly8YRwXWQ9zLrgQRL9r56uEsxvVLkM j3WODVmR8X6xvubJHTh5xyFEZA1PZSG93zB5Td+HjtNXrNrowbQIN+AFaupfPdnZda/3mWf8kV4H CvZuc8N6qyf/s5ZLLIxnFyjgyKprqYhQ1oo+z4351qyM0fTWSK6PiG/1BDSGlcCd7T7WHCroVu5E XbP3aC10zfISa574IHjeh4L9micxJ87UcxriarsCK4JVp0hTwP7fPf23nuYnRxEK0VcQWKEoHfBm mZwy/xyHKK/gZJEF7vDJY6NEAHpalYyKNr+I32OC5ADhA/mRYS7F/sWadFkISwgztFHlOxAMaeAG aBZUoGkzk/K1ewnVpkdDtnROzorrjCiHcsZG0A+s4Nk51tLt7jYglR5R7XXehJ+8pIlfYoS3IIiY zyVJQGmqFtrgLcCODqn4imJioVfdbw3nCbq7Vsb3kNXsRnP+2kdNyQ/EZc/ddli2Z9HWsqiitHZe nHJHsBq5EFYL58nxuxvosbnY3kyRpc2oJLDGs9iu/wdL6fO2kIl1ABda3VdUUlWcAiAwFzqEydTm RfhyGxy5DzadHOqnloN9pA1dEKVrKpcXReZk3oyqqP09ojHggHmAntBXAhYDoCvWH1hqS+T2Vm9G N9kZdz97WZDIN1Y7COjNFWd2EmxquPHUCmNMw8crWwJ6KqAQlmqxhoALFHH85lGiWgzoaXBdVsyT rzhJpS6GX7oRpFjBPMdWtNw2/6iHWJhMIrcd0FPeuu8FO0S/LeEPOoRUre8Z8JwL4O4xZ/68t6oM 4mi9PeGz5JTLpTN2ZsGslAMi5qCkWf5afoM2XyT0P5K185szswZbaY32K31q2X6SVi3rjoKGKN2T Z8ZhUr/Po50fGPGsHr4zLWkEw9djTOhZSf3W/u7pf3v6grkwCjOI6GFBAaSQx5+35LFi1pd5tI5E Qqgfl20NlfuapyuKBewXmI8i4S0Ysjg2ekjdkBugYJUj7XArPuHivplq/+ppEtm1uuVnycX9S9DZ II+54GueMkBq0s7u8PklVnTV7J1pkXkgLkVbbbd5dcYtL74zjo/9qyfkBRRFk0e1mlmDtrEJkUfE t3qqVVRTF5+W6KOhQRurbfg5nNVMALdWEwL8p3fxl10adM5bPbE8Q7HRHyf8/pPlqRloI59fTu7A 8/OYl8sIKOxsbLFQ7zVPLwx9P/qZe8UTzB2UwpxzqgH7f/f07un/picq4e+rv1ki1ycHfpLNeRDy B54wQZpyBD2hlUUxbpMbWgJ6Oo2YoxQk4OdgdLyXz4i84b6pZUg+Ek1l7PimCE8/+0IbddeMHj2+ qs240gRN1ZtvCuhJyZ2htcFgmJy2+tIjva2xGtATWXNvOT7Q2IhH6x8l+BCegeZgiDNy4iGrvi2c mVUP2mFATxjl5BSTzIRsWxFSVZ5J86Rma2ScPRaX3X+eHp4w8EnGnS3bHZmsumETOtDdQUGwC/qr u1TKMXPzfsmi69RL/GIW2ScBbcVcVPgjh3JwaapecS0QhBUPk5ecE7zgq6Hci0OXP1KBPKE9mBSP UPC7vCsns2nZVbwkd2kbz/MyHzcxY3hv63fxT8UaP4N1ewbM3k+Anpj1JP4s+sinKzsh7CHw5QfB tc1/nGsp77L+4f951qfVuWys58xc4Ju4/7oLbnNRI7C+SByB8v0Gm4XDSs2T6tFQq+MFl4S6w6nf QVflXb6d/lTEeXRQ0a7R73gaYuhW5yiqzbRoUpjlZk+4yZg84K0p2DNwJG0EyQWR2TSk8GQmFkBP yZFN0HLOHs54GJ64qZM6Pz0u09rbpPW4wk/1sgw+rygC9g9kBA1TaDny6a5nEbXWCM/qMIUVQTmH HtsvH46c6x60ZbmlaBI2q3RKLSiSyOx7ifG7p7d5glCTl5kyGSq3RTufnybAInurp+KmBgZztlMl kLrMw0hWX/SoLjDnWPBeAdV8inbCsdDEt3pyc3HUAxecY4WNSIdXs3H4zh3JkG2YEKmu3sH8KzJd 5q/zE6AnMlqJwl0bsUav4YiSPFIHTssKskd0g7jSdgfucbqSNau3etqMhs6JJy/3iL390EYO9SgB mPeap2evF2oukzA6UvPlanUkOUVjskDqcBHFIyYfThQLuzyNf/VkFsnhSkc2PSoiNdfhZcLZ/Zon 3MaNQsplcJ8B4ahwNuwHQWv5e+IKj1gLFRSTw3RnFq53T/+tJ5FSzkDpalSiLeiDBXlah5qrT+fg BJ5kX06VylIi591wAT21Ah+nyLR3KHJHjpUyKjIHMgOLpMDS3XtM9vWUfsZR4504oPBH04aoLerl 4TdNSFQA9ER/gsDx5Pqd4PjQtslYTErjr+8tMJnRkxs4dhRyRZXSMwcB3ITlw9NAd4nKV7sQy7Fk NYCe6ClUx6DObXfhQ9CVDyUvd2F+nhVnwkAGB6Lb9U6pGdM/Z48EUYNL5lcthve6GLJM1exHGGGs +v9Z5nWyVl9S2/1NRvJpAToFtBbX0zxFmpZYytEg09syv35raWVQt1xoWHJql4vo3qB78Ck8uzJK ePxRjKXZ9CEehaYJiGcCC0nuQFADxibSMN/74DN52FNMP6Cn0GepKDfMDQTrp5nJ6bO6e9Au+i9n 9pSB4ddAWHhyTt7pcBJlYHzHdGeK1VPO3SqMazFmgsJxTm7U812caz6EWvHO5Lq76s25Htq5DIMk 8yH8k1WdDB10XDTusbNpTV7Ptl6191iVPxqZnXz5GPeIg/NlbL48bE0WM6HfD0GSHA8CerpWJSMf tz+UOPOkMSaeb86UXhGKnyBuyv2gH4FqB9QDCtj/uRmn7amnkb789A+8SZfzDyWTSHp+7oxIVSWQ rFAwaAinX92zSW4gtO2PyoCrUvUi3j29zdMYm097sBztH0UolR/7x2rhb/UUFeEfcucpIQ9rjy7M DTGocjBlZ+lWS/VQlhudVSyIsvRWT6TAYLjJT6WcZ3dAHB/r/TYTU/Tg0jAU+NU2FU0viZf/mtcD 6KmyLDUsCL3sxho5uO3a8b6smxi4yEdHNJS9+xKYXsaM7q2elB6AYqU4e/2B2HBtW65gbQDzXvOU +8QN7pxAgyxkiX71mQgct6J/s0Ns+8pyWT+qixPX9q/z02ueeMVewJDd+QaZvVooydo7HgcxkXlM jMrH1oWhsZGNJJhe8zRYfBxYrw4c8wnJMDk3XJsH/hSbazuz/sZPsDdQlbMN9d3Tf+vpW6ildZMC kX12+lm1ZjKqRck1EesnDJNvs7yxaknkGv2AnraNV8sDQ054dRJrkWNmSak5KI3aDB7L0XmwvFvA vuXwSGYWytbg15Ne3CV2gyXnLQB6gp3/RW7C0hcehXpFS+GLggHoaWRZV/eaVg+5ZeryFxoV145z 1AHC1v2aXxU/AWs73RddQE/BKJq/dRL4IuVBFRNZPtbjnxic3A1B1JcGors5X5XR9meWAhHhJk0T 1QvrQdqLfWn8+cGp0WQUP1og6EYa+ZfirmXiFdVCpx7QPfRst1vSo/s8wtpjRiHKV1iHR2bV25T5 CVbtl+fF/W7UTh4LK5x+btMa/I3A1l7a5pV59DhJMW7KXRpUCqawP5g/d6fCZZj+mk9X0TPo/1Ut cS4zV5rX0KaXshS+KV3DvAxKpQF5oH+DtL/j8CMQcVS0MKsnZeW+bG/mLyePRfGoOgN+GW6W9DAM WOk+DrJFpl1HbeBGwdQfSkpJYWRsbG5MnlPcMVbPUePigUm410qPY0GaEqGaR1bDtEvJUbmDBDyh 3tPmd6NhayaAnmoIXG8yGr8Y1OIIFmReE6UCCSlAEWKGqClINuLAQoGQAPa/0FnakVIH9slF4+h7 tfyc12gpRAm5+2jRpEIMcgTVB6TOhdGac3w+lTCgKdH5h27Ld09v82T/UsmbxMr8e06Y6yh3GaTn rZ7kQpfs+kiCg14gR0dEfpAG3opNlTo07AZtrJn5spIGyb3Vk/wC1HePnOTzmLPtuD4fFPevCxS4 wd+PCYDB0dZxRDMzXvNk3eZvgIwJ7aIKkhh4d76y+0mmFwh0HLM9+9J8xGlBY/StnvT05uzyxYRd Kk1zpDfh0nYA817zBP29uQqGe1S8RkWVobePkMt98xAZGiPADYNfU1UpcHnxXz1B/eRKUS0rBU0L tafGo9tDf83TYfLnfp2rSkFlZSa27GD9wJ5RwVwR4AESBvlyqEsMH4p3T/+tJ2cIYe41qkyjZ9Fo LBsHNZ5d0yM9PRmBpK/YESdz2D7DgJ6Y9jmwbAP7hEuOTUk8h4wKMDaSmdxoJ/ozjt01Ay8+0hJE sBwf9AMXEh8nN2hiLjwDeiLeK8XYVTrN6KRGsH5+GTcG9LQk7+umvr/vWEIBoQCSVDvF7YUsgjxl R63ZPGppgJL21z6gyXQ7M20eOksr0N6j/oEYDzdJ7qm10ywJWw/+0btB4bKmtfB6Z6D6rCv3Bmev cxAfCAGnYYiDbcKi4ltoNu9QGCX3CyqEkgOBWHxbUOPFGcXYwojbQzJ08lmH3J2fMweicifyUaWd 7CgkOHSgND44ETI3oDOSIiMDixvcow4kYSVDBXZXikfTRW1XvQbVmmTrgJ4km8vjoQed9KmvbBDl LwnTtg9Ii08IKerMj9R1xcT6W3YeLxqMIF3qgiLE52JCuqXpMz7IFwWIiWcwIGLTC1FU0VkSkF+n 7FNgm+dLwATfE0ByV1plBGgEvFAuNx8kwu4fR/zAjGGgIpi48cP9eEYQxmVS8t3A8Kij+QgivPWk MxvQk5E3A5PXU/6qlrbzShzQGQkK9mbw6LeupNYSgSjnruGvgP1rFm+On3R4SVckMMGDxsW6C9eB GX8EZyxvetGMzCAhCedFpbEneKSupbrV07sjHAx+9/Q2T7fzbsboWTRLhyrD500Fzzpv9cR2TeHP AtqPeIkcI6fUerPj7M9do2fAcF4iG5E/TSGr91ZPiZ/XXZWSq7YwYM3yxA+tRre4JBk24nsq8e/3 1y8+Ru285kkIuxGlzG1ms9mAqUI2rDXQVE2dAwwvQxaG/Ti+ufLp8a2ebu5pYVq5dxDR7Kb8zTMG iQHzXvNUDawaQPhZML3S8dfMvo/YrqfYaHxGFDKnBnpRVH6a1uC/elrYAAOTyo3LMekyvii9V6h/ zdOSLs3GKjfGKsHwhl32ffXMhQeY3fwY5Dw0tpygKAjwy7un/9ZT01On6wZJGSouwW+Ooh9gZVly PPQH/vkSLiJT/BA86wKAnmj7rQxOP3eqK2TZlH5ASs7SWlmEJz1oolK87v0wYykRveiz3X764Uqz rRXn+vS+qRrQEyGJqfZu4EIxZ0sNf9XzjgSgpxahK8zsaxeckWL7EzW4c1Dcz2yOooTeGLcfs7Qd fdUbAD2lNkzuWpkKgk0w17Z/jMC1bPts+/8eMVKY5vSbNfbAD9Urj39EFAeVOLjiX1no+0nqWJyk Wd0T7KuOqhqRbyeZ8nYuRa+8xKy3hnLZdtrrJpPm1KVcCoVY141UnqYTFpqyaoW6nK7sQ6rovTyf P2mCQjbZiHrfdYGym2VNPAVjSv7oJ08Du3CHoNmEE+73sIPmAfSUUy7G8zXtSsxyVcP9eoIrMUjs B5cq8JUDh0vfsOhCoYQHsThaWjKWxuFEW5gslayhI/yV0FHj0S8HEooehHn3rimCCTOZKr7MYrmw 3M+TfA5jE7XBnftiWs26sQp6WRE/SX0XyEjs0yTX8Kk8zdc4ks8+/7yC1Cfi3TIbUh8Bqg4D9MQf 37QvgPVHlUNBM3JN4YC3+rztbmshxeLCu207Rv24ALB/Uw+zeC76BqTete6SPW4anQLeIrXnoEYW F4omzSipo76kHrelHEfbhK0xf1wbP/XRd09v85TMd6cYFMw1KB1D7ku0FEH+Vk+QP4uaUNiyrBK/ fLi98jFx5jKwWxCCUnrI4qXWPJ8mIH6rJ6ZVexD5KlPXaG/pyHUB5zmx5eJUaC7ndvxZp85sldOf r3mqSxJB4dhJVbWrOecIjoLXkpsJDlKbM62oNXdzfNiVeXmrpxPDEGIeg+zfe9EnxsfJ2DmAea95 uoH1vcqemPF1ESg3mORX8G3J1vYdBPJmiTo4SN+P7Xb+V0+siC3hEEI0lljZ7r4EnyoJX/Oka91b TdbT2kMO2aBCTVUQ+duVSR6XJWHieyUIhMx55+d3T++e3j29e/r/q6ePzvzrIsH4PD/jYyn1o1+w 5VxSh8MkGePC0+yAxWSn7wA9LQWEZ/6U319TqQzhvb8gWPtOkER6eExNGP8IW7iq4vmtvXoa145/ qW1ilnWRE4Fga+0Df2RbUVYDzGKoG5hCoTB9tqpj4/5W5x8k0XT5bdVCQ19nZG2vDKYA9m+SWGYj vmhSek4DnrIhuHHQQLTAQ3lMUb0jDiIItIPd2huQ6LZ/3RckYFrWnq4WrHEcgjnI7+FU8kaBU5op WbyUnx08EqZvLebgZvVqzYgiPzP4npOa9TDTex3QNZgWLZetih1eipoWya7dIx9ICDYaZ1ZugV7D SJhVLdKoKg3r2TuSEXQ7jOb7GPv6btx9GOsy1Y6mmvvQDJgH6Clcjb0O3Ki9P8L3yxinjK6ElUIw I/txmsqecYczjDtFZqV0cto0E9MHpiyOjkHFJXtATzA3k1jjJVSrcNKxGjizYFyAnoDzhWAkVB9Q lfcFWn0SvlV5PWD4z644oozkwi+lakD+9Z6zfgkHFINOF68jCHkqtJim2Nfwc6ZH7E67fodzIacw /a1Wb+aH/a6jyTFjaVEXJT04I+8osd2VA9c8l/gMxUUm+LBPGwyY6316ihHFtHYCerU7GKQwUKgB +hTQNU/nzQpDTLCXTMYWdck03AziPx2XFN89vXt69/Tu6f+rnpJIpsR4oc7RzNACtJnxbVne6snp EAVvelaQNQDn4vQAruU7U2SAQus3yWSb1nTKrAqi2bd6Kqg3q1crA1bvPZI7tIw3INdo+xWuI8mf 9scibGqOizTwNU8gKhDL2oSip9MNRGhL4TuS8lTI5XaFV6mE+bNwwaLDZW/1RAvhzrepvtFAFmuo KYPNFQ+Y95on5hB6fcJfLlMjlGH7LL8xC0Zhj3JS4NDcxEtbhe/F8f+ax/pWT6sySUjfoJlPwj6F pFjNLWK95mnD1C7l5HtGSTrUiNiNAXv3YJ6KQJ2Yg172uaTRomUB9bun/9ZTxtlqpcxAnVoIlGtT Gs3s0uGoy2IkDhUl2T6JKXIQVyGgJ3xyjR3iMhbjWlIaV2kYE/sexVRiaNFahtyNQPAkPb7lOkEp 66Zb4aZMrvpLIaRSBkBPpe0/wf2hIoc0TY52LBoiXQE9HaLhfPw1Lrtq2e3aq9LDxrJlx5xC6BcX yocdiudrkHoM6KllI1ckjxN6mNIXLnP7x+EnfBqkg95NYXYWrZF8UddYIHOPeY/CkNZPyqVNvTR2 VEZA58ffQ3VZ1X9MLwsstoJFnUyUsej14yLCz7aocxwnWMlnELe2J0g9qw96UQY1Mpq3t4uw9YyF +6+3FrG8BOlz7xpoSwoN0eOtK7UHPsvYnnPv4BC3FnrVTtrqJAj05HEAehKD9BPYyDVJzKxk9oa/ P2IbSd8pTSfGuyOKihHO0mC3QWyUAtGBTqLYvjYq0HHysFGgRNdQ3SGbYXd62P1CEqWgm5ng2SWS rFFnT9CTXe861CkltiUjTZSRlGiqiu+6u6qoeeg4b5yVdQWKvUdtSeTYPW9+mfTVCU9TkmUs9E6F ANCTZtmKHw/bTDPYwpkSgigX2yVu/BZshQ/J5QqV1+fTaDXA/rHorm3ovDDbJXmGo/r3Gl0u7Mcx 9fgrBQkqCNadSQpEFOJN0QziT8ZicKshbVMdct89vc2TBBif2k87L1LK7qlwDU+Bzdc8YWXuD4Nv ISb9Xs5MQW7VMW5cNvKTdzAjxbp5ihWJWOp3OU5CtjWlG/0NtKkpbt3b8VZPn23aaTWJV5mayfiW XIw9HPB+hs013T+sb8J7Y+KXMAq/5imO+iC9z8vT13EfyGZY9+iwErK82STf2RserxZNR1BG+a2e JLN2Uxf4WKoqJVxlQzBJMwHzXvNkxH3qijYc64R0xv1VRMaUpq+9BcJ/NFVHgDJA8bLuNvRfPYnA IBzbxO1EI8XkLrj6PP94zdOv5NYc0oHepHP6zijdmckan9XURa1o6lBipKWBHAL5r++e/ltP6QZg 5/XbcJa6KaGSmgKZdfRgSL45DeLfey4SW1ymnL8BevL8xstox05uh4SaZ1cRAdK8fMDR7szuhUOv ijJ8KdzLzW31a5v3+iEaLjVwWFsFRBTQU3q7Jc96/mJn9Bq4+mUQfyygp8V1/tLeZ5uQwhrEFR3z rgpWumpOHvkdDGL/YF958qm/3nOqJ3Vod6I6urlJUilI9bAQ6a9wa51sgt3oqlu3uSIajO+An55W rBewUO3+/L0dxgm6pKSEkGpS3c2qDb8uSKfzSEkWCvViUingWnsUU8EFv5HfIj0aunL/pV9dYIRh OOu8whWHRSwu8CcHhhiuwa21KgXSAf2gGNo8z7V7DhPYB+bZWCBfRJIu053WjJswzxkgQE+jsR9/ oQQZkcO7b6XsKnot3ASClpr1mjyHh5LByPdsOBwBnxf4iEJg2dc+fdol4jguTUublWckEKxaooTO ljDX2g34OUNmCsyNj2os0lgqsEozbluAzg3Kj1DMu04nO1dMsP3AWsovYj8W/A3CLujoGPwlhpPA DKzPqKATOV7X8h7Qk+zxRAdN8ae+LD0ZXVrhLErH4BrVq3JWy0eh5ruApCs9wP5FmaZnEDREu093 ZftqCQ8QM6Icwpjx8ptxweSWTXWxjxxLG4+bN8xevrdf3LaD/XF99/Tu6f+kpy3V2t3hcs7x39yO Wz6e+iqby6TEfHnXl7OE22R7BFi6r3kCh5AUP0CvdstHkfoDghBk/nVJlf3QGGjzBm6q/kt7Q9y/ elqi9q7E4891zLb7mLZzhbzymqc22iGsfW03EEHFWdt6iv3DNgGMpsK1ttn8QlvEPL2Jn//qqRjD sH/kma5jizHvg3o57PZbPeURdPG3NA3HIdKIPSGTQCqq/J7svl5uJBtDzCsjibfke6snn7T63PrT hAAhLyCoETkO5dKqIwGOjSrzVSgUYJBaouLXPI19qFb+XAa0omP7QFKDd/YBsP93T/+tJ/4QsMuI p73Whn0y7oFEtHIDum4+0gFHRq670/S+WvkQQE81o0rcGopXCAfkxcoYvcXUNXI6XTOfOg4URamk GLRbDoAQeoAfrk/kTepVbieXIJ4+sTCAouMqkPN5L9TKWmfTW4i51Ts9iTsGJi6g+bcVPMU6RKNy J2JPhSzTaOIt2i9c/in3Ukzj2Yv8bj73Fb+pbFXh+8lNu45/SLVky1AczF/vOXVIw47iSXsub3Sp HQNHc1C4Y2hFy9i2f0RRk5ljlazd516AXEcdu9PDPWJ9u/t06LdkQkdpTuK3/u2plXhyjNoPYfGL cEtD7mM8kquTwEhfjGw5hGm+BkJPc+cV3I80ArLrgnH1Swc412HOz2jB8upogHmAnjCcVcx8uxTG MdCC5X74rKkZH2qQ6GWUXZzQUaBSQNLFEEx5nvRzLlpFYtTTfeRWwgD01E+OSNXhnujk9JVttlAR +gbQEzW3vfN6buea5NgY1xmePe6ez7d8XvDWhQaLgpY/+Vh6gJ5KzUF+E+6CiO5WQYMcIQFVk5Jp yzj84pV5YRswvDIGgWLg5q2PsJgpUKRB8XBV9UETA6HFqmpnllw5/Z1bRHJrNdLHm8iBmvAnc6ba un57ui/mWhI0xRTTRmlOGlGjLG2ILkPmgL087g9vACvNN90jkndP/9uTRg6W3IOW5Dnsgo8e3VcI uQY6Vn2xou6g3ofZC+8tm7/miQF6wv9cANcLvg93xFGo+UXWKJkrdY1ZL7FjEHylgKjR4CTjXz09 eHyApobiN3KJBmXERruFec0TrG58xuRChbjmh6Ae/FivukU/gs+P40n72fZsYYSWvez/6qlqFOZ8 TadwsqOk+rTbSljmrZ4Gy5w3UNb1L8tsr8jHkQJqjUbRCCgRbeDhIfQnY5VEb9/qSYfHfIgna9bU D1/vk79erT76RRWKyUcR9S/I9H++q/gxvOZpnYgJCtdOa3OozDjOW6SvFLD/d0//rScP2MZoTfe2 rgy4PwFHdPAuiITJiWOhREzM/KCQ9Bf7jICeBtriYLG44WY1r9ZZPiVAncOxIeRMI86cBAyFSYlN UlIpZGzud7VnVQLXB2KUDagzh/QEVRAtXI6lSjZYsinR0p257qfT6sCyALfAUkGQjSew7/uCTLkY yis50b7Y15mCCa4yc6Fm9eFPzvYaoJ0GD+/ulFt9WuKl+eqaSU6MGO4C6MmCt3fU4P9p756e83rf cIFHjRvbaNDoTdPYNhubjdOYjW03tm00jW2zsa3Gzt7Hb2d+mUznO3sf5B+4Tq7PzHpmrfXcN2HI AmLVTOS3ieOJwXfVMJnrZqyk3m6D5QKIuVm5X2St8+SgWdbWQdyMsdzEFt8X6g32WgVadBoPkef8 SD0an7kyDwIp7T33g/hgvfUnGiU+08cDl+q4uVzsRo3pCO6j15wHJboq58aYeJEyOnAesKf0weDR Rvxd54OODL5xHn/BueHxCEnv+I3OCiMjU2p3FT43T8t6whsmCQbDDaHAiwlgT70OeA+nGcFQNr8t oAIYjv66LyXgVTbwxzjBS2szGlWNa85pGhrSY/MDkbZOw3trYk4/E2BPaqi8l8crZeJzER8m78YS QOg/YdIvnlIghxPoevO35lO0XTIpdQwldvvG45OCGFyKvfvBZq7Eo5M4cMkXH/1B9/FP6XP0h2BF uMfRhbitZLzEWeJPpOufnKKUfTCbI9Y0Q7rjSxI+n1rlj9r+/Hgc8nXtzdP/9lSwcn4ThF/XsB3g rmVZuKlwvtNiYGpn+LVkSY3Nzdnqr/99gT2121N+cSdJ9obfCZghyQTh41fpqXcxGZjTEoSWRl4R KvtXT/NwzEx6IEPKdj2LiFH9tGwveeKZyt8MdcJr7Yc2ptSEm0QJbX2WDRmYGHFahNj65f4D6V89 hS6QSIK2U0feinhQDXSLN7/W0ywjzr6Mog9VcthXWiPnq4Vpgf6WRXvmEMiFkVNSdN+n13qaGcc/ 12+2G8LXJBT/KLbnNy2f8dMzi6O1fb8AoQ6qjfolT4qGMVRriJv13H+ehO/DwOyA+3/z9N96omD9 A743rWvV7uQaW9McIp4DUjdM+ah2VcxkTQVeEtoM7AkZp/dPwpyB/d74dURKc76SGNyHjYrLb+Kg FSCecamJqtekRHLPe6xneEcyM95O4Mqw0bm1E/ZrdoSu7/jK27371QYkcGUt/SCXnFuMx5c47q7s 5MyMMfI6UQ9Ho4zuOCg7iS47Rp5qLtyUULKKAGMtokhfYEnmhFdOnxXOGHqg8YE9hc4nfe5cfXTM E0mmY9aGREba28V4OA6g+wIu2eRT7exW1Hut7Qm4W4IJL3G7iwQlHoymaJGIFTZUBoNm0Trxa2TM oVLZC//KASPwreiOj0xdVOl3Eu4G4EAqIN8czkZzOGUGoPxgn8sodXDDHnzdHwGcB+xJ0Va56Hxd SQalW8MA17GDoaVi9xix3fBGVZfzZEDjEUbwYAX3eu5+7WEujgtwjf7X+0wSfBYFY3XLDW/FKMKd GZZnYE91MEzNoCYE6gZ/2qy0PAtBIXBnTh39EaHmQ0BS5cK1moE9zTYfzTfmOjUOQqwx0xBNL6Oo 8TB8N3bC+WZpbB3Qm0ThpXG5H1PT8d00giMR7aZFk/xsv4YfDBECtymiAjGAf/IbCk6rjfDUR145 bE28Wu8ZoarJVovdJpruBGmnVBGdn2ZVzhn1DcTiT6zIER4lZ4Fvnv63p9MuGExIa/NnoaVGrDjJ 9yx9W6yg7h/ID+EnI5ZapGNkXvI0BWfpia5qaRHnE339XOld1C9zcXg0kSRABHaBD6s5Kv+vnr5t uDYr4nzYm8FbG+tkvCx7yRP+Yd3pfoeHkd2qyyRj2jiGRzb05/ANegv/hiesE+pQrn/1JFR2dfN4 fsdobD6c89n4IuMlT98fbg8nojVI6bLIsXvxud83Ffh23o54TIkn/P60dAUZjb/Gvpa7epLUr5/l WnIYxP9aT+O6PJ/m/+yKfLczrxnqPDcI4cvH/dV/PkzAfMywUB+f8ZInrt9nIOHF60YPN2iBg0tZ WsD9v3n6bz1ZQ1thsZBHNVC0KjwYHFoRLH2uAENDVPTbSa7T+4S9LwDsyeO3PIVQgMSoGo5RL86G fr/KbK/7SBjr9kKQNenRAt8fzj2NhQYbgJ61CMY7LKOPENHbZtK8dFL7JQnmk/IRoXzu6CwnpvLv 1tSiWncSSuqva/noyan6yOInAxW1e5BoZj6emTJnEErGWUaRmbgN5l8MHRDqW+NKjxBb6ukHCZf/ BvbkkZavRfcI/75ZSMJD3T7/gZMm3HNQxw2XtxzP2cULnDQlaFa0eBVTqJG/Cz+dP5LJ496CCkwr CQPlM6f7XuqEGskGlwri4lw8aduKER0gbHqSuSGRQT/aOkkGZYmR6Srry9JaApzuzv0hXs200w17 A3AesKe+jB3sQm32B51pZ99iiu8JbeRr32fm+t+JQYBkNrAHTcJ7IzbqDUcbvNMoQZ+/UCgC9pS7 rWB4soJvc6nUomEd3fMR2JMSwE+cTTndKlrDrg5U7OYdJ6/sDg71CdE0hwgqetknHmBPtnb4CNJX 6YnYczoRc/BnSameqQji+xh2OhOPBVhat87ffORnlFTV0fSWS+94Bs/WcGQaFVW5vMYKEqls6LLN OxI+mzviUORTP+PKWyHNZfNfGcOImMZ1MPdQaVxIE/KW6chf812nu8zyC2q3rQNO6N88/W9PpTfg RF8jkCTzPeDtaNYSv8+Fou55Tt7q8Go5pBfNKaq+5Gm4T+ed93FxXZVvz+R+yao7n8SQCwIOnJ4D z3OX+ThI37966kBoq7+KH86QUkXSXrz0t3nJk2AlaDtiWgEDZhU/nq6p5wA92Zhlxyf1JtGdy1GU +iP7f/V0GZ1J81iFbF8KjQYDE3NC+VpPlfey+aRFUcuz3eqH+UeAtaLwB/FILJgKnilrpCfTXbHX ehqz8+AxpTuw6zM/DJgZlHCmNIhsEcAXluTp2b6Rpfzs+5InQ4Ea0ISLiC4qH0jRCigwB+D+3zz9 t56eidbz9LzB2HRJBRboiofu9JZWPiggeXK2n7D7hiLRlgN7gkkoTMR2rh4xVjMVQZJhlR3cF7lT HZjH0YJItezV7mHJml3UewIJKJ2iFp9BKBePBxTiVAYFz3XyRgp4a4s9xuKhpO7sSUtb7wSlR3aA hD4Ol5VVSypI7X4moKpghv/JZZHfbKhRLl4cLW0bf9JHJtDjfSsK0wltAjWqkBd4ZAoP7KkBE1Bl j+4uKHhhcVEtLWInH/yzA3NVIr4RWcu1/RGpe8VN6HzJabC0Kcj5/wZdPqGeoK+cJe6WUU4cfagF NVMgqiwIhS0P50XFchCDksjE1fMsOoA8TJ/V9AF/qnQVxNxejuApege55JPj/4GkO/MrcB6wp3Az p5DtKt+IWjd6W5dP4Acs6KgDx1yeu/fVLuEOW5d1HE6VRBh5+pHxl1wfF447BIA9aahRe8iu8gjn tZDVTpBuLgB7Mjb5BJmveKI7kHv7EPHlsNaI7KMPe13sYFkIUe1vc0QBYE8pOt5wPfWfnrlu0VKo 7rqbLQ7phBjYNiJDblinwuqGIr41xxCqe1XA7yOPc6F72fMMPfzsJ7oJOmEaECmsDwdL+ImXhM9b wua5+njk9Sme5diAXe/AKEKEUIQWPnS/Vor1gaxkT1emfnMC5Z3qhTF8x5un/+2JhFaUnyUNAH+M t5sOB4PzicBaNeGKk68tgeZPm+vNRO9LnqLX2Se9Z4+WkzGMRutmyyCyTPiuZUfY4iObLX8+B8zf /asnB7ZM2dumSrbnWXjCtI847S95KvJqw+7IAX+fflZ1r0WotAZLJ03YXTXM/4RFXy0yxHr6r55E xVe+7E4BSqFAhx4K0IoAr/W04aos4k8I5Xhee8mAz/5g/Dgs+gl6vd3J02iBJJhBSOq1nm7VERIg wq1//xouatFmiQ1gd4m3nS4MKzzrvy1OSUKuesnTQlkI7z7659qOHlcIbZgeXuD+3zz9t56kn7wK YLFHw40dUutV5foff1gV5biEaEAi3rFDOthZVAB7WrFFIS7wgvJ2mMk0LLYTJKFPaMeEIxeCjEPD f1/V3wu4vlQq7PPTVx0RxyStfwgRJ+0T/UYhyFH4aDc/gfCEe+1ZrYa4ZF3fZm7t6SgIKZRtl8cX HKbOoLbds1qtjCeKLkVSKJ6n6mdDtrsmSecCVeN4SF/Pf9JRw9G2jEu2EcAE7ClQIIifCHSDVrPZ pL7Bk+i3LOnTUjfxOBiUb7xN1LY7sYQuOx9E0wly1Ze6X8vESxzYi+A4WpY4dN4yTheZEbbo9/Hc ZI5Qorx43OQh7HVjViWZeJqWAQsl+voTgfYYx762ZiISoaZNnDWIeAeBdEpTwHnAniSWmwNjMJ8s TRAG+j5OWeUn4+KfV5C1T/oJxddLaNqs4w93pdMpyTvSunSibXNZkAB7qjDrOxBV7drOJPFFa/wt EwzsiUmhCKfAyy9PisMrkFXk9MgITwmgRJVT4mtx3epCAPnXfQTbsH5MVhr54y/9XcXmo8m+nwHV 9saudgBVPc2v4mmDYw+CSr6z9CsFEGBftjlYonk/SmPZu3V7dUE4lmitD8OIGJ81+3pVpMeTiLsE DZbEbGQmm104O/DUr0XhmQkIC/XgSCN/zNzwNh6qIen+KcJG+Obpf3syUse3pfFN0xsp80mVg5UX YkmsMaN1iUu6j631IqXg7H/Jk3IzJ3mrLJTsySFj1gczBUQe5jn+fiH/XyISqzaF+DUX/+qpp8N7 5qhPFm0toJ0iSVb5RU9z7tkUbSrTEXKliXk2WdD5LtRxXfM2N07jTRJJ2wlKmP/qCa8+Dilr4ukP nFnfmjQTgutrPV2b1aCriFlODZRa3Qjq3EmWqiL+pI7tWy0RDlXv4wStfq0nZKxDnNAZmK0+GIcF lXn8QMno2bjVgJ1lKU25CdB4CfOXPJVTNbutOu0v7MgES4a4kAYD9//m6b/15N8+gsoMkmxnyfEz cMn7g0JuY9F2Te2g4e5dTVLsOjH3X/s2RjUl8OXk9sBnzMn8y4b3ZY7xLnJP3Wiw74QFwtpLZLPX uZdkv0cKyDh0ZXhqfbQQRabfhpZ6spcJ1MaQUUwLlM1YLY+gH8pjxhbfGyFOPLdlZi7PWy6Zb8ZJ M5xGwuBwtWhQ2nD+Vu29J7kUDitT4NB/d7N4bM2EUMUjfQoSswbsKYC8pwK7NSkqUN/nOgH7WFI1 3LjQ7EaoII+kAH7nHXtPb+hFgvpJeWMi58ilrspyypLivnQJyKDyDDKdx8jMT2Lp9kDoPQJQZmRQ ZW2zuY0ooplEDr672zHdtoA5FxxT4hub9LHx9BTCd09m6TwS6rrAecCe+pGEa5Aw9GYJRMoT6h+h ODC7d1LjRurpcp808zFU62onxs5l8bSxNY/ldXRgDuPygT2R4k9F2xXXXphEN8Sp91+iAntC0/kR 6cO+KJQtN7UlquvvFNWUiGa+aaOHpdJJj8Cw0gvsKcjuiFUShLxNN4h7kqqgIizAbUENBZtz8REb 3nxcvrh9kqWxLYYyjDCs6hRhuElCzDAdzbL7nKOuxV4wFgZuFvx0YBOzpjCTv2KsygDFYkdYB1Gt fc8x03KvgAHz7uO0wr27wiHaSNj75n6HZxkjMew3T//bU+Xk7LaEe7+f6HKuGhwOu44OdVXdJlfR c3KlWzNCQ17uS57uvPt/pckMx343IMwB2H7YEDc0EvSUnTnqOsm+/FVyvvNaT+TEMZdnF5s/Eq/B cQfJaCBVV3slBDubboc7zNh1rSPBXvKkEntVcHTjkRCYMY5slzmgn5+U2Gp8PtfTvdGxMtM5dfiv npQcMXZtYPoJRSqYkG1p4qde6wmenqWJYWXQmd6KQSODlOmWO5sspWvdYMa8Gs0vie5A/bWevNpP +d8T+Zi+x9fvJZ4Z9TULWpEKkzcEsOeAfMAsWUN5yVOay88ehnyJApI57FJ8bd8j4P7fPL15+n/p STKAPRfdBr6b5P7uubT58fc9lZZiqdlzI4uO7GzPz23Jv+aJGTdbdck+4qWY4OcfjcvLKBfgPBmQ fQ0Nq6KsgC1A0Nj8rGblqDg8joe3jtdDGe4C7Kke3XeZQCvg4cL1eTHWcYQM2FMrpEdlqn5qXmA1 eQc6dvS1qzzZ100su8/2A7gaYcZyrsCeuEDuTgfNQyvy8KS1Db0TVKqlPyhUGSTjjK+gk94Qdf82 ZAZsXeCSajY2nr17mlvpArcE+ZGCThCQ2ffMEwL5U9Nx6qJ4Tvceq0XrDzae3XGG3G4G95MYBTp0 rJPUU3uDWIQt/+0tTE7c7NnOU44c9iKx9+TmFdVE7eTiJ2ueo3TKznK0e8llXrcTr4+kmAeIlMCe kpJYVDDyJGSXqAr1N2Esf9OQoPBQrIlT1agTPcOctq09T2K+74mQLIq+8IEaEC9CT3aJ36LoCNg2 XhqJ3tkRwh1d+Ci9YFJu6O/2o70NnbYVzKUZdgOQAgKb7XQmbrJcYWd0LvQ7wlThqRacuh03jYMk axRm7t5655tdCTN8jh8osCeSYj8/BJMD8ETc6IthfYSazi8kbuhwXyLHYA8AB8w8ScD9+9QE+n+Q pn1WSQyxUjhb+Ma216j+s2txiCIIMdeqHwCJtJFsnB+p5MpKDLklwd4M8ebpdZ5c4/oAFEv+1wI8 PXHe5/PDr/VUfKwsnOgQr0SONhmoN6fF/bNuGQodOYHssWGSnFbOg/S1nvqg0FIby6snv87nK4yu HrZb9gfQ1oP/Gdv8htPQOx7y5SVPCRuHrDESO3h90laAJoTt2qAvdI7R+YwIQ/NwvSk6SRKv9USM AT1q6aFo4j6Q1r9P6w8AznvJk4I+X9r1dEy9sHG7kBdXezJR3115XaHVpkourK/XDgHjv3qq6F84 fVrBm+bFAZmypp2ye8kTkfSNlridHR63/BV9ibXzJk3ljo0YyVOwx+DACWWyEt2bp//WExe7RYKn q9TV05hPgk6LAobFD7H50+xWweiI7Tr1DFNwYE+i7wQe8MiVxjIBIYcENNIOOXc314egzZ2mQWt5 bCtxOG2/KS5QHC2XSUcFO/btfSWBPe3vSVVfqVSvUWYzjjcjsMcDe5pFwWdOVHdL5+3LWbvQBAnh fkJUwRO3UrvPJx+9r8LtAfYEZydCV7Q5nbejvbcEmFplUkaU3Ff/kU6XL9BJ1IMrni8VbeOtqQnR nuAkzzv42e+5OyMk8FGzw7W09t3uqu+OqH9/DaVyCpRfm3W3rorrCePayvs/W8e9dJm7smALyVbP WMgS7xYdGWhdBt3+jCs9gxI889WnMvBE02+gy/KRT0vj+0gNCbRpYiEJiEDTa/V0AntiqHQ3WG+o xA+nb6+NaI4xuMRX0wIYHc7r+ZJJwR7iaKiNHf1OrsihSlKI2mU0al0NvZK3/jVC1zARxP9dczlv UaleS16GXXq7YiR/7qL6l6VyOcKYXcnah1PLX5J5Hth0ndJR59zotlN9NYFcDEdW66Ziimypk95Y F9AA01BHUyRgT02oxxR9ZzJ6+j483kLS3G6OwwPVmfnFnpUM8I4a0s87wP0TVY3o5cPSXtSzTJdi RKJOxvySzj2liO3w+2OfGpSqo8VjSLk4+uOu0sMd3vNLPd3Tm6fXedqkq5FfwoUFHLjtRTfqrP98 rSerDRka6c/KYGmEgTYdBdgigqnMxN1JJ3LiNE2hmTeC7q/1JGnz9aQXWQOeLfLGnKSKlVhfSWmV q31GOMZIugIFi7nhJU9faB3WPOWq5VO4rWF1lfWtDhZJz3sFQUp3lc5sduIK2F/rSd4Y1cDEllxf J8O+sIV0IBo47yVPnjqdG900zcyiYBQK4hEbdrFmaNmc3FWJx1aCSzlBqn/tK3utJ14ud8+WTyrt BLZwJKUJ4ycveUoQtLxBqN5tXqXIxEnYeN69kuXBUDSJ+cIjgiqaKpxQ/ubpv/XUPLkfrgexXkuP nsD09TnDbJL401btENVBkAevepW61V/n8Ta/PzL0WzcOSSMNjFSYOhMKAoOLjfBZ1CJo2jST38hm GvPgDTl2LdaoKRD4lUVdVIA9Bejt+OcEMA7DZ13L3WWF/PU9mDSWuuhh4Iu1trDOPfMQ2g/1CPxP Tp18w26BeBaef9bNgT3xosoc2PoM1ClVrFWviFCQ7+ZuS8a9v/jaQGAnII+kqJGbS638XZakG9qz Q2t+VIsPfKe6cjGhvJNmSztQQueoqbEjIFxMk3O5ra/qRPkoewu6aoGxlkHg80yx6+zQA/w77irb WHYf8hL4IX5Zphy3MAFYSm9miOf3hxxpVTzd2gvjqTM9CCgGBdQERl9tL1ScgT1dCUPEcYTUDUxp kbXUiUPlR/m3cmZy9YXFgic9z+lqeTS/T2Z9aIqKlsWHFVcmyFWOFf/2h7H6Li4+FL9foNQ8gaAH oWatBv9nKu5JD+ks7c7C47G+SCj13FzJoUAcVXRdOBoqJCsHYSdj6T7AuttkN3/UBTnDDbJj1T/k 1/zQSPJf859CH/Zo1hlBcgv45JRSMzTcjIWzN+5gnlHuEhbB7pVcgfuHzWZBQXELvjlXRQ0BB0jM 7pK4wN8YlFJbNBpVBCde9u90QhzTLHq12jBDvx8XXfN/8/Q6T0TKbBb5jJL+WdNTY/Xtvk6v9fRd fwOR6GYY0/j4vACyF6B/Yi+D6RNwmLGSVZX5SGTe9VpPq07qTc8oFgxIoIkx7mFrYSBkWrj2C4dM xUJfstwfonFf8nTWWYEwFUriKwAtZTgJT5hGFTh7NOXqQawE0rBym0lG+VpPJfEwkCORjmLGvHCA OiUYZ+C8lzyxnaDXfjaA7EOfdu/qaltp5QYRfdxD4owaGQtQYssY+Ov73Ws97efzRRwH7YyXGUFC cIWQzL3kidWm9MMHv0WlJy0QxhQfrPtqC/rPkXxr5PH+soJuBM84b57+W0/ukith7TOf7BHoaI7b DGK8nivhvR+tkqr7uOsDUOP4/9pHvYB8OspfnlRsPoL3OOU1RqlIpnSnuYd8zxgSpv/caDMm30L9 fPuEEZZTkHnmOZCICOyJDmAX/L0+ZH7WiPiOCgvq7q/z03Ay+ukiNx0oltaWlyIvAqiMl3Yf3wqY HEmsLevXCVFgT/l6mfZ9DJ6i84TarLMpKovxprv0fC15wQr1UDtl8JXWNWu/wC5q6/Ac+fs8ggxO fxmDbwkqUBWNnDmiDnNTC7nQt5e7GoM++XfSuwlc27jkJkycIpbcpp7B4bQc5SdjUqKNnfB2LkLX YdBJwdevDy4dG7i2zvPlecVvRsHQZjy2tZj2plwjJzqJXfR9l/OEWwP21JRBY/BgmX7zHdBluJ8K y5jtHWpSlBWMATUsQYUtViLCua+WloaXgSNxFGHS5lPS5PknrgoenuVZakbkkS3pEh49rP/0CkG7 0VwoTw8Uodh62GOhrsWmcbf1spXK9aGebR0D7OZgx0OxPg+kfK514vQplXxXBVMDfB7KDm/5cL4A 2BNIFRUphZVRRNBh5Sw6eXsg/XIDPzXSRA926lnbCrcPMnD/iPagVUThmiM/DhRits7V9DWCv0v1 jAcmfWgS6EKHqGlQT1dQtj995pmpoXUMHwVkvHl6nafoToEqLnfOIjfzmHeo4/egr/VEAleXO7c3 G/duCF/GrAwEy0L7NhqsV2ha491+Rb8g9PhrPYk+99izXQIMYbmOAQRPdTorDwsn9D/pZhaRnGPT Flj+2n8H7Mm9N2b8NDe9h89GwoOw4QIMHDYn827JJ8Em8P0ZpBmb+2s93eAZPxbmeuiohZJrHq2p 3wDnveTpTpwluPmn9MmscjbMHXdssz9tQQwez0idFJEuWQ7OyuZrPaXBd6HQwdgYuw3C+qUc5z3e nrIkX3q/Y9IiwdSmHduVf8nTNjGlqLtGqpv+5dpHDOSUNlyTUvfWjUPhHz5LXlwe0mtvnt48/f/k KWzf8UwTTY+5GqEYFMlP42qa0mztvJrwMz1p5x4bu/YhsKfff/QDEsdDOzxHu9IoHf7oSZl0K9RZ ERv8UMXwPdJaNU7+jWAU+Rv9wc2Bhn0suYaKzhUPDWM6ksxB395kq+Sb2nDQfc/Y9+fSCNZeZtT7 tcljMSk0dZoCOS7LIwYaYQHQuyy5TKfAhjDUljmK9+7UloPNXZ8MWtiCPUFb0o3ZIPaBPVnWYkNn 96B+t4+ar2S/YSp2hrKDbMzUDjz1+CHP1vg8mXHWx4QNnojCza6YLWN7Gv+AW7HshYb1nH4fTo3C 6nsved/eJt8Ou8WETW18lI8ErkZXvhy7ZhLDgBlD9it04fcODeJwGMywVFISDjIqUj8EcB6wJ1x8 WHQYXYw6MrePF02VvocE4f4eTbCbwpQNW+ffdc9LFyzghcXi6aRwCu1i3CfTe4A9+YjGfGrlAZzw qaoLWYYTDwJ7UuVa8jyYhEzm1lQ+2jIN5MtA+FGnppi4wPgg3G2+7/DX/CdMKCPtskyWy2uICrqF bj/teQ7649a9BzVjZEsDCaZM2Mxqxf5nh+ErBQi13jV354/YbU/dx4fQv1KMc3QQZWY5TIPWH9zv 3z8a9P6IkMGizVPw+gXfN3rzDRpPxUU3u0jA9oTUedPcVYgp5MsTI863ozdP/9sTh8qyEx/BH7Co oXD1yfL2ytXbYpDE3JrUmxLsc31+DI+XPH3YM9wfH3JSHt+bKfPlDkVr8I5c2RmhjI0Xu6S6qsHD /VdPyMXmbMo/6qNpGlbWEtkHh17y9MO/UFWVEep8fz9uIY86clq8jqYoiMwUn3B38LM8jf7Gv3oy hwguJrIb6ux4IIH6tW/r+FpPXr++hTRxD3FnsHWeRInXqBjbsjpjl5hprKoC5EoH9kJf6+nBry7J Slp/CXvNaOD+fcZy3OxmtIkVk1lnS0Zu3nGX90ueim+7GOAAWGGgCBRxVDJhLsD9v3n6bz3Fl3Cu CH9zdKYl68Tco9cPGumy2vtcviQQhx18j6WX1gjsafCj0JgolkcImwNzp7QWpawdN8KGh+AM1S9z 3NNB7doCwhFlhN0IuPqfsxmBAQDJzNO0NKHAqsw0jhF5/dyCPUJUExwEd7anL/IpIA+QeZVI5O8l DmBH9VOyD1ajNt2PthJ/N+ZSwQQOkIGboU7ZD/zOaLWf+7SPDOHw69co9sw3NmBPynw/vOydvCFl idqTD7715HlnYWSDSQB+z/chs6+TJKc6CC3v6digasJw01D6Kly5GyhU81vAh81ja6NBdbjUOwWO t+z+CbgQiFCUsMllmQo7LBtGRyY5tWFdHdjhUoMnXunfzwNjBDQtCt5cGy+eWQHnAXt65FTAcScV VifLXluPaQtA7OAuOLAks4KiPN98ouIOmxkijNvxEXfpwJf4teyXt+4N7Imb/cDzqC99aJ0gLW6G bHYW2JPEk7D+OEiTISLpPeI46/j0XKsXggNi4P1DMKJruPiDE7CnS0Nphac5mpvegmO0myIRxMKo z7lKVodlmWnXSqozJq0OoOibYsJ2fqmjUdY5IEQIRXaYes/POxfKrVRTgnQoDU3yxbsKiTgSEgOF T94bB48h9tkj0RCIUmiopz777gkObCucsGgx2V2mxAYgiC1sl2+e/rcnJeEJBwVkJmM5zm5yWKXg dcx1ZWkSJpaLfSTLxkfMZfKXPIW2MJYYS971XzAJ1xPolzwso1xAB2V+sYLc0IVRiB3/az/naz0p J338rohxiC0bzqp0555t8ZKnES5JHZSCQlE/XypSVdtlIa/2JPMAeBWrw4nng0i4yg//6omY1Jkt 7oBXaiKXGoOcnFz0tZ7Y+1uW6LJOW5d4/UoWQmm7VKdEY3qX5dproKUVFB4jZ1/rqeGQbSDOsoP9 slPNSu3hiIYIBHXGzj4hrdlkGwFjfU37JU8YzY4NMH5k/tEWpok9/fEZwP2/efpvPU2ZJutLniUS zqGRi1QS9UfFrV45RZRi+04AqH62bfEtAHvyLe8GY4xEThnPXHXNEymVZWBB3tvzDJ/+Wf60PVXK 5oJ7hke9Cu08fAtw0PRNA//FfF3lTWPbQwdqE3ykwl+oidOkRm/dVs63kwU3ywX41pD3/omAfSV/ +o8eTlUAACK2YT8Le45QdtKjVkvN0bi6Pp6loRldGYX8WD6q5ZK4ewHYUzsbrKkdzO/ezd0M68+B cOAfTIqT7RulUY38ioocfmVT0khsq7m7SUFF3syccH9N2Wln2Czlind4Unps6qVg6zbrzCwxpmqM D3WYrVqOE6VhacNho8dw/4FMGTuFH6U1MDTFXUMVyzk1lAwS/IUR7j0qcB6wJ/TbfOOTK36a1sH5 /l3mTVt+8JqBnw6hY74FgZlEYiKYu16hpILx3IiVwkzYJ5Q9HMCeIFTETvtVyMYVRki68DhE/5r/ xJCiYoHdBy6I+ETbB8BFtg/ecPp0d0uGk3bqB57B7Q0K7AmKLWswF/3A0Dr2ZhaXSD0DkeR4qzLo U/8u33c9IUzcRLlkGtJ3v5R9k4lZUI0KnQCXI7C+5MQ+6khOzZonfZdgdRZlhgRKIiwkqKhxc8Oj nfKr/eRzxpIuiGjCXVQQSYy0uezeBF/LKjHmDz2iLR+l3zz9b0/tT2x88jxCiUr63yfyKLKTdwl5 s1jNlcvgviQgGG/gk73kScWWZhEU7GhAMEGxYbq/WTeT+YBdBb3UBj79rgstP9XjXz19f7+OmMHI aw+i9f6jM42a4EuecOy9H9/hxTM7y3fcCuprxPuZMB+g+PISzKB0nGgQSUD/q6ehiPa19kJcyDDe yd4/5x0dr/Uk9emeR65kICafSRN+cy3otE/LPov9Cza7BK4m+3dYvJPXeqKQuoG/9/5GP3j2lO36 yUDWcGS8OaaFPHqIjdQM5gjur+cdsCfIRCkFbWc2AlBLyQcpgwQU4P7fPP23nqr2G7g50eVG2D8o Q5utg0tskAdP1Nh5xMigBs+NFOkjAnuyWEeLWiIx9yGg313Uq4jFtRFRMlAtYyBeLQjhXg1KF8wT IddX6/beChCXI2SdnNq+fOdJ7JWgpAFVuB8Nxb52RrbzYa6w0MHMIQRfLt3E09HWDWO1MD87Jg0t 1sf9aqs9Hb58kE06njq2N3TZCQ6qHvMpUIl8jhgdDXenShOuVBLYEw7hGQfXqZ4Np1zZuhDR9J1q BbtWXzME+XZwuahyMMnDDJ4Y6kfQGrEsmrTcZhCp/PoSZ2WjiyicuRA2/yPr0AHiI9QvJ5Z3sZip 7ylXn6+zxDyhc2gMh6fkUU2jUyK19VPTGnYbaE20ZH3clFFyOVyB84A9Wf3YFP2z5DwyqsMZegOZ UVYjbdFPax+aRGpC3j7JYzt4BLuKpm7vGo2paCrIL1KfDOzJXzmT7jNo+AAMz4MUX/T8HrCnLpKS ZUaeuyzmvfj3HHXNIuMXLMKM7VSYlqP7uNXfA7mBPekYhyySNZyOqNvLdKQ3WfQqHUWXQJjncHRe hhE9ng1q1bgsmrp7fzIyady7Lk/7BYtf5BYaN2LVAKXgnRfAlAiFzmluc+1IImwPgQceX9dqP0O0 g++oZxuYasouaZpriWAY6Sb408TiHVJNGcSC6O4usKeDp0P5PoJ+RdFgOd11e9tkFq67rmyxmzj4 3rAckCcRm/8DUEsBAgAAFAAAAAgAAAAAABTW4VsFAQAAAAEAAA4AAQAAAAAAAAAAAAAAAAAAAHN5 c3RlbV9pbmZvLnBiAVBLAQIAABQAAAAIAAAAAAAcSNmilQcAAJAHAAANAAEAAAAAAAAAAAAAADIB AABlcnJvcl9kYXRhLnBiAVBLAQIAABQAAAAIAAAAAAC4EleVz0oDAMDUBwAJAAEAAAAAAAAAAAAA APMIAABudmxvZy5sb2cBUEsBAgAAFAAAAAgAAAAAACBw7ncSAAAAEAAAABMAAQAAAAAAAAAAAAAA 6lMDAGRlYnVnX2J1ZmZlcnNfMDAucGIBUEsBAgAAFAAAAAgAAAAAANzlIAatNgAAoDoAAAgAAQAA AAAAAAAAAAAALlQDAHJtXzAwLnBiAVBLAQIAABQAAAAIAAAAAACTpbViJLoBAMDUBwAQAAEAAAAA AAAAAAAAAAKLAwBudmxvZy5ncHUwMDAubG9nAVBLBQYAAAAABgAGAGkBAABVRQUAFgBDcmVhdGVk IGJ5IE52RGVidWdEdW1w ____________________________________________ Skipping acpidump output (acpidump not found) ____________________________________________ End of NVIDIA bug report log file.