____________________________________________ Start of NVIDIA bug report log file. Please include this file, along with a detailed description of your problem, when reporting a graphics driver bug via the NVIDIA Linux forum (see forums.developer.nvidia.com) or by sending email to 'linux-bugs@nvidia.com'. nvidia-bug-report.sh Version: 29275229 Date: sâmbătă 21 noiembrie 2020, 21:11:46 +0200 uname: Linux mylaptop 5.9.8-200.fc33.x86_64 #1 SMP Tue Nov 10 21:58:19 UTC 2020 x86_64 x86_64 x86_64 GNU/Linux command line flags: ____________________________________________ *** /sys/bus/pci/devices/0000:01:00.0/power/control *** ls: -rw-r--r--. 1 root root 4096 2020-11-21 21:07:44.523612702 +0200 /sys/bus/pci/devices/0000:01:00.0/power/control auto ____________________________________________ *** /sys/bus/pci/devices/0000:01:00.0/power/runtime_status *** ls: -r--r--r--. 1 root root 4096 2020-11-21 21:11:46.079754179 +0200 /sys/bus/pci/devices/0000:01:00.0/power/runtime_status active ____________________________________________ *** /sys/bus/pci/devices/0000:01:00.0/power/runtime_usage does not exist ____________________________________________ *** /proc/driver/nvidia/./gpus/0000:01:00.0/power *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:46.087754228 +0200 /proc/driver/nvidia/./gpus/0000:01:00.0/power Runtime D3 status: Disabled Video Memory: Active GPU Hardware Support: Video Memory Self Refresh: Not Supported Video Memory Off: Not Supported ____________________________________________ *** /etc/issue *** ls: lrwxrwxrwx. 1 root root 16 2020-10-14 21:20:00.000000000 +0300 /etc/issue -> ../usr/lib/issue \S Kernel \r on an \m (\l) ____________________________________________ *** /etc/redhat-release *** ls: lrwxrwxrwx. 1 root root 14 2020-10-14 21:20:00.000000000 +0300 /etc/redhat-release -> fedora-release Fedora release 33 (Thirty Three) ____________________________________________ *** /etc/fedora-release *** ls: lrwxrwxrwx. 1 root root 25 2020-10-14 21:20:00.000000000 +0300 /etc/fedora-release -> ../usr/lib/fedora-release Fedora release 33 (Thirty Three) ____________________________________________ *** /var/log/nvidia-installer.log does not exist ____________________________________________ journalctl -b -0 _COMM=Xorg -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (--) Log file renamed from "/var/lib/gdm/.local/share/xorg/Xorg.pid-1190.log" to "/var/lib/gdm/.local/share/xorg/Xorg.0.log" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: X.Org X Server 1.20.9 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: X Protocol Version 11, Revision 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Build Operating System: 5.8.7-200.fc32.x86_64 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Current Operating System: Linux mylaptop 5.9.8-200.fc33.x86_64 #1 SMP Tue Nov 10 21:58:19 UTC 2020 x86_64 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Build Date: 08 October 2020 12:00:00AM nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Build ID: xorg-x11-server 1.20.9-1.fc33 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Current version of pixman: 0.40.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Before reporting problems, check http://wiki.x.org nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: to make sure that you have the latest version. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Markers: (--) probed, (**) from config file, (==) default setting, nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (++) from command line, (!!) notice, (II) informational, nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) warning, (EE) error, (NI) not implemented, (??) unknown. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Log file: "/var/lib/gdm/.local/share/xorg/Xorg.0.log", Time: Sat Nov 21 21:07:46 2020 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Using config directory: "/etc/X11/xorg.conf.d" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Using system config directory "/usr/share/X11/xorg.conf.d" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) ServerLayout "layout" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) No screen section available. Using defaults. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (**) |-->Screen "Default Screen Section" (0) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (**) | |-->Monitor "" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) No monitor specified for screen "Default Screen Section". nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Using a default monitor configuration. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Automatically adding devices nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Automatically enabling devices nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Automatically adding GPU devices nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Automatically binding GPU devices nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Max clients allowed: 256, resource mask: 0x1fffff nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) FontPath set to: nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: catalogue:/etc/X11/fontpath.d, nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: built-ins nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) ModulePath set to "/usr/lib64/xorg/modules" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) The server relies on udev to provide the list of input devices. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: If no devices become available, reconfigure udev or disable AutoAddDevices. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loader magic: 0x564b4646db20 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module ABI versions: nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: X.Org ANSI C Emulation: 0.4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: X.Org Video Driver: 24.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: X.Org XInput driver : 24.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: X.Org Server Extension : 10.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (++) using VT number 1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: took control of session /org/freedesktop/login1/session/c1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) xfree86: Adding drm device (/dev/dri/card1) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/dri/card1 226:1 fd 14 paused 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) xfree86: Adding drm device (/dev/dri/card0) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/dri/card0 226:0 fd 15 paused 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (--) PCI:*(0@0:2:0) 8086:591b:1043:1970 rev 4, Mem @ 0xeb000000/16777216, 0x40000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/65536 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (--) PCI: (1@0:0:0) 10de:1c8c:1043:1970 rev 161, Mem @ 0xec000000/16777216, 0xa0000000/268435456, 0xb0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/65536 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "glx" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/extensions/libglx.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module glx: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org Server Extension, version 10.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Applying OutputClass "nvidia" to /dev/dri/card1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: loading driver: nvidia nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Matched nvidia as autoconfigured driver 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Matched nouveau as autoconfigured driver 1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Matched nv as autoconfigured driver 2 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Matched modesetting as autoconfigured driver 3 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Matched fbdev as autoconfigured driver 4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Matched vesa as autoconfigured driver 5 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) Assigned the driver to the xf86ConfigLayout nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "nvidia" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/drivers/nvidia_drv.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module nvidia: vendor="NVIDIA Corporation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Module class: X.Org Video Driver nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "nouveau" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/drivers/nouveau_drv.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module nouveau: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.8, module version = 1.0.15 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Module class: X.Org Video Driver nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "nv" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) Warning, couldn't open module nv nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (EE) Failed to load module "nv" (module does not exist, 0) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "modesetting" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/drivers/modesetting_drv.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module modesetting: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.9, module version = 1.20.9 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Module class: X.Org Video Driver nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "fbdev" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/drivers/fbdev_drv.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module fbdev: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.8, module version = 0.5.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Module class: X.Org Video Driver nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "vesa" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/drivers/vesa_drv.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module vesa: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.8, module version = 2.4.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Module class: X.Org Video Driver nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA dlloader X Driver 455.45.01 Thu Nov 5 23:01:05 UTC 2020 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NOUVEAU driver nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NOUVEAU driver for NVIDIA chipset families : nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: RIVA TNT (NV04) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: RIVA TNT2 (NV05) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 256 (NV10) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 2 (NV11, NV15) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 4MX (NV17, NV18) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 3 (NV20) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 4Ti (NV25, NV28) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce FX (NV3x) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 6 (NV4x) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 7 (G7x) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce 8 (G8x) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce GTX 200 (NVA0) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: GeForce GTX 400 (NVC0) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modesetting: Driver for Modesetting Kernel Drivers: kms nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) FBDEV: driver for framebuffer: fbdev nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) VESA: driver for VESA chipsets: vesa nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: xf86EnableIOPorts: failed to set IOPL for I/O (Operation not permitted) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): using drv /dev/dri/card0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) Falling back to old probe method for fbdev nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "fbdevhw" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "fbdevhw" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/libfbdevhw.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module fbdevhw: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.9, module version = 0.0.2 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (EE) open /dev/fb0: Permission denied nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 226:1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "fb" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "fb" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module fb: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "wfb" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "wfb" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/libwfb.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module wfb: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "ramdac" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "ramdac" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module "ramdac" already built-in nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) VGA arbiter: cannot open kernel arbiter, no multi-card support nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Creating default Display subsection in Screen section nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: "Default Screen Section" for depth/fbbpp 24/32 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): Depth 24, (==) framebuffer bpp 32 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): RGB weight 888 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): Default visual is TrueColor nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "glamoregl" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "glamoregl" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/libglamoregl.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module glamoregl: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.9, module version = 1.0.1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): glamor X acceleration enabled on Mesa Intel(R) HD Graphics 630 (KBL GT2) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): glamor initialized nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output eDP-1 has no monitor section nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output DP-1 has no monitor section nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output HDMI-1 has no monitor section nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output DP-2 has no monitor section nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): EDID for output eDP-1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Manufacturer: CMN Model: 15e8 Serial#: 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Year: 2016 Week: 33 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): EDID Version: 1.4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Digital Display Input nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 8 bits per channel nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Digital interface is DisplayPort nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Gamma: 2.20 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): No DPMS capabilities specified nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Supported color encodings: RGB 4:4:4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): First detailed timing is preferred mode nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Preferred mode is native pixel format and refresh rate nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): redX: 0.648 redY: 0.338 greenX: 0.313 greenY: 0.600 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): blueX: 0.153 blueY: 0.050 whiteX: 0.313 whiteY: 0.329 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Manufacturer's mask: 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Supported detailed timing: nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): clock: 152.8 MHz Image Size: 344 x 193 mm nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): h_active: 1920 h_sync: 2000 h_sync_end 2054 h_blank_end 2250 h_border: 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): v_active: 1080 v_sync: 1086 v_sync_end 1094 v_blanking: 1132 v_border: 0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): N156HCE-EN1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): CMN nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): N156HCE-EN1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): EDID (in hex): nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 00ffffffffffff000daee81500000000 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 211a0104a5221378022675a656509927 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 0c505400000001010101010101010101 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 010101010101b43b804a713834405036 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 680058c110000018000000fe004e3135 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 364843452d454e310a20000000fe0043 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 4d4e0a202020202020202020000000fe nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): 004e3135364843452d454e310a2000a2 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Printing probed modes for output eDP-1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1920x1080"x60.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): EDID for output DP-1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): EDID for output HDMI-1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): EDID for output DP-2 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output eDP-1 connected nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output DP-1 disconnected nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output HDMI-1 disconnected nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output DP-2 disconnected nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Using exact sizes for initial modes nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): DPI set to (96, 96) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "fb" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "fb" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module fb: vendor="X.Org Foundation" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): Depth 24, (==) framebuffer bpp 32 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): RGB weight 888 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): Default visual is TrueColor nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): Using gamma correction (1.0, 1.0, 1.0) nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "AllowNVIDIAGpuScreens" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Applying OutputClass "nvidia" options to /dev/dri/card1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (**) NVIDIA(G0): Option "SLI" "Auto" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (**) NVIDIA(G0): Option "BaseMosaic" "on" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (**) NVIDIA(G0): Option "AllowEmptyInitialConfiguration" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) NVIDIA(G0): Invalid SLI option: 'Auto'; using single GPU rendering. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) NVIDIA(G0): Base Mosaic is available only on screen 0. Disabling Base nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) NVIDIA(G0): Mosaic. nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (**) NVIDIA(G0): Enabling 2D acceleration nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "glxserver_nvidia" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "glxserver_nvidia" nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/extensions/libglxserver_nvidia.so nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: Module class: X.Org Server Extension nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA GLX Module 455.45.01 Thu Nov 5 22:58:18 UTC 2020 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA: The X server supports PRIME Render Offload. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): NVIDIA GPU GeForce GTX 1050 Ti (GP107-A) at PCI:1:0:0 (GPU-0) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (--) NVIDIA(G0): Memory: 4194304 kBytes nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (--) NVIDIA(G0): VideoBIOS: 86.07.3c.00.65 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): Detected PCI Express Link width: 16X nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): Validated MetaModes: nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): "NULL" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): Virtual screen size determined to be 640 x 480 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) NVIDIA(G0): Unable to get display device for DPI computation. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): DPI set to (75, 75); computed from built-in default nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "nouveau" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Unloading nouveau nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "fbdev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Unloading fbdev nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadSubModule: "fbdevhw" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Unloading fbdevhw nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "vesa" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Unloading vesa nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): Backing store enabled nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): Silken mouse enabled nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Initializing kms color map for depth 24, 8 bpc. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) modeset(0): DPMS enabled nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): [DRI2] Setup complete nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): [DRI2] DRI driver: iris nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): [DRI2] VDPAU driver: va_gl nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) NVIDIA: Failed to bind sideband socket to nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) NVIDIA: '/var/run/nvidia-xdriver-07d4758a' Permission denied nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA: Using 24576.00 MB of virtual memory for indirect memory nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA: access. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): ACPI: failed to connect to the ACPI event daemon; the daemon nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): may not be running or the "AcpidSocketPath" X nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): configuration option may not be set correctly. When the nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): ACPI event daemon is available, the NVIDIA X driver will nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): try to use it to receive ACPI event notifications. For nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): details, please see the "ConnectToAcpid" and nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): "AcpidSocketPath" X configuration options in Appendix B: X nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): Config Options in the README. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): Setting mode "NULL" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): Disabling shared memory pixmaps nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): Backing store enabled nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): Silken mouse enabled nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (==) NVIDIA(G0): DPMS enabled nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading sub module "dri2" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "dri2" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module "dri2" already built-in nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): [DRI2] Setup complete nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(G0): [DRI2] VDPAU driver: nvidia nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension Generic Event Extension nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension SHAPE nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension MIT-SHM nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XInputExtension nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XTEST nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension BIG-REQUESTS nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension SYNC nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XKEYBOARD nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XC-MISC nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension SECURITY nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XFIXES nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension RENDER nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension RANDR nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension COMPOSITE nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension DAMAGE nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension MIT-SCREEN-SAVER nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension DOUBLE-BUFFER nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension RECORD nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension DPMS nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension Present nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension DRI3 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension X-Resource nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XVideo nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XVideo-MotionCompensation nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension SELinux nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) SELinux: Disabled by boolean nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension GLX nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension GLX nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Indirect GLX disabled. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) AIGLX: Loaded and initialized iris nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) GLX: Initialized DRI2 GL provider for screen 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XFree86-VidModeExtension nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XFree86-DGA nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension XFree86-DRI nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension DRI2 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension NV-GLX nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Initializing extension NV-CONTROL nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Damage tracking initialized nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Setting screen physical size to 508 x 285 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Power Button (/dev/input/event3) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) LoadModule: "libinput" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Loading /usr/lib64/xorg/modules/input/libinput_drv.so nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Module libinput: vendor="X.Org Foundation" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: compiled for 1.20.8, module version = 0.30.0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: Module class: X.Org XInput Driver nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: ABI class: X.Org XInput driver, version 24.1 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'Power Button' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event3 13:67 fd 41 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event3" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event3 - Power Button: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event3 - Power Button: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input3/event3" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event3 - Power Button: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Asus Wireless Radio Control (/dev/input/event15) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus Wireless Radio Control: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus Wireless Radio Control: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus Wireless Radio Control: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'Asus Wireless Radio Control' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event15 13:79 fd 44 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus Wireless Radio Control: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event15" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19/event15" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "Asus Wireless Radio Control" (type: KEYBOARD, id 7) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Video Bus (/dev/input/event5) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event5 13:69 fd 45 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event5" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event5 - Video Bus: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event5 - Video Bus: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input7/event5" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event5 - Video Bus: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Video Bus (/dev/input/event6) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event6 13:70 fd 46 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Video Bus: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event6" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event6 - Video Bus: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event6 - Video Bus: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input8/event6" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 9) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event6 - Video Bus: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Lid Switch (/dev/input/event0) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Power Button (/dev/input/event2) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'Power Button' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event2 13:66 fd 47 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Power Button: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event2" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event2 - Power Button: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event2 - Power Button: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2/event2" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 10) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event2 - Power Button: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Sleep Button (/dev/input/event1) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Sleep Button: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Sleep Button: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Sleep Button: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'Sleep Button' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event1 13:65 fd 48 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Sleep Button: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event1" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event1 - Sleep Button: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1/event1" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "Sleep Button" (type: KEYBOARD, id 11) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event11) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: Applying InputClass "evdev tablet catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: Applying InputClass "libinput tablet catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event11 13:75 fd 49 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event11" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14/event11" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TABLET, id 12) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse3) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event12) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: Applying InputClass "evdev touchscreen catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: Applying InputClass "libinput touchscreen catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event12 13:76 fd 51 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event12" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15/event12" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TOUCHSCREEN, id 13) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "AccelerationScheme" "none" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: (accel) selected scheme none/0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: (accel) acceleration factor: 2.000 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN Touchscreen: (accel) acceleration threshold: 4 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse4) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event13) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event14) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device USB2.0 VGA UVC WebCam: USB2.0 V (/dev/input/event18) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'USB2.0 VGA UVC WebCam: USB2.0 V' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event18 13:82 fd 52 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event18" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input22/event18" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "USB2.0 VGA UVC WebCam: USB2.0 V" (type: KEYBOARD, id 14) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/event9) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse: Applying InputClass "evdev pointer catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse: Applying InputClass "libinput pointer catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event9 13:73 fd 53 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event9" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11/event9" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse" (type: MOUSE, id 15) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "AccelerationScheme" "none" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse: (accel) selected scheme none/0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse: (accel) acceleration factor: 2.000 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse: (accel) acceleration threshold: 4 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/mouse2) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device SINOWEALTH Game Mouse Keyboard (/dev/input/event10) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse Keyboard' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event10 13:74 fd 54 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) SINOWEALTH Game Mouse Keyboard: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event10" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12/event10" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse Keyboard" (type: KEYBOARD, id 16) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/event8) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "evdev touchpad catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "libinput touchpad catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Touchpad' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event8 13:72 fd 55 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Touchpad: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event8" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input10/event8" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Touchpad" (type: TOUCHPAD, id 17) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "AccelerationScheme" "none" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) selected scheme none/0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration factor: 2.000 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration threshold: 4 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/mouse1) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/event7) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "evdev pointer catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "libinput pointer catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Mouse' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event7 13:71 fd 56 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Mouse: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event7" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input9/event7" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Mouse" (type: MOUSE, id 18) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "AccelerationScheme" "none" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) selected scheme none/0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration factor: 2.000 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration threshold: 4 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/mouse0) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device HDA Intel PCH Front Headphone (/dev/input/event19) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event20) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event21) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event22) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event23) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event24) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device Asus WMI hotkeys (/dev/input/event17) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus WMI hotkeys: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus WMI hotkeys: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus WMI hotkeys: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'Asus WMI hotkeys' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event17 13:81 fd 57 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Asus WMI hotkeys: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event17" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/platform/asus-nb-wmi/input/input21/event17" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "Asus WMI hotkeys" (type: KEYBOARD, id 19) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event4) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) AT Translated Set 2 keyboard: Applying InputClass "evdev keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) AT Translated Set 2 keyboard: Applying InputClass "system-keyboard" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard' nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got fd for /dev/input/event4 13:68 fd 58 paused 0 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) AT Translated Set 2 keyboard: always reports core events nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "Device" "/dev/input/event4" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "_source" "server/udev" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input4/event4" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 20) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "xkb_variant" ",std" nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) config/udev: Adding input device PC Speaker (/dev/input/event16) nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) No input driver specified, ignoring this device. nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (II) This device may have been added with another device file. nov 21 21:07:48 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 21:07:48 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 21:07:48 mylaptop /usr/libexec/gdm-x-session[1190]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "41" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event3 - Power Button: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "44" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "45" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event5 - Video Bus: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "46" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event6 - Video Bus: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "47" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event2 - Power Button: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "48" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event1 - Sleep Button: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "49" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "51" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "52" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "53" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "54" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "55" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "56" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "57" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (**) Option "fd" "58" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) AIGLX: Suspending AIGLX clients for VT switch nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (EE) modeset(0): failed to set mode: Permission denied nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:69 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:70 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:81 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:79 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:76 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:67 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:72 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:73 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:82 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:75 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 226:0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:71 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:68 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:66 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:65 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: got pause for 13:74 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: _XSERVTransSocketUNIXCreateListener: ...SocketCreateListener() failed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: _XSERVTransMakeAllCOTSServerListeners: server already running nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (--) Log file renamed from "/home/laptopuser/.local/share/xorg/Xorg.pid-1706.log" to "/home/laptopuser/.local/share/xorg/Xorg.1.log" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: X.Org X Server 1.20.9 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: X Protocol Version 11, Revision 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Build Operating System: 5.8.7-200.fc32.x86_64 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Current Operating System: Linux mylaptop 5.9.8-200.fc33.x86_64 #1 SMP Tue Nov 10 21:58:19 UTC 2020 x86_64 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Build Date: 08 October 2020 12:00:00AM nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Build ID: xorg-x11-server 1.20.9-1.fc33 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Current version of pixman: 0.40.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Before reporting problems, check http://wiki.x.org nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: to make sure that you have the latest version. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Markers: (--) probed, (**) from config file, (==) default setting, nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (++) from command line, (!!) notice, (II) informational, nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) warning, (EE) error, (NI) not implemented, (??) unknown. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Log file: "/home/laptopuser/.local/share/xorg/Xorg.1.log", Time: Sat Nov 21 21:07:55 2020 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Using config directory: "/etc/X11/xorg.conf.d" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Using system config directory "/usr/share/X11/xorg.conf.d" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) ServerLayout "layout" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) No screen section available. Using defaults. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) |-->Screen "Default Screen Section" (0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) | |-->Monitor "" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) No monitor specified for screen "Default Screen Section". nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Using a default monitor configuration. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Automatically adding devices nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Automatically enabling devices nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Automatically adding GPU devices nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Automatically binding GPU devices nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Max clients allowed: 256, resource mask: 0x1fffff nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) FontPath set to: nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: catalogue:/etc/X11/fontpath.d, nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: built-ins nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) ModulePath set to "/usr/lib64/xorg/modules" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) The server relies on udev to provide the list of input devices. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: If no devices become available, reconfigure udev or disable AutoAddDevices. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loader magic: 0x5641a27b5b20 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module ABI versions: nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: X.Org ANSI C Emulation: 0.4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: X.Org Video Driver: 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: X.Org XInput driver : 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: X.Org Server Extension : 10.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (++) using VT number 4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: took control of session /org/freedesktop/login1/session/_32 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) xfree86: Adding drm device (/dev/dri/card1) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/dri/card1 226:1 fd 15 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) xfree86: Adding drm device (/dev/dri/card0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/dri/card0 226:0 fd 16 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (--) PCI:*(0@0:2:0) 8086:591b:1043:1970 rev 4, Mem @ 0xeb000000/16777216, 0x40000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/65536 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (--) PCI: (1@0:0:0) 10de:1c8c:1043:1970 rev 161, Mem @ 0xec000000/16777216, 0xa0000000/268435456, 0xb0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/65536 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "glx" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/extensions/libglx.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module glx: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org Server Extension, version 10.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Applying OutputClass "nvidia" to /dev/dri/card1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: loading driver: nvidia nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Matched nvidia as autoconfigured driver 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Matched nouveau as autoconfigured driver 1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Matched nv as autoconfigured driver 2 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Matched modesetting as autoconfigured driver 3 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Matched fbdev as autoconfigured driver 4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Matched vesa as autoconfigured driver 5 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) Assigned the driver to the xf86ConfigLayout nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "nvidia" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/drivers/nvidia_drv.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module nvidia: vendor="NVIDIA Corporation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Module class: X.Org Video Driver nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "nouveau" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/drivers/nouveau_drv.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module nouveau: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.8, module version = 1.0.15 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Module class: X.Org Video Driver nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "nv" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) Warning, couldn't open module nv nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (EE) Failed to load module "nv" (module does not exist, 0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "modesetting" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/drivers/modesetting_drv.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module modesetting: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.9, module version = 1.20.9 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Module class: X.Org Video Driver nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "fbdev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/drivers/fbdev_drv.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module fbdev: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.8, module version = 0.5.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Module class: X.Org Video Driver nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "vesa" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/drivers/vesa_drv.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module vesa: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.8, module version = 2.4.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Module class: X.Org Video Driver nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA dlloader X Driver 455.45.01 Thu Nov 5 23:01:05 UTC 2020 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NOUVEAU driver nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NOUVEAU driver for NVIDIA chipset families : nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: RIVA TNT (NV04) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: RIVA TNT2 (NV05) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 256 (NV10) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 2 (NV11, NV15) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 4MX (NV17, NV18) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 3 (NV20) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 4Ti (NV25, NV28) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce FX (NV3x) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 6 (NV4x) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 7 (G7x) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce 8 (G8x) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce GTX 200 (NVA0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: GeForce GTX 400 (NVC0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modesetting: Driver for Modesetting Kernel Drivers: kms nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) FBDEV: driver for framebuffer: fbdev nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) VESA: driver for VESA chipsets: vesa nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: xf86EnableIOPorts: failed to set IOPL for I/O (Operation not permitted) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): using drv /dev/dri/card0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) Falling back to old probe method for fbdev nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "fbdevhw" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "fbdevhw" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/libfbdevhw.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module fbdevhw: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.9, module version = 0.0.2 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (EE) open /dev/fb0: Permission denied nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: releasing fd for 226:1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "fb" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "fb" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module fb: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "wfb" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "wfb" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/libwfb.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module wfb: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "ramdac" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "ramdac" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module "ramdac" already built-in nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) VGA arbiter: cannot open kernel arbiter, no multi-card support nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Creating default Display subsection in Screen section nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: "Default Screen Section" for depth/fbbpp 24/32 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): Depth 24, (==) framebuffer bpp 32 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): RGB weight 888 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): Default visual is TrueColor nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "glamoregl" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "glamoregl" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/libglamoregl.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module glamoregl: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.9, module version = 1.0.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): glamor X acceleration enabled on Mesa Intel(R) HD Graphics 630 (KBL GT2) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): glamor initialized nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output eDP-1 has no monitor section nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output DP-1 has no monitor section nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output HDMI-1 has no monitor section nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output DP-2 has no monitor section nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID for output eDP-1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Manufacturer: CMN Model: 15e8 Serial#: 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Year: 2016 Week: 33 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID Version: 1.4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Digital Display Input nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 8 bits per channel nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Digital interface is DisplayPort nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Gamma: 2.20 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): No DPMS capabilities specified nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Supported color encodings: RGB 4:4:4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): First detailed timing is preferred mode nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Preferred mode is native pixel format and refresh rate nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): redX: 0.648 redY: 0.338 greenX: 0.313 greenY: 0.600 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): blueX: 0.153 blueY: 0.050 whiteX: 0.313 whiteY: 0.329 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Manufacturer's mask: 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Supported detailed timing: nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): clock: 152.8 MHz Image Size: 344 x 193 mm nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): h_active: 1920 h_sync: 2000 h_sync_end 2054 h_blank_end 2250 h_border: 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): v_active: 1080 v_sync: 1086 v_sync_end 1094 v_blanking: 1132 v_border: 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): N156HCE-EN1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): CMN nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): N156HCE-EN1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID (in hex): nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 00ffffffffffff000daee81500000000 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 211a0104a5221378022675a656509927 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 0c505400000001010101010101010101 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 010101010101b43b804a713834405036 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 680058c110000018000000fe004e3135 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 364843452d454e310a20000000fe0043 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 4d4e0a202020202020202020000000fe nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): 004e3135364843452d454e310a2000a2 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Printing probed modes for output eDP-1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x60.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID for output DP-1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID for output HDMI-1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID for output DP-2 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output eDP-1 connected nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output DP-1 disconnected nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output HDMI-1 disconnected nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output DP-2 disconnected nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Using exact sizes for initial modes nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): DPI set to (96, 96) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "fb" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "fb" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module fb: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): Depth 24, (==) framebuffer bpp 32 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): RGB weight 888 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): Default visual is TrueColor nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): Using gamma correction (1.0, 1.0, 1.0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "AllowNVIDIAGpuScreens" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Applying OutputClass "nvidia" options to /dev/dri/card1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) NVIDIA(G0): Option "SLI" "Auto" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) NVIDIA(G0): Option "BaseMosaic" "on" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) NVIDIA(G0): Option "AllowEmptyInitialConfiguration" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) NVIDIA(G0): Invalid SLI option: 'Auto'; using single GPU rendering. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) NVIDIA(G0): Base Mosaic is available only on screen 0. Disabling Base nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) NVIDIA(G0): Mosaic. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) NVIDIA(G0): Enabling 2D acceleration nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "glxserver_nvidia" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "glxserver_nvidia" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/extensions/libglxserver_nvidia.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Module class: X.Org Server Extension nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA GLX Module 455.45.01 Thu Nov 5 22:58:18 UTC 2020 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA: The X server supports PRIME Render Offload. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): NVIDIA GPU GeForce GTX 1050 Ti (GP107-A) at PCI:1:0:0 (GPU-0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (--) NVIDIA(G0): Memory: 4194304 kBytes nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (--) NVIDIA(G0): VideoBIOS: 86.07.3c.00.65 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Detected PCI Express Link width: 16X nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Validated MetaModes: nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): "NULL" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Virtual screen size determined to be 640 x 480 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) NVIDIA(G0): Unable to get display device for DPI computation. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): DPI set to (75, 75); computed from built-in default nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) UnloadModule: "nouveau" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Unloading nouveau nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) UnloadModule: "fbdev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Unloading fbdev nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) UnloadSubModule: "fbdevhw" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Unloading fbdevhw nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) UnloadModule: "vesa" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Unloading vesa nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): Backing store enabled nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): Silken mouse enabled nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Initializing kms color map for depth 24, 8 bpc. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) modeset(0): DPMS enabled nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): [DRI2] Setup complete nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): [DRI2] DRI driver: iris nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): [DRI2] VDPAU driver: va_gl nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) NVIDIA: Failed to bind sideband socket to nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) NVIDIA: '/var/run/nvidia-xdriver-47039696' Permission denied nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA: Using 24576.00 MB of virtual memory for indirect memory nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA: access. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): ACPI: failed to connect to the ACPI event daemon; the daemon nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): may not be running or the "AcpidSocketPath" X nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): configuration option may not be set correctly. When the nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): ACPI event daemon is available, the NVIDIA X driver will nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): try to use it to receive ACPI event notifications. For nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): details, please see the "ConnectToAcpid" and nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): "AcpidSocketPath" X configuration options in Appendix B: X nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Config Options in the README. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Setting mode "NULL" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): Disabling shared memory pixmaps nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): Backing store enabled nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): Silken mouse enabled nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (==) NVIDIA(G0): DPMS enabled nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading sub module "dri2" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "dri2" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module "dri2" already built-in nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): [DRI2] Setup complete nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): [DRI2] VDPAU driver: nvidia nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension Generic Event Extension nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension SHAPE nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension MIT-SHM nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XInputExtension nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XTEST nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension BIG-REQUESTS nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension SYNC nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XKEYBOARD nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XC-MISC nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension SECURITY nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XFIXES nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension RENDER nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension RANDR nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension COMPOSITE nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension DAMAGE nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension MIT-SCREEN-SAVER nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension DOUBLE-BUFFER nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension RECORD nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension DPMS nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension Present nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension DRI3 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension X-Resource nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XVideo nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XVideo-MotionCompensation nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension SELinux nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) SELinux: Disabled by boolean nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension GLX nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension GLX nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Indirect GLX disabled. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) AIGLX: Loaded and initialized iris nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) GLX: Initialized DRI2 GL provider for screen 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XFree86-VidModeExtension nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XFree86-DGA nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension XFree86-DRI nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension DRI2 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension NV-GLX nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Initializing extension NV-CONTROL nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Damage tracking initialized nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Setting screen physical size to 508 x 285 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Power Button (/dev/input/event3) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) LoadModule: "libinput" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Loading /usr/lib64/xorg/modules/input/libinput_drv.so nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Module libinput: vendor="X.Org Foundation" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: compiled for 1.20.8, module version = 0.30.0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Module class: X.Org XInput Driver nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: ABI class: X.Org XInput driver, version 24.1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'Power Button' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event3 13:67 fd 42 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event3" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input3/event3" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Asus Wireless Radio Control (/dev/input/event15) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus Wireless Radio Control: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus Wireless Radio Control: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus Wireless Radio Control: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'Asus Wireless Radio Control' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event15 13:79 fd 45 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus Wireless Radio Control: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event15" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19/event15" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "Asus Wireless Radio Control" (type: KEYBOARD, id 7) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Video Bus (/dev/input/event5) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event5 13:69 fd 46 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event5" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input7/event5" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Video Bus (/dev/input/event6) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event6 13:70 fd 47 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Video Bus: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event6" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input8/event6" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 9) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Lid Switch (/dev/input/event0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Power Button (/dev/input/event2) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'Power Button' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event2 13:66 fd 48 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Power Button: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event2" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2/event2" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 10) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Sleep Button (/dev/input/event1) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Sleep Button: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Sleep Button: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Sleep Button: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'Sleep Button' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event1 13:65 fd 49 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Sleep Button: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event1" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1/event1" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "Sleep Button" (type: KEYBOARD, id 11) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event11) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: Applying InputClass "evdev tablet catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: Applying InputClass "libinput tablet catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event11 13:75 fd 50 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event11" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14/event11" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TABLET, id 12) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse3) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event12) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: Applying InputClass "evdev touchscreen catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: Applying InputClass "libinput touchscreen catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event12 13:76 fd 52 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event12" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15/event12" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TOUCHSCREEN, id 13) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "AccelerationScheme" "none" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: (accel) selected scheme none/0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: (accel) acceleration factor: 2.000 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN Touchscreen: (accel) acceleration threshold: 4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse4) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event13) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event14) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device USB2.0 VGA UVC WebCam: USB2.0 V (/dev/input/event18) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'USB2.0 VGA UVC WebCam: USB2.0 V' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event18 13:82 fd 53 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event18" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input22/event18" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "USB2.0 VGA UVC WebCam: USB2.0 V" (type: KEYBOARD, id 14) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/event9) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse: Applying InputClass "evdev pointer catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse: Applying InputClass "libinput pointer catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event9 13:73 fd 54 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event9" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11/event9" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse" (type: MOUSE, id 15) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "AccelerationScheme" "none" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse: (accel) selected scheme none/0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse: (accel) acceleration factor: 2.000 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse: (accel) acceleration threshold: 4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/mouse2) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device SINOWEALTH Game Mouse Keyboard (/dev/input/event10) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse Keyboard' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event10 13:74 fd 55 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) SINOWEALTH Game Mouse Keyboard: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event10" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12/event10" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse Keyboard" (type: KEYBOARD, id 16) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/event8) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "evdev touchpad catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "libinput touchpad catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Touchpad' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event8 13:72 fd 56 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Touchpad: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event8" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input10/event8" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Touchpad" (type: TOUCHPAD, id 17) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "AccelerationScheme" "none" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) selected scheme none/0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration factor: 2.000 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration threshold: 4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/mouse1) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/event7) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "evdev pointer catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "libinput pointer catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Mouse' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event7 13:71 fd 57 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Mouse: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event7" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input9/event7" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Mouse" (type: MOUSE, id 18) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "AccelerationScheme" "none" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) selected scheme none/0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration factor: 2.000 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration threshold: 4 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/mouse0) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device HDA Intel PCH Front Headphone (/dev/input/event19) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event20) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event21) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event22) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event23) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event24) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device Asus WMI hotkeys (/dev/input/event17) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus WMI hotkeys: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus WMI hotkeys: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus WMI hotkeys: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'Asus WMI hotkeys' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event17 13:81 fd 58 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Asus WMI hotkeys: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event17" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/platform/asus-nb-wmi/input/input21/event17" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "Asus WMI hotkeys" (type: KEYBOARD, id 19) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event4) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) AT Translated Set 2 keyboard: Applying InputClass "evdev keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) AT Translated Set 2 keyboard: Applying InputClass "system-keyboard" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard' nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got fd for /dev/input/event4 13:68 fd 59 paused 0 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) AT Translated Set 2 keyboard: always reports core events nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "Device" "/dev/input/event4" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "_source" "server/udev" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input4/event4" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 20) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_layout" "us,ro" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "xkb_variant" ",std" nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) config/udev: Adding input device PC Speaker (/dev/input/event16) nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) No input driver specified, ignoring this device. nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (II) This device may have been added with another device file. nov 21 21:07:56 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 21:07:56 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 21:07:56 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:68 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:81 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:71 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:72 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:74 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:73 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:82 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:76 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:75 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:65 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:66 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:70 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:69 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:79 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) UnloadModule: "libinput" nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) systemd-logind: releasing fd for 13:67 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) NVIDIA(GPU-0): Deleting GPU-0 nov 21 21:07:59 mylaptop /usr/libexec/gdm-x-session[1190]: (II) Server terminated successfully (0). Closing log file. nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "42" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "45" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "46" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "47" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "48" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "49" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "50" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "52" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "53" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "54" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "55" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "56" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "57" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "58" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "59" nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) AIGLX: Suspending AIGLX clients for VT switch nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:75 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 226:0 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:70 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:76 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:71 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:67 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:73 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:68 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:72 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:69 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:74 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:81 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:66 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:79 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:82 nov 21 21:08:18 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:65 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:75 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 226:0 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) AIGLX: Resuming AIGLX clients after VT switch nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Setting mode "NULL" nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): ACPI: failed to connect to the ACPI event daemon; the daemon nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): may not be running or the "AcpidSocketPath" X nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): configuration option may not be set correctly. When the nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): ACPI event daemon is available, the NVIDIA X driver will nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): try to use it to receive ACPI event notifications. For nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): details, please see the "ConnectToAcpid" and nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): "AcpidSocketPath" X configuration options in Appendix B: X nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Config Options in the README. nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:70 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:76 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:71 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:67 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:73 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:68 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:72 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:69 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:74 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:81 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:66 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:79 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:82 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:65 nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:08:26 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "30" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "57" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "53" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "47" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "56" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "90" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "31" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "48" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "58" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "49" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "54" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "52" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "89" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "55" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "50" nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) AIGLX: Suspending AIGLX clients for VT switch nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:75 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 226:0 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:70 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:76 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:71 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:67 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:73 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:68 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:72 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:69 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:74 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:81 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:66 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:79 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:82 nov 21 21:08:34 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:65 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (--) Log file renamed from "/var/lib/gdm/.local/share/xorg/Xorg.pid-3064.log" to "/var/lib/gdm/.local/share/xorg/Xorg.0.log" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: X.Org X Server 1.20.9 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: X Protocol Version 11, Revision 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Build Operating System: 5.8.7-200.fc32.x86_64 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Current Operating System: Linux mylaptop 5.9.8-200.fc33.x86_64 #1 SMP Tue Nov 10 21:58:19 UTC 2020 x86_64 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Build Date: 08 October 2020 12:00:00AM nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Build ID: xorg-x11-server 1.20.9-1.fc33 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Current version of pixman: 0.40.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Before reporting problems, check http://wiki.x.org nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: to make sure that you have the latest version. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Markers: (--) probed, (**) from config file, (==) default setting, nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (++) from command line, (!!) notice, (II) informational, nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) warning, (EE) error, (NI) not implemented, (??) unknown. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Log file: "/var/lib/gdm/.local/share/xorg/Xorg.0.log", Time: Sat Nov 21 21:08:46 2020 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Using config directory: "/etc/X11/xorg.conf.d" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Using system config directory "/usr/share/X11/xorg.conf.d" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) ServerLayout "layout" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) No screen section available. Using defaults. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) |-->Screen "Default Screen Section" (0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) | |-->Monitor "" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) No monitor specified for screen "Default Screen Section". nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Using a default monitor configuration. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Automatically adding devices nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Automatically enabling devices nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Automatically adding GPU devices nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Automatically binding GPU devices nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Max clients allowed: 256, resource mask: 0x1fffff nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) FontPath set to: nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: catalogue:/etc/X11/fontpath.d, nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: built-ins nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) ModulePath set to "/usr/lib64/xorg/modules" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) The server relies on udev to provide the list of input devices. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: If no devices become available, reconfigure udev or disable AutoAddDevices. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loader magic: 0x55ff91de3b20 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module ABI versions: nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: X.Org ANSI C Emulation: 0.4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: X.Org Video Driver: 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: X.Org XInput driver : 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: X.Org Server Extension : 10.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (++) using VT number 1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: took control of session /org/freedesktop/login1/session/c2 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) xfree86: Adding drm device (/dev/dri/card1) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/dri/card1 226:1 fd 14 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) xfree86: Adding drm device (/dev/dri/card0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/dri/card0 226:0 fd 15 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (--) PCI:*(0@0:2:0) 8086:591b:1043:1970 rev 4, Mem @ 0xeb000000/16777216, 0x40000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/65536 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (--) PCI: (1@0:0:0) 10de:1c8c:1043:1970 rev 161, Mem @ 0xec000000/16777216, 0xa0000000/268435456, 0xb0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/65536 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "glx" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/extensions/libglx.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module glx: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org Server Extension, version 10.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Applying OutputClass "nvidia" to /dev/dri/card1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: loading driver: nvidia nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Matched nvidia as autoconfigured driver 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Matched nouveau as autoconfigured driver 1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Matched nv as autoconfigured driver 2 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Matched modesetting as autoconfigured driver 3 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Matched fbdev as autoconfigured driver 4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Matched vesa as autoconfigured driver 5 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) Assigned the driver to the xf86ConfigLayout nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "nvidia" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/drivers/nvidia_drv.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module nvidia: vendor="NVIDIA Corporation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Module class: X.Org Video Driver nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "nouveau" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/drivers/nouveau_drv.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module nouveau: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.8, module version = 1.0.15 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Module class: X.Org Video Driver nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "nv" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) Warning, couldn't open module nv nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (EE) Failed to load module "nv" (module does not exist, 0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "modesetting" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/drivers/modesetting_drv.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module modesetting: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.9, module version = 1.20.9 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Module class: X.Org Video Driver nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "fbdev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/drivers/fbdev_drv.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module fbdev: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.8, module version = 0.5.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Module class: X.Org Video Driver nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "vesa" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/drivers/vesa_drv.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module vesa: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.8, module version = 2.4.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Module class: X.Org Video Driver nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA dlloader X Driver 455.45.01 Thu Nov 5 23:01:05 UTC 2020 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NOUVEAU driver nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NOUVEAU driver for NVIDIA chipset families : nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: RIVA TNT (NV04) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: RIVA TNT2 (NV05) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 256 (NV10) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 2 (NV11, NV15) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 4MX (NV17, NV18) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 3 (NV20) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 4Ti (NV25, NV28) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce FX (NV3x) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 6 (NV4x) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 7 (G7x) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce 8 (G8x) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce GTX 200 (NVA0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: GeForce GTX 400 (NVC0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modesetting: Driver for Modesetting Kernel Drivers: kms nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) FBDEV: driver for framebuffer: fbdev nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) VESA: driver for VESA chipsets: vesa nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: xf86EnableIOPorts: failed to set IOPL for I/O (Operation not permitted) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): using drv /dev/dri/card0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) Falling back to old probe method for fbdev nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "fbdevhw" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "fbdevhw" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/libfbdevhw.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module fbdevhw: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.9, module version = 0.0.2 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org Video Driver, version 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (EE) open /dev/fb0: Permission denied nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 226:1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "fb" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "fb" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module fb: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "wfb" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "wfb" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/libwfb.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module wfb: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "ramdac" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "ramdac" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module "ramdac" already built-in nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) VGA arbiter: cannot open kernel arbiter, no multi-card support nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Creating default Display subsection in Screen section nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: "Default Screen Section" for depth/fbbpp 24/32 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): Depth 24, (==) framebuffer bpp 32 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): RGB weight 888 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): Default visual is TrueColor nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "glamoregl" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "glamoregl" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/libglamoregl.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module glamoregl: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.9, module version = 1.0.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): glamor X acceleration enabled on Mesa Intel(R) HD Graphics 630 (KBL GT2) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): glamor initialized nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output eDP-1 has no monitor section nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output DP-1 has no monitor section nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output HDMI-1 has no monitor section nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output DP-2 has no monitor section nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): EDID for output eDP-1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Manufacturer: CMN Model: 15e8 Serial#: 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Year: 2016 Week: 33 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): EDID Version: 1.4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Digital Display Input nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 8 bits per channel nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Digital interface is DisplayPort nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Gamma: 2.20 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): No DPMS capabilities specified nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Supported color encodings: RGB 4:4:4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): First detailed timing is preferred mode nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Preferred mode is native pixel format and refresh rate nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): redX: 0.648 redY: 0.338 greenX: 0.313 greenY: 0.600 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): blueX: 0.153 blueY: 0.050 whiteX: 0.313 whiteY: 0.329 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Manufacturer's mask: 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Supported detailed timing: nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): clock: 152.8 MHz Image Size: 344 x 193 mm nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): h_active: 1920 h_sync: 2000 h_sync_end 2054 h_blank_end 2250 h_border: 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): v_active: 1080 v_sync: 1086 v_sync_end 1094 v_blanking: 1132 v_border: 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): N156HCE-EN1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): CMN nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): N156HCE-EN1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): EDID (in hex): nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 00ffffffffffff000daee81500000000 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 211a0104a5221378022675a656509927 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 0c505400000001010101010101010101 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 010101010101b43b804a713834405036 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 680058c110000018000000fe004e3135 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 364843452d454e310a20000000fe0043 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 4d4e0a202020202020202020000000fe nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): 004e3135364843452d454e310a2000a2 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Printing probed modes for output eDP-1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1920x1080"x60.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): EDID for output DP-1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): EDID for output HDMI-1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): EDID for output DP-2 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output eDP-1 connected nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output DP-1 disconnected nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output HDMI-1 disconnected nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output DP-2 disconnected nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Using exact sizes for initial modes nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): DPI set to (96, 96) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "fb" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "fb" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module fb: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.9, module version = 1.0.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): Depth 24, (==) framebuffer bpp 32 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): RGB weight 888 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): Default visual is TrueColor nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): Using gamma correction (1.0, 1.0, 1.0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "AllowNVIDIAGpuScreens" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Applying OutputClass "nvidia" options to /dev/dri/card1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) NVIDIA(G0): Option "SLI" "Auto" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) NVIDIA(G0): Option "BaseMosaic" "on" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) NVIDIA(G0): Option "AllowEmptyInitialConfiguration" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) NVIDIA(G0): Invalid SLI option: 'Auto'; using single GPU rendering. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) NVIDIA(G0): Base Mosaic is available only on screen 0. Disabling Base nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) NVIDIA(G0): Mosaic. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) NVIDIA(G0): Enabling 2D acceleration nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "glxserver_nvidia" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "glxserver_nvidia" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/extensions/libglxserver_nvidia.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Module class: X.Org Server Extension nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA GLX Module 455.45.01 Thu Nov 5 22:58:18 UTC 2020 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA: The X server supports PRIME Render Offload. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): NVIDIA GPU GeForce GTX 1050 Ti (GP107-A) at PCI:1:0:0 (GPU-0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (--) NVIDIA(G0): Memory: 4194304 kBytes nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (--) NVIDIA(G0): VideoBIOS: 86.07.3c.00.65 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): Detected PCI Express Link width: 16X nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): Validated MetaModes: nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): "NULL" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): Virtual screen size determined to be 640 x 480 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) NVIDIA(G0): Unable to get display device for DPI computation. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): DPI set to (75, 75); computed from built-in default nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "nouveau" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Unloading nouveau nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "fbdev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Unloading fbdev nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadSubModule: "fbdevhw" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Unloading fbdevhw nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "vesa" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Unloading vesa nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): Backing store enabled nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): Silken mouse enabled nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Initializing kms color map for depth 24, 8 bpc. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) modeset(0): DPMS enabled nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): [DRI2] Setup complete nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): [DRI2] DRI driver: iris nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): [DRI2] VDPAU driver: va_gl nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) NVIDIA: Failed to bind sideband socket to nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) NVIDIA: '/var/run/nvidia-xdriver-c9411936' Permission denied nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA: Using 24576.00 MB of virtual memory for indirect memory nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA: access. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): ACPI: failed to connect to the ACPI event daemon; the daemon nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): may not be running or the "AcpidSocketPath" X nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): configuration option may not be set correctly. When the nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): ACPI event daemon is available, the NVIDIA X driver will nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): try to use it to receive ACPI event notifications. For nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): details, please see the "ConnectToAcpid" and nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): "AcpidSocketPath" X configuration options in Appendix B: X nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): Config Options in the README. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): Setting mode "NULL" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): Disabling shared memory pixmaps nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): Backing store enabled nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): Silken mouse enabled nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (==) NVIDIA(G0): DPMS enabled nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading sub module "dri2" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "dri2" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module "dri2" already built-in nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): [DRI2] Setup complete nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(G0): [DRI2] VDPAU driver: nvidia nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension Generic Event Extension nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension SHAPE nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension MIT-SHM nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XInputExtension nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XTEST nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension BIG-REQUESTS nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension SYNC nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XKEYBOARD nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XC-MISC nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension SECURITY nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XFIXES nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension RENDER nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension RANDR nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension COMPOSITE nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension DAMAGE nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension MIT-SCREEN-SAVER nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension DOUBLE-BUFFER nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension RECORD nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension DPMS nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension Present nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension DRI3 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension X-Resource nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XVideo nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XVideo-MotionCompensation nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension SELinux nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) SELinux: Disabled by boolean nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension GLX nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension GLX nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Indirect GLX disabled. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) AIGLX: Loaded and initialized iris nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) GLX: Initialized DRI2 GL provider for screen 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XFree86-VidModeExtension nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XFree86-DGA nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension XFree86-DRI nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension DRI2 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension NV-GLX nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Initializing extension NV-CONTROL nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Damage tracking initialized nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Setting screen physical size to 508 x 285 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Power Button (/dev/input/event3) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) LoadModule: "libinput" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Loading /usr/lib64/xorg/modules/input/libinput_drv.so nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Module libinput: vendor="X.Org Foundation" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: compiled for 1.20.8, module version = 0.30.0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Module class: X.Org XInput Driver nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: ABI class: X.Org XInput driver, version 24.1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'Power Button' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event3 13:67 fd 41 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event3" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event3 - Power Button: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event3 - Power Button: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input3/event3" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event3 - Power Button: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Asus Wireless Radio Control (/dev/input/event15) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus Wireless Radio Control: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus Wireless Radio Control: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus Wireless Radio Control: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'Asus Wireless Radio Control' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event15 13:79 fd 44 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus Wireless Radio Control: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event15" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19/event15" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "Asus Wireless Radio Control" (type: KEYBOARD, id 7) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Video Bus (/dev/input/event5) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event5 13:69 fd 45 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event5" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event5 - Video Bus: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event5 - Video Bus: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input7/event5" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event5 - Video Bus: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Video Bus (/dev/input/event6) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event6 13:70 fd 46 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Video Bus: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event6" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event6 - Video Bus: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event6 - Video Bus: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input8/event6" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 9) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event6 - Video Bus: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Lid Switch (/dev/input/event0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Power Button (/dev/input/event2) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'Power Button' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event2 13:66 fd 47 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Power Button: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event2" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event2 - Power Button: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event2 - Power Button: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2/event2" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 10) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event2 - Power Button: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Sleep Button (/dev/input/event1) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Sleep Button: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Sleep Button: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Sleep Button: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'Sleep Button' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event1 13:65 fd 48 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Sleep Button: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event1" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event1 - Sleep Button: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1/event1" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "Sleep Button" (type: KEYBOARD, id 11) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event11) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: Applying InputClass "evdev tablet catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: Applying InputClass "libinput tablet catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event11 13:75 fd 49 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event11" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14/event11" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TABLET, id 12) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse3) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event12) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: Applying InputClass "evdev touchscreen catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: Applying InputClass "libinput touchscreen catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event12 13:76 fd 51 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event12" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15/event12" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TOUCHSCREEN, id 13) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "AccelerationScheme" "none" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: (accel) selected scheme none/0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: (accel) acceleration factor: 2.000 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN Touchscreen: (accel) acceleration threshold: 4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse4) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event13) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event14) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device USB2.0 VGA UVC WebCam: USB2.0 V (/dev/input/event18) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'USB2.0 VGA UVC WebCam: USB2.0 V' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event18 13:82 fd 52 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event18" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input22/event18" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "USB2.0 VGA UVC WebCam: USB2.0 V" (type: KEYBOARD, id 14) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/event9) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse: Applying InputClass "evdev pointer catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse: Applying InputClass "libinput pointer catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event9 13:73 fd 53 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event9" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11/event9" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse" (type: MOUSE, id 15) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "AccelerationScheme" "none" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse: (accel) selected scheme none/0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse: (accel) acceleration factor: 2.000 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse: (accel) acceleration threshold: 4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/mouse2) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device SINOWEALTH Game Mouse Keyboard (/dev/input/event10) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse Keyboard' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event10 13:74 fd 54 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) SINOWEALTH Game Mouse Keyboard: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event10" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12/event10" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse Keyboard" (type: KEYBOARD, id 16) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/event8) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "evdev touchpad catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "libinput touchpad catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Touchpad' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event8 13:72 fd 55 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Touchpad: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event8" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input10/event8" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Touchpad" (type: TOUCHPAD, id 17) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "AccelerationScheme" "none" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) selected scheme none/0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration factor: 2.000 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration threshold: 4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/mouse1) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/event7) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "evdev pointer catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "libinput pointer catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Mouse' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event7 13:71 fd 56 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Mouse: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event7" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input9/event7" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Mouse" (type: MOUSE, id 18) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "AccelerationScheme" "none" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) selected scheme none/0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration factor: 2.000 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration threshold: 4 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/mouse0) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device HDA Intel PCH Front Headphone (/dev/input/event19) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event20) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event21) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event22) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event23) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event24) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device Asus WMI hotkeys (/dev/input/event17) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus WMI hotkeys: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus WMI hotkeys: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus WMI hotkeys: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'Asus WMI hotkeys' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event17 13:81 fd 57 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Asus WMI hotkeys: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event17" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/platform/asus-nb-wmi/input/input21/event17" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "Asus WMI hotkeys" (type: KEYBOARD, id 19) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event4) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) AT Translated Set 2 keyboard: Applying InputClass "evdev keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) AT Translated Set 2 keyboard: Applying InputClass "system-keyboard" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard' nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got fd for /dev/input/event4 13:68 fd 58 paused 0 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) AT Translated Set 2 keyboard: always reports core events nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "Device" "/dev/input/event4" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "_source" "server/udev" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input4/event4" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 20) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_layout" "us,ro" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "xkb_variant" ",std" nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) config/udev: Adding input device PC Speaker (/dev/input/event16) nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) No input driver specified, ignoring this device. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) This device may have been added with another device file. nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "41" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event3 - Power Button: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "44" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "45" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event5 - Video Bus: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "46" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event6 - Video Bus: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "47" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event2 - Power Button: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "48" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event1 - Sleep Button: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "49" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "51" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "52" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "53" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "54" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "55" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "56" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "57" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (**) Option "fd" "58" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) AIGLX: Suspending AIGLX clients for VT switch nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:73 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:71 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:67 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 226:0 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:72 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:69 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:79 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:66 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:75 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:74 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:65 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:81 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:68 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:82 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:70 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: got pause for 13:76 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:75 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 226:0 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) AIGLX: Resuming AIGLX clients after VT switch nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Setting mode "NULL" nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): ACPI: failed to connect to the ACPI event daemon; the daemon nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): may not be running or the "AcpidSocketPath" X nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): configuration option may not be set correctly. When the nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): ACPI event daemon is available, the NVIDIA X driver will nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): try to use it to receive ACPI event notifications. For nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): details, please see the "ConnectToAcpid" and nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): "AcpidSocketPath" X configuration options in Appendix B: X nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) NVIDIA(G0): Config Options in the README. nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:70 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:76 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:71 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:67 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:73 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:68 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:72 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:69 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:74 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:81 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:66 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:79 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:82 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got resume for 13:65 nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 21:08:53 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device is a keyboard nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "31" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event3 - Power Button: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "58" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "54" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event5 - Video Bus: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "48" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event6 - Video Bus: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "57" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event2 - Power Button: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "91" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event1 - Sleep Button: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "45" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "49" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "59" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "50" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "55" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "53" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "90" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "56" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (**) Option "fd" "52" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) AIGLX: Suspending AIGLX clients for VT switch nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:68 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:75 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 226:0 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:70 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:76 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:71 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:67 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:73 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:68 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:72 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:69 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:74 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:81 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:66 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:79 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:82 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[1706]: (II) systemd-logind: got pause for 13:65 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:81 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:71 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:72 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:74 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:73 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:82 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:76 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:75 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:65 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:66 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:70 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:69 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:79 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) UnloadModule: "libinput" nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) systemd-logind: releasing fd for 13:67 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) NVIDIA(GPU-0): Deleting GPU-0 nov 21 21:09:03 mylaptop /usr/libexec/gdm-x-session[3064]: (II) Server terminated successfully (0). Closing log file. ____________________________________________ journalctl -b -1 _COMM=Xorg -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (--) Log file renamed from "/var/lib/gdm/.local/share/xorg/Xorg.pid-1214.log" to "/var/lib/gdm/.local/share/xorg/Xorg.0.log" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: X.Org X Server 1.20.9 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: X Protocol Version 11, Revision 0 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Build Operating System: 5.8.7-200.fc32.x86_64 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Current Operating System: Linux mylaptop 5.9.8-200.fc33.x86_64 #1 SMP Tue Nov 10 21:58:19 UTC 2020 x86_64 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Build Date: 08 October 2020 12:00:00AM nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Build ID: xorg-x11-server 1.20.9-1.fc33 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Current version of pixman: 0.40.0 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Before reporting problems, check http://wiki.x.org nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: to make sure that you have the latest version. nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Markers: (--) probed, (**) from config file, (==) default setting, nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (++) from command line, (!!) notice, (II) informational, nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) warning, (EE) error, (NI) not implemented, (??) unknown. nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Log file: "/var/lib/gdm/.local/share/xorg/Xorg.0.log", Time: Sat Nov 21 16:21:36 2020 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Using config directory: "/etc/X11/xorg.conf.d" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Using system config directory "/usr/share/X11/xorg.conf.d" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) ServerLayout "layout" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) No screen section available. Using defaults. nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (**) |-->Screen "Default Screen Section" (0) nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (**) | |-->Monitor "" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) No monitor specified for screen "Default Screen Section". nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Using a default monitor configuration. nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Automatically adding devices nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Automatically enabling devices nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Automatically adding GPU devices nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Automatically binding GPU devices nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Max clients allowed: 256, resource mask: 0x1fffff nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) FontPath set to: nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: catalogue:/etc/X11/fontpath.d, nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: built-ins nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (==) ModulePath set to "/usr/lib64/xorg/modules" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) The server relies on udev to provide the list of input devices. nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: If no devices become available, reconfigure udev or disable AutoAddDevices. nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loader magic: 0x55ddfb640b20 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module ABI versions: nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: X.Org ANSI C Emulation: 0.4 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: X.Org Video Driver: 24.1 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: X.Org XInput driver : 24.1 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: X.Org Server Extension : 10.0 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (++) using VT number 1 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: took control of session /org/freedesktop/login1/session/c1 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) xfree86: Adding drm device (/dev/dri/card1) nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/dri/card1 226:1 fd 14 paused 0 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) xfree86: Adding drm device (/dev/dri/card0) nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/dri/card0 226:0 fd 15 paused 0 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (--) PCI:*(0@0:2:0) 8086:591b:1043:1970 rev 4, Mem @ 0xeb000000/16777216, 0x40000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/65536 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (--) PCI: (1@0:0:0) 10de:1c8c:1043:1970 rev 161, Mem @ 0xec000000/16777216, 0xa0000000/268435456, 0xb0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/65536 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "glx" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/extensions/libglx.so nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module glx: vendor="X.Org Foundation" nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org Server Extension, version 10.0 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Applying OutputClass "nvidia" to /dev/dri/card1 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: loading driver: nvidia nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Matched nvidia as autoconfigured driver 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Matched nouveau as autoconfigured driver 1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Matched nv as autoconfigured driver 2 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Matched modesetting as autoconfigured driver 3 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Matched fbdev as autoconfigured driver 4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Matched vesa as autoconfigured driver 5 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) Assigned the driver to the xf86ConfigLayout nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "nvidia" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/drivers/nvidia_drv.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module nvidia: vendor="NVIDIA Corporation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: Module class: X.Org Video Driver nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "nouveau" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/drivers/nouveau_drv.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module nouveau: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.8, module version = 1.0.15 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: Module class: X.Org Video Driver nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "nv" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) Warning, couldn't open module nv nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (EE) Failed to load module "nv" (module does not exist, 0) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "modesetting" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/drivers/modesetting_drv.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module modesetting: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.9, module version = 1.20.9 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: Module class: X.Org Video Driver nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "fbdev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/drivers/fbdev_drv.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module fbdev: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.8, module version = 0.5.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: Module class: X.Org Video Driver nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "vesa" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/drivers/vesa_drv.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module vesa: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.8, module version = 2.4.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: Module class: X.Org Video Driver nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA dlloader X Driver 455.45.01 Thu Nov 5 23:01:05 UTC 2020 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NOUVEAU driver nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NOUVEAU driver for NVIDIA chipset families : nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: RIVA TNT (NV04) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: RIVA TNT2 (NV05) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 256 (NV10) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 2 (NV11, NV15) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 4MX (NV17, NV18) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 3 (NV20) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 4Ti (NV25, NV28) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce FX (NV3x) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 6 (NV4x) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 7 (G7x) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce 8 (G8x) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce GTX 200 (NVA0) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: GeForce GTX 400 (NVC0) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modesetting: Driver for Modesetting Kernel Drivers: kms nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) FBDEV: driver for framebuffer: fbdev nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) VESA: driver for VESA chipsets: vesa nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: xf86EnableIOPorts: failed to set IOPL for I/O (Operation not permitted) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): using drv /dev/dri/card0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) Falling back to old probe method for fbdev nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "fbdevhw" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "fbdevhw" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/libfbdevhw.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module fbdevhw: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.9, module version = 0.0.2 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (EE) open /dev/fb0: Permission denied nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 226:1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "fb" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "fb" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module fb: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "wfb" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "wfb" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/libwfb.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module wfb: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "ramdac" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "ramdac" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module "ramdac" already built-in nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) VGA arbiter: cannot open kernel arbiter, no multi-card support nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Creating default Display subsection in Screen section nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: "Default Screen Section" for depth/fbbpp 24/32 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): Depth 24, (==) framebuffer bpp 32 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): RGB weight 888 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): Default visual is TrueColor nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "glamoregl" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "glamoregl" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/libglamoregl.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module glamoregl: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.9, module version = 1.0.1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): glamor X acceleration enabled on Mesa Intel(R) HD Graphics 630 (KBL GT2) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): glamor initialized nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output eDP-1 has no monitor section nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output DP-1 has no monitor section nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output HDMI-1 has no monitor section nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output DP-2 has no monitor section nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): EDID for output eDP-1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Manufacturer: CMN Model: 15e8 Serial#: 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Year: 2016 Week: 33 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): EDID Version: 1.4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Digital Display Input nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 8 bits per channel nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Digital interface is DisplayPort nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Gamma: 2.20 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): No DPMS capabilities specified nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Supported color encodings: RGB 4:4:4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): First detailed timing is preferred mode nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Preferred mode is native pixel format and refresh rate nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): redX: 0.648 redY: 0.338 greenX: 0.313 greenY: 0.600 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): blueX: 0.153 blueY: 0.050 whiteX: 0.313 whiteY: 0.329 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Manufacturer's mask: 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Supported detailed timing: nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): clock: 152.8 MHz Image Size: 344 x 193 mm nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): h_active: 1920 h_sync: 2000 h_sync_end 2054 h_blank_end 2250 h_border: 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): v_active: 1080 v_sync: 1086 v_sync_end 1094 v_blanking: 1132 v_border: 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): N156HCE-EN1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): CMN nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): N156HCE-EN1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): EDID (in hex): nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 00ffffffffffff000daee81500000000 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 211a0104a5221378022675a656509927 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 0c505400000001010101010101010101 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 010101010101b43b804a713834405036 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 680058c110000018000000fe004e3135 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 364843452d454e310a20000000fe0043 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 4d4e0a202020202020202020000000fe nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): 004e3135364843452d454e310a2000a2 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Printing probed modes for output eDP-1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1920x1080"x60.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): EDID for output DP-1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): EDID for output HDMI-1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): EDID for output DP-2 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output eDP-1 connected nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output DP-1 disconnected nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output HDMI-1 disconnected nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output DP-2 disconnected nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Using exact sizes for initial modes nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): DPI set to (96, 96) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "fb" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "fb" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module fb: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): Depth 24, (==) framebuffer bpp 32 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): RGB weight 888 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): Default visual is TrueColor nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): Using gamma correction (1.0, 1.0, 1.0) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "AllowNVIDIAGpuScreens" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Applying OutputClass "nvidia" options to /dev/dri/card1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) NVIDIA(G0): Option "SLI" "Auto" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) NVIDIA(G0): Option "BaseMosaic" "on" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) NVIDIA(G0): Option "AllowEmptyInitialConfiguration" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) NVIDIA(G0): Invalid SLI option: 'Auto'; using single GPU rendering. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) NVIDIA(G0): Base Mosaic is available only on screen 0. Disabling Base nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) NVIDIA(G0): Mosaic. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) NVIDIA(G0): Enabling 2D acceleration nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "glxserver_nvidia" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "glxserver_nvidia" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/extensions/libglxserver_nvidia.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: Module class: X.Org Server Extension nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA GLX Module 455.45.01 Thu Nov 5 22:58:18 UTC 2020 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA: The X server supports PRIME Render Offload. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): NVIDIA GPU GeForce GTX 1050 Ti (GP107-A) at PCI:1:0:0 (GPU-0) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (--) NVIDIA(G0): Memory: 4194304 kBytes nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (--) NVIDIA(G0): VideoBIOS: 86.07.3c.00.65 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): Detected PCI Express Link width: 16X nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): Validated MetaModes: nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): "NULL" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): Virtual screen size determined to be 640 x 480 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) NVIDIA(G0): Unable to get display device for DPI computation. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): DPI set to (75, 75); computed from built-in default nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "nouveau" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Unloading nouveau nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "fbdev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Unloading fbdev nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadSubModule: "fbdevhw" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Unloading fbdevhw nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "vesa" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Unloading vesa nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): Backing store enabled nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): Silken mouse enabled nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Initializing kms color map for depth 24, 8 bpc. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) modeset(0): DPMS enabled nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): [DRI2] Setup complete nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): [DRI2] DRI driver: iris nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): [DRI2] VDPAU driver: va_gl nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) NVIDIA: Failed to bind sideband socket to nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) NVIDIA: '/var/run/nvidia-xdriver-e7332c0b' Permission denied nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA: Using 24576.00 MB of virtual memory for indirect memory nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA: access. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): ACPI: failed to connect to the ACPI event daemon; the daemon nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): may not be running or the "AcpidSocketPath" X nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): configuration option may not be set correctly. When the nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): ACPI event daemon is available, the NVIDIA X driver will nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): try to use it to receive ACPI event notifications. For nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): details, please see the "ConnectToAcpid" and nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): "AcpidSocketPath" X configuration options in Appendix B: X nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): Config Options in the README. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): Setting mode "NULL" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): Disabling shared memory pixmaps nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): Backing store enabled nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): Silken mouse enabled nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (==) NVIDIA(G0): DPMS enabled nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading sub module "dri2" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "dri2" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module "dri2" already built-in nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): [DRI2] Setup complete nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(G0): [DRI2] VDPAU driver: nvidia nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension Generic Event Extension nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension SHAPE nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension MIT-SHM nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XInputExtension nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XTEST nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension BIG-REQUESTS nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension SYNC nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XKEYBOARD nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XC-MISC nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension SECURITY nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XFIXES nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension RENDER nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension RANDR nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension COMPOSITE nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension DAMAGE nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension MIT-SCREEN-SAVER nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension DOUBLE-BUFFER nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension RECORD nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension DPMS nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension Present nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension DRI3 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension X-Resource nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XVideo nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XVideo-MotionCompensation nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension SELinux nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) SELinux: Disabled by boolean nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension GLX nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension GLX nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Indirect GLX disabled. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) AIGLX: Loaded and initialized iris nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) GLX: Initialized DRI2 GL provider for screen 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XFree86-VidModeExtension nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XFree86-DGA nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension XFree86-DRI nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension DRI2 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension NV-GLX nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Initializing extension NV-CONTROL nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Damage tracking initialized nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Setting screen physical size to 508 x 285 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Power Button (/dev/input/event3) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) LoadModule: "libinput" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Loading /usr/lib64/xorg/modules/input/libinput_drv.so nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Module libinput: vendor="X.Org Foundation" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: compiled for 1.20.8, module version = 0.30.0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: Module class: X.Org XInput Driver nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: ABI class: X.Org XInput driver, version 24.1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'Power Button' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event3 13:67 fd 41 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event3" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event3 - Power Button: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event3 - Power Button: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input3/event3" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event3 - Power Button: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Asus Wireless Radio Control (/dev/input/event15) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus Wireless Radio Control: Applying InputClass "evdev keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus Wireless Radio Control: Applying InputClass "libinput keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus Wireless Radio Control: Applying InputClass "system-keyboard" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'Asus Wireless Radio Control' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event15 13:79 fd 44 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus Wireless Radio Control: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event15" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19/event15" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "Asus Wireless Radio Control" (type: KEYBOARD, id 7) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Video Bus (/dev/input/event5) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event5 13:69 fd 45 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event5" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event5 - Video Bus: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event5 - Video Bus: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input7/event5" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event5 - Video Bus: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Video Bus (/dev/input/event6) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event6 13:70 fd 46 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Video Bus: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event6" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event6 - Video Bus: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event6 - Video Bus: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input8/event6" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 9) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event6 - Video Bus: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Lid Switch (/dev/input/event0) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Power Button (/dev/input/event2) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'Power Button' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event2 13:66 fd 47 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Power Button: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event2" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event2 - Power Button: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event2 - Power Button: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2/event2" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 10) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event2 - Power Button: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Sleep Button (/dev/input/event1) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Sleep Button: Applying InputClass "evdev keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Sleep Button: Applying InputClass "libinput keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Sleep Button: Applying InputClass "system-keyboard" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'Sleep Button' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event1 13:65 fd 48 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Sleep Button: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event1" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event1 - Sleep Button: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event1 - Sleep Button: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1/event1" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "Sleep Button" (type: KEYBOARD, id 11) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event1 - Sleep Button: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event11) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: Applying InputClass "evdev tablet catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: Applying InputClass "libinput tablet catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event11 13:75 fd 49 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event11" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14/event11" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TABLET, id 12) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse3) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event12) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: Applying InputClass "evdev touchscreen catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: Applying InputClass "libinput touchscreen catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event12 13:76 fd 51 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event12" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event12 - ELAN Touchscreen: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15/event12" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TOUCHSCREEN, id 13) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "AccelerationScheme" "none" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: (accel) selected scheme none/0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: (accel) acceleration factor: 2.000 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN Touchscreen: (accel) acceleration threshold: 4 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse4) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event13) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event14) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device USB2.0 VGA UVC WebCam: USB2.0 V (/dev/input/event18) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "evdev keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "libinput keyboard catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "system-keyboard" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'USB2.0 VGA UVC WebCam: USB2.0 V' nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event18 13:82 fd 52 paused 0 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: always reports core events nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event18" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input22/event18" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "USB2.0 VGA UVC WebCam: USB2.0 V" (type: KEYBOARD, id 14) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/event9) nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse: Applying InputClass "evdev pointer catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse: Applying InputClass "libinput pointer catchall" nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse' nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event9 13:73 fd 53 paused 0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse: always reports core events nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event9" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11/event9" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse" (type: MOUSE, id 15) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "AccelerationScheme" "none" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse: (accel) selected scheme none/0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse: (accel) acceleration factor: 2.000 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse: (accel) acceleration threshold: 4 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/mouse2) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device SINOWEALTH Game Mouse Keyboard (/dev/input/event10) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "evdev keyboard catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "libinput keyboard catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "system-keyboard" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse Keyboard' nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event10 13:74 fd 54 paused 0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) SINOWEALTH Game Mouse Keyboard: always reports core events nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event10" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12/event10" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse Keyboard" (type: KEYBOARD, id 16) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/event8) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "evdev touchpad catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "libinput touchpad catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Touchpad' nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event8 13:72 fd 55 paused 0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Touchpad: always reports core events nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event8" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input10/event8" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Touchpad" (type: TOUCHPAD, id 17) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "AccelerationScheme" "none" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) selected scheme none/0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration factor: 2.000 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration threshold: 4 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/mouse1) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/event7) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "evdev pointer catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "libinput pointer catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Mouse' nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event7 13:71 fd 56 paused 0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Mouse: always reports core events nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event7" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input9/event7" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Mouse" (type: MOUSE, id 18) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "AccelerationScheme" "none" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) selected scheme none/0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration factor: 2.000 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration threshold: 4 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/mouse0) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device HDA Intel PCH Front Headphone (/dev/input/event19) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event20) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event21) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event22) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event23) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event24) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device Asus WMI hotkeys (/dev/input/event17) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus WMI hotkeys: Applying InputClass "evdev keyboard catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus WMI hotkeys: Applying InputClass "libinput keyboard catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus WMI hotkeys: Applying InputClass "system-keyboard" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'Asus WMI hotkeys' nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event17 13:81 fd 57 paused 0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Asus WMI hotkeys: always reports core events nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event17" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event17 - Asus WMI hotkeys: device removed nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/platform/asus-nb-wmi/input/input21/event17" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "Asus WMI hotkeys" (type: KEYBOARD, id 19) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event4) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) AT Translated Set 2 keyboard: Applying InputClass "evdev keyboard catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) AT Translated Set 2 keyboard: Applying InputClass "system-keyboard" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard' nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got fd for /dev/input/event4 13:68 fd 58 paused 0 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) AT Translated Set 2 keyboard: always reports core events nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "Device" "/dev/input/event4" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "_source" "server/udev" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input4/event4" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 20) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "xkb_variant" ",std" nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) config/udev: Adding input device PC Speaker (/dev/input/event16) nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) No input driver specified, ignoring this device. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) This device may have been added with another device file. nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 16:21:38 mylaptop /usr/libexec/gdm-x-session[1214]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "41" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event3 - Power Button: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "44" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "45" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event5 - Video Bus: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "46" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event6 - Video Bus: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "47" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event2 - Power Button: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "48" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event1 - Sleep Button: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "49" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event11 - ELAN Touchscreen: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "51" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event12 - ELAN Touchscreen: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "52" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "53" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "54" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "55" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "56" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "57" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event17 - Asus WMI hotkeys: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (**) Option "fd" "58" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) AIGLX: Suspending AIGLX clients for VT switch nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (EE) modeset(0): failed to set mode: Permission denied nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:74 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:72 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:75 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:67 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:65 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:73 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:71 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:68 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:79 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:66 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:76 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:81 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:69 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:70 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 13:82 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: got pause for 226:0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: _XSERVTransSocketUNIXCreateListener: ...SocketCreateListener() failed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: _XSERVTransMakeAllCOTSServerListeners: server already running nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (--) Log file renamed from "/home/laptopuser/.local/share/xorg/Xorg.pid-1743.log" to "/home/laptopuser/.local/share/xorg/Xorg.1.log" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: X.Org X Server 1.20.9 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: X Protocol Version 11, Revision 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Build Operating System: 5.8.7-200.fc32.x86_64 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Current Operating System: Linux mylaptop 5.9.8-200.fc33.x86_64 #1 SMP Tue Nov 10 21:58:19 UTC 2020 x86_64 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Build Date: 08 October 2020 12:00:00AM nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Build ID: xorg-x11-server 1.20.9-1.fc33 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Current version of pixman: 0.40.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Before reporting problems, check http://wiki.x.org nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: to make sure that you have the latest version. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Markers: (--) probed, (**) from config file, (==) default setting, nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (++) from command line, (!!) notice, (II) informational, nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) warning, (EE) error, (NI) not implemented, (??) unknown. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Log file: "/home/laptopuser/.local/share/xorg/Xorg.1.log", Time: Sat Nov 21 16:21:55 2020 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Using config directory: "/etc/X11/xorg.conf.d" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Using system config directory "/usr/share/X11/xorg.conf.d" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) ServerLayout "layout" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) No screen section available. Using defaults. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) |-->Screen "Default Screen Section" (0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) | |-->Monitor "" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) No monitor specified for screen "Default Screen Section". nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Using a default monitor configuration. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Automatically adding devices nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Automatically enabling devices nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Automatically adding GPU devices nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Automatically binding GPU devices nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Max clients allowed: 256, resource mask: 0x1fffff nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) FontPath set to: nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: catalogue:/etc/X11/fontpath.d, nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: built-ins nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) ModulePath set to "/usr/lib64/xorg/modules" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) The server relies on udev to provide the list of input devices. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: If no devices become available, reconfigure udev or disable AutoAddDevices. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loader magic: 0x559c31bddb20 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module ABI versions: nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: X.Org ANSI C Emulation: 0.4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: X.Org Video Driver: 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: X.Org XInput driver : 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: X.Org Server Extension : 10.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (++) using VT number 2 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: took control of session /org/freedesktop/login1/session/_32 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) xfree86: Adding drm device (/dev/dri/card1) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/dri/card1 226:1 fd 15 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) xfree86: Adding drm device (/dev/dri/card0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/dri/card0 226:0 fd 16 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (--) PCI:*(0@0:2:0) 8086:591b:1043:1970 rev 4, Mem @ 0xeb000000/16777216, 0x40000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/65536 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (--) PCI: (1@0:0:0) 10de:1c8c:1043:1970 rev 161, Mem @ 0xec000000/16777216, 0xa0000000/268435456, 0xb0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/65536 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "glx" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/extensions/libglx.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module glx: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org Server Extension, version 10.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Applying OutputClass "nvidia" to /dev/dri/card1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: loading driver: nvidia nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Matched nvidia as autoconfigured driver 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Matched nouveau as autoconfigured driver 1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Matched nv as autoconfigured driver 2 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Matched modesetting as autoconfigured driver 3 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Matched fbdev as autoconfigured driver 4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Matched vesa as autoconfigured driver 5 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) Assigned the driver to the xf86ConfigLayout nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "nvidia" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/drivers/nvidia_drv.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module nvidia: vendor="NVIDIA Corporation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Module class: X.Org Video Driver nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "nouveau" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/drivers/nouveau_drv.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module nouveau: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.8, module version = 1.0.15 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Module class: X.Org Video Driver nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "nv" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) Warning, couldn't open module nv nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (EE) Failed to load module "nv" (module does not exist, 0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "modesetting" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/drivers/modesetting_drv.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module modesetting: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.9, module version = 1.20.9 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Module class: X.Org Video Driver nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "fbdev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/drivers/fbdev_drv.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module fbdev: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.8, module version = 0.5.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Module class: X.Org Video Driver nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "vesa" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/drivers/vesa_drv.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module vesa: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.8, module version = 2.4.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Module class: X.Org Video Driver nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA dlloader X Driver 455.45.01 Thu Nov 5 23:01:05 UTC 2020 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NOUVEAU driver nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NOUVEAU driver for NVIDIA chipset families : nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: RIVA TNT (NV04) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: RIVA TNT2 (NV05) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 256 (NV10) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 2 (NV11, NV15) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 4MX (NV17, NV18) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 3 (NV20) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 4Ti (NV25, NV28) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce FX (NV3x) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 6 (NV4x) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 7 (G7x) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce 8 (G8x) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce GTX 200 (NVA0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: GeForce GTX 400 (NVC0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modesetting: Driver for Modesetting Kernel Drivers: kms nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) FBDEV: driver for framebuffer: fbdev nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) VESA: driver for VESA chipsets: vesa nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: xf86EnableIOPorts: failed to set IOPL for I/O (Operation not permitted) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): using drv /dev/dri/card0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) Falling back to old probe method for fbdev nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "fbdevhw" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "fbdevhw" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/libfbdevhw.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module fbdevhw: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.9, module version = 0.0.2 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org Video Driver, version 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (EE) open /dev/fb0: Permission denied nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 226:1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "fb" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "fb" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module fb: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "wfb" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "wfb" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/libwfb.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module wfb: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "ramdac" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "ramdac" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module "ramdac" already built-in nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) VGA arbiter: cannot open kernel arbiter, no multi-card support nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Creating default Display subsection in Screen section nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: "Default Screen Section" for depth/fbbpp 24/32 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): Depth 24, (==) framebuffer bpp 32 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): RGB weight 888 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): Default visual is TrueColor nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "glamoregl" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "glamoregl" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/libglamoregl.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module glamoregl: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.9, module version = 1.0.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): glamor X acceleration enabled on Mesa Intel(R) HD Graphics 630 (KBL GT2) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): glamor initialized nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output eDP-1 has no monitor section nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output DP-1 has no monitor section nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output HDMI-1 has no monitor section nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output DP-2 has no monitor section nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID for output eDP-1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Manufacturer: CMN Model: 15e8 Serial#: 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Year: 2016 Week: 33 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID Version: 1.4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Digital Display Input nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 8 bits per channel nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Digital interface is DisplayPort nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Gamma: 2.20 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): No DPMS capabilities specified nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Supported color encodings: RGB 4:4:4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): First detailed timing is preferred mode nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Preferred mode is native pixel format and refresh rate nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): redX: 0.648 redY: 0.338 greenX: 0.313 greenY: 0.600 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): blueX: 0.153 blueY: 0.050 whiteX: 0.313 whiteY: 0.329 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Manufacturer's mask: 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Supported detailed timing: nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): clock: 152.8 MHz Image Size: 344 x 193 mm nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): h_active: 1920 h_sync: 2000 h_sync_end 2054 h_blank_end 2250 h_border: 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): v_active: 1080 v_sync: 1086 v_sync_end 1094 v_blanking: 1132 v_border: 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): N156HCE-EN1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): CMN nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): N156HCE-EN1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID (in hex): nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 00ffffffffffff000daee81500000000 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 211a0104a5221378022675a656509927 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 0c505400000001010101010101010101 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 010101010101b43b804a713834405036 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 680058c110000018000000fe004e3135 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 364843452d454e310a20000000fe0043 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 4d4e0a202020202020202020000000fe nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): 004e3135364843452d454e310a2000a2 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Printing probed modes for output eDP-1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1920x1080"x60.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID for output DP-1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID for output HDMI-1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID for output DP-2 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output eDP-1 connected nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output DP-1 disconnected nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output HDMI-1 disconnected nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output DP-2 disconnected nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Using exact sizes for initial modes nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): DPI set to (96, 96) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "fb" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "fb" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/libfb.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module fb: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.9, module version = 1.0.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org ANSI C Emulation, version 0.4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): Depth 24, (==) framebuffer bpp 32 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): RGB weight 888 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): Default visual is TrueColor nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): Using gamma correction (1.0, 1.0, 1.0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "AllowNVIDIAGpuScreens" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Applying OutputClass "nvidia" options to /dev/dri/card1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) NVIDIA(G0): Option "SLI" "Auto" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) NVIDIA(G0): Option "BaseMosaic" "on" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) NVIDIA(G0): Option "AllowEmptyInitialConfiguration" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) NVIDIA(G0): Invalid SLI option: 'Auto'; using single GPU rendering. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) NVIDIA(G0): Base Mosaic is available only on screen 0. Disabling Base nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) NVIDIA(G0): Mosaic. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) NVIDIA(G0): Enabling 2D acceleration nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "glxserver_nvidia" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "glxserver_nvidia" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/extensions/libglxserver_nvidia.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module glxserver_nvidia: vendor="NVIDIA Corporation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.6.99.901, module version = 1.0.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Module class: X.Org Server Extension nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA GLX Module 455.45.01 Thu Nov 5 22:58:18 UTC 2020 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA: The X server supports PRIME Render Offload. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): NVIDIA GPU GeForce GTX 1050 Ti (GP107-A) at PCI:1:0:0 (GPU-0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (--) NVIDIA(G0): Memory: 4194304 kBytes nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (--) NVIDIA(G0): VideoBIOS: 86.07.3c.00.65 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): Detected PCI Express Link width: 16X nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): Validated MetaModes: nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): "NULL" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): Virtual screen size determined to be 640 x 480 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) NVIDIA(G0): Unable to get display device for DPI computation. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): DPI set to (75, 75); computed from built-in default nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "nouveau" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Unloading nouveau nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "fbdev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Unloading fbdev nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadSubModule: "fbdevhw" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Unloading fbdevhw nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "vesa" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Unloading vesa nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): Backing store enabled nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): Silken mouse enabled nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Initializing kms color map for depth 24, 8 bpc. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) modeset(0): DPMS enabled nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): [DRI2] Setup complete nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): [DRI2] DRI driver: iris nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): [DRI2] VDPAU driver: va_gl nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) NVIDIA: Failed to bind sideband socket to nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) NVIDIA: '/var/run/nvidia-xdriver-ee262918' Permission denied nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA: Using 24576.00 MB of virtual memory for indirect memory nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA: access. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): ACPI: failed to connect to the ACPI event daemon; the daemon nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): may not be running or the "AcpidSocketPath" X nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): configuration option may not be set correctly. When the nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): ACPI event daemon is available, the NVIDIA X driver will nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): try to use it to receive ACPI event notifications. For nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): details, please see the "ConnectToAcpid" and nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): "AcpidSocketPath" X configuration options in Appendix B: X nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): Config Options in the README. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): Setting mode "NULL" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): Disabling shared memory pixmaps nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): Backing store enabled nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): Silken mouse enabled nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (==) NVIDIA(G0): DPMS enabled nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading sub module "dri2" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "dri2" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module "dri2" already built-in nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): [DRI2] Setup complete nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(G0): [DRI2] VDPAU driver: nvidia nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension Generic Event Extension nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension SHAPE nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension MIT-SHM nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XInputExtension nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XTEST nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension BIG-REQUESTS nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension SYNC nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XKEYBOARD nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XC-MISC nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension SECURITY nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XFIXES nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension RENDER nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension RANDR nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension COMPOSITE nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension DAMAGE nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension MIT-SCREEN-SAVER nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension DOUBLE-BUFFER nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension RECORD nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension DPMS nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension Present nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension DRI3 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension X-Resource nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XVideo nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XVideo-MotionCompensation nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension SELinux nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) SELinux: Disabled by boolean nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension GLX nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension GLX nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Indirect GLX disabled. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) AIGLX: Loaded and initialized iris nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) GLX: Initialized DRI2 GL provider for screen 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XFree86-VidModeExtension nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XFree86-DGA nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension XFree86-DRI nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension DRI2 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension NV-GLX nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Initializing extension NV-CONTROL nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Damage tracking initialized nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Setting screen physical size to 508 x 285 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Power Button (/dev/input/event3) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) LoadModule: "libinput" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Loading /usr/lib64/xorg/modules/input/libinput_drv.so nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Module libinput: vendor="X.Org Foundation" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: compiled for 1.20.8, module version = 0.30.0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Module class: X.Org XInput Driver nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: ABI class: X.Org XInput driver, version 24.1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'Power Button' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event3 13:67 fd 42 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event3" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event3 - Power Button: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event3 - Power Button: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input3/event3" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event3 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event3 - Power Button: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Asus Wireless Radio Control (/dev/input/event15) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus Wireless Radio Control: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus Wireless Radio Control: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus Wireless Radio Control: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'Asus Wireless Radio Control' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event15 13:79 fd 45 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus Wireless Radio Control: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event15" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19/event15" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "Asus Wireless Radio Control" (type: KEYBOARD, id 7) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event15 - Asus Wireless Radio Control: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Video Bus (/dev/input/event5) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event5 13:69 fd 46 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event5" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event5 - Video Bus: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event5 - Video Bus: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input7/event5" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event5 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event5 - Video Bus: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Video Bus (/dev/input/event6) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'Video Bus' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event6 13:70 fd 47 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Video Bus: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event6" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event6 - Video Bus: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event6 - Video Bus: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input8/event6" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 9) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event6 - Video Bus: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event6 - Video Bus: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Lid Switch (/dev/input/event0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Power Button (/dev/input/event2) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'Power Button' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event2 13:66 fd 48 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Power Button: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event2" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event2 - Power Button: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event2 - Power Button: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2/event2" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 10) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event2 - Power Button: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event2 - Power Button: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Sleep Button (/dev/input/event1) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Sleep Button: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Sleep Button: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Sleep Button: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'Sleep Button' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event1 13:65 fd 49 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Sleep Button: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event1" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event1 - Sleep Button: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event1 - Sleep Button: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1/event1" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "Sleep Button" (type: KEYBOARD, id 11) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event1 - Sleep Button: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event1 - Sleep Button: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event11) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: Applying InputClass "evdev tablet catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: Applying InputClass "libinput tablet catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event11 13:75 fd 50 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event11" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14/event11" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TABLET, id 12) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: device is a tablet nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse3) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event12) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: Applying InputClass "evdev touchscreen catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: Applying InputClass "libinput touchscreen catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'ELAN Touchscreen' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event12 13:76 fd 52 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event12" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event12 - ELAN Touchscreen: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15/event12" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TOUCHSCREEN, id 13) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "AccelerationScheme" "none" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: (accel) selected scheme none/0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: (accel) acceleration factor: 2.000 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN Touchscreen: (accel) acceleration threshold: 4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event12 - ELAN Touchscreen: device is a touch device nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse4) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event13) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event14) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device USB2.0 VGA UVC WebCam: USB2.0 V (/dev/input/event18) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'USB2.0 VGA UVC WebCam: USB2.0 V' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event18 13:82 fd 53 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) USB2.0 VGA UVC WebCam: USB2.0 V: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event18" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input22/event18" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "USB2.0 VGA UVC WebCam: USB2.0 V" (type: KEYBOARD, id 14) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/event9) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse: Applying InputClass "evdev pointer catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse: Applying InputClass "libinput pointer catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event9 13:73 fd 54 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event9" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11/event9" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse" (type: MOUSE, id 15) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "AccelerationScheme" "none" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse: (accel) selected scheme none/0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse: (accel) acceleration factor: 2.000 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse: (accel) acceleration threshold: 4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: device is a pointer nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/mouse2) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device SINOWEALTH Game Mouse Keyboard (/dev/input/event10) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse Keyboard' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event10 13:74 fd 55 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) SINOWEALTH Game Mouse Keyboard: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event10" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12/event10" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse Keyboard" (type: KEYBOARD, id 16) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/event8) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "evdev touchpad catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "libinput touchpad catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Touchpad' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event8 13:72 fd 56 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Touchpad: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event8" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input10/event8" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Touchpad" (type: TOUCHPAD, id 17) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "AccelerationScheme" "none" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) selected scheme none/0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration factor: 2.000 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration threshold: 4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/mouse1) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/event7) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "evdev pointer catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "libinput pointer catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Mouse' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event7 13:71 fd 57 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Mouse: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event7" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input9/event7" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Mouse" (type: MOUSE, id 18) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "AccelerationScheme" "none" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) selected scheme none/0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration factor: 2.000 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration threshold: 4 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/mouse0) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device HDA Intel PCH Front Headphone (/dev/input/event19) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event20) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event21) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event22) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event23) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event24) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device Asus WMI hotkeys (/dev/input/event17) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus WMI hotkeys: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus WMI hotkeys: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus WMI hotkeys: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'Asus WMI hotkeys' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event17 13:81 fd 58 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Asus WMI hotkeys: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event17" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event17 - Asus WMI hotkeys: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/platform/asus-nb-wmi/input/input21/event17" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "Asus WMI hotkeys" (type: KEYBOARD, id 19) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event17 - Asus WMI hotkeys: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event4) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) AT Translated Set 2 keyboard: Applying InputClass "evdev keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) AT Translated Set 2 keyboard: Applying InputClass "system-keyboard" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard' nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: got fd for /dev/input/event4 13:68 fd 59 paused 0 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) AT Translated Set 2 keyboard: always reports core events nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "Device" "/dev/input/event4" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "_source" "server/udev" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input4/event4" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 20) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_layout" "us,ro" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "xkb_variant" ",std" nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event4 - AT Translated Set 2 keyboard: device is a keyboard nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) config/udev: Adding input device PC Speaker (/dev/input/event16) nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) No input driver specified, ignoring this device. nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) This device may have been added with another device file. nov 21 16:21:56 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 16:21:56 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 16:21:56 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:68 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:81 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:71 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:72 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:74 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:73 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:82 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:76 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:75 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:65 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:66 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:70 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:69 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:79 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) UnloadModule: "libinput" nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) systemd-logind: releasing fd for 13:67 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) NVIDIA(GPU-0): Deleting GPU-0 nov 21 16:21:59 mylaptop /usr/libexec/gdm-x-session[1214]: (II) Server terminated successfully (0). Closing log file. nov 21 16:23:33 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): EDID vendor "CMN", prod id 5608 nov 21 16:23:33 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Printing DDC gathered Modelines: nov 21 16:23:33 mylaptop /usr/libexec/gdm-x-session[1743]: (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) nov 21 17:49:01 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: SYN_DROPPED event - some input events have been lost. nov 21 18:51:55 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: SYN_DROPPED event - some input events have been lost. nov 21 20:43:17 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: SYN_DROPPED event - some input events have been lost. nov 21 20:43:17 mylaptop /usr/libexec/gdm-x-session[1743]: (EE) event9 - SINOWEALTH Game Mouse: client bug: event processing lagging behind by 47ms, your system is too slow nov 21 21:06:59 mylaptop /usr/libexec/gdm-x-session[1743]: (EE) event9 - SINOWEALTH Game Mouse: client bug: event processing lagging behind by 11ms, your system is too slow nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "42" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event3 - Power Button: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "45" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event15 - Asus Wireless Radio Control: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "46" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event5 - Video Bus: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "47" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event6 - Video Bus: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "48" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event2 - Power Button: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "49" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event1 - Sleep Button: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "50" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event11 - ELAN Touchscreen: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "52" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event12 - ELAN Touchscreen: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "53" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "54" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event9 - SINOWEALTH Game Mouse: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "55" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "56" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "57" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "58" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event17 - Asus WMI hotkeys: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (**) Option "fd" "59" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) event4 - AT Translated Set 2 keyboard: device removed nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:68 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:81 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:71 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:72 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:74 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:73 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:82 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:76 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:75 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:65 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:66 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:70 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:69 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:79 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) UnloadModule: "libinput" nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) systemd-logind: releasing fd for 13:67 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) NVIDIA(GPU-0): Deleting GPU-0 nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) xf86CloseConsole: KDSETMODE failed: Input/output error nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) xf86CloseConsole: VT_GETMODE failed: Input/output error nov 21 21:07:22 mylaptop /usr/libexec/gdm-x-session[1743]: (II) Server terminated successfully (0). Closing log file. ____________________________________________ journalctl -b -2 _COMM=Xorg -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -0 _COMM=Xorg.bin -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -1 _COMM=Xorg.bin -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -2 _COMM=Xorg.bin -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -0 _COMM=X -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -1 _COMM=X -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -2 _COMM=X -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -0 _COMM=gdm-x-session -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -1 _COMM=gdm-x-session -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ journalctl -b -2 _COMM=gdm-x-session -- Logs begin at Tue 2020-05-26 18:54:26 EEST, end at Sat 2020-11-21 21:11:46 EET. -- -- No entries -- ____________________________________________ /usr/bin/coredumpctl info COREDUMP_COMM=Xorg COREDUMP_COMM=Xorg.bin COREDUMP_COMM=X PID: 1778 (Xorg) UID: 1000 (laptopuser) GID: 1000 (laptopuser) Signal: 6 (ABRT) Timestamp: Sun 2020-06-07 23:12:54 EEST (5 months 14 days ago) Command Line: /usr/libexec/Xorg vt2 -displayfd 3 -auth /run/user/1000/gdm/Xauthority -background none -noreset -keeptty -verbose 3 Executable: /usr/libexec/Xorg Control Group: /user.slice/user-1000.slice/session-2.scope Unit: session-2.scope Slice: user-1000.slice Session: 2 Owner UID: 1000 (laptopuser) Boot ID: fa15388e42df41b19841746326a6cd1a Machine ID: a3bc3fb70ed244b6848885be55b26e1d Hostname: mylaptop Storage: /var/lib/systemd/coredump/core.Xorg.1000.fa15388e42df41b19841746326a6cd1a.1778.1591560774000000000000.lz4 (inaccessible) Message: Process 1778 (Xorg) of user 1000 dumped core. Stack trace of thread 1778: #0 0x00007fc3ecf83a25 raise (libc.so.6 + 0x3ca25) #1 0x00007fc3ecf6c895 abort (libc.so.6 + 0x25895) #2 0x0000562599907810 OsAbort (Xorg + 0x1c5810) #3 0x000056259990d089 AbortServer (Xorg + 0x1cb089) #4 0x000056259990ddda FatalError (Xorg + 0x1cbdda) #5 0x0000562599904b80 OsSigHandler (Xorg + 0x1c2b80) #6 0x00007fc3ed125a90 __restore_rt (libpthread.so.0 + 0x14a90) #7 0x00007fc3ecf83a25 raise (libc.so.6 + 0x3ca25) #8 0x00007fc3ecf6c895 abort (libc.so.6 + 0x25895) #9 0x00007fc3ecfc78c7 __libc_message (libc.so.6 + 0x808c7) #10 0x00007fc3ecfcedec malloc_printerr (libc.so.6 + 0x87dec) #11 0x00007fc3ecfcffb4 _int_free (libc.so.6 + 0x88fb4) #12 0x00007fc3ed7c2ef6 internal_hashmap_clear (libudev.so.1 + 0x17ef6) #13 0x00007fc3ed7c2fa0 internal_hashmap_free.part.0 (libudev.so.1 + 0x17fa0) #14 0x00007fc3ed7c79ff sd_device_unref.isra.0 (libudev.so.1 + 0x1c9ff) #15 0x00007fc3ed7b3a27 udev_device_unref (libudev.so.1 + 0x8a27) #16 0x00007fc3cb646d6a evdev_device_destroy (libinput.so.10 + 0x16d6a) #17 0x00007fc3cb63fbf8 libinput_device_unref (libinput.so.10 + 0xfbf8) #18 0x00007fc3cb641d26 libinput_event_destroy (libinput.so.10 + 0x11d26) #19 0x00007fc3cb641de5 libinput_unref (libinput.so.10 + 0x11de5) #20 0x00007fc3d0042064 xf86libinput_uninit (libinput_drv.so + 0x8064) #21 0x00005625997ece6e DeleteInputDeviceRequest (Xorg + 0xaae6e) #22 0x00005625997934cc CloseDeviceList.part.0 (Xorg + 0x514cc) #23 0x0000562599793faf CloseDownDevices (Xorg + 0x51faf) #24 0x00005625997a3b3c dix_main (Xorg + 0x61b3c) #25 0x00007fc3ecf6e042 __libc_start_main (libc.so.6 + 0x27042) #26 0x000056259978ce3e _start (Xorg + 0x4ae3e) Stack trace of thread 1785: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) Stack trace of thread 1783: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) Stack trace of thread 1780: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) Stack trace of thread 1779: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) Stack trace of thread 1786: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) Stack trace of thread 1782: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) Stack trace of thread 1784: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) Stack trace of thread 1781: #0 0x00007fc3ed120e92 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xfe92) #1 0x00007fc3eaaef1db util_queue_thread_func (iris_dri.so + 0x4681db) #2 0x00007fc3eaaeecab impl_thrd_routine (iris_dri.so + 0x467cab) #3 0x00007fc3ed11a432 start_thread (libpthread.so.0 + 0x9432) #4 0x00007fc3ed0489d3 __clone (libc.so.6 + 0x1019d3) PID: 1713 (Xorg) UID: 1000 (laptopuser) GID: 1000 (laptopuser) Signal: 6 (ABRT) Timestamp: Sat 2020-11-14 21:53:19 EET (6 days ago) Command Line: /usr/libexec/Xorg vt2 -displayfd 3 -auth /run/user/1000/gdm/Xauthority -nolisten tcp -background none -noreset -keeptty -novtswitch -verbose 3 Executable: /usr/libexec/Xorg Control Group: /user.slice/user-1000.slice/session-2.scope Unit: session-2.scope Slice: user-1000.slice Session: 2 Owner UID: 1000 (laptopuser) Boot ID: 0780a1672a464081b21033e40815df4d Machine ID: a3bc3fb70ed244b6848885be55b26e1d Hostname: mylaptop Storage: /var/lib/systemd/coredump/core.Xorg.1000.0780a1672a464081b21033e40815df4d.1713.1605383599000000.zst (inaccessible) Message: Process 1713 (Xorg) of user 1000 dumped core. Stack trace of thread 1713: #0 0x00007f69794919d5 raise (libc.so.6 + 0x3d9d5) #1 0x00007f697947a8a4 abort (libc.so.6 + 0x268a4) #2 0x000055fe31755edc OsAbort (Xorg + 0x1bdedc) #3 0x000055fe31756a32 FatalError (Xorg + 0x1bea32) #4 0x000055fe31759469 OsSigHandler (Xorg + 0x1c1469) #5 0x00007f69796331e0 __restore_rt (libpthread.so.0 + 0x141e0) #6 0x00007f6979ccd30e hashmap_iterate_in_insertion_order.lto_priv.0 (libudev.so.1 + 0x1330e) #7 0x00007f6979cd19c5 _hashmap_first_key_and_value (libudev.so.1 + 0x179c5) #8 0x00007f6979cd1a58 _hashmap_clear (libudev.so.1 + 0x17a58) #9 0x00007f6979cd1b0c _hashmap_free.part.0 (libudev.so.1 + 0x17b0c) #10 0x00007f6979cd5c43 sd_device_unref.isra.0 (libudev.so.1 + 0x1bc43) #11 0x00007f6979cc4f13 udev_device_unref (libudev.so.1 + 0xaf13) #12 0x00007f6964029f35 evdev_device_destroy (libinput.so.10 + 0x24f35) #13 0x00007f6964013eae libinput_device_unref (libinput.so.10 + 0xeeae) #14 0x00007f69640157de libinput_event_destroy (libinput.so.10 + 0x107de) #15 0x00007f69640158a5 libinput_unref (libinput.so.10 + 0x108a5) #16 0x00007f696c00e160 xf86libinput_uninit (libinput_drv.so + 0x9160) #17 0x000055fe3164037b DeleteInputDeviceRequest (Xorg + 0xa837b) #18 0x000055fe315eb3dc CloseDeviceList.part.0 (Xorg + 0x533dc) #19 0x000055fe315eb97d CloseDownDevices (Xorg + 0x5397d) #20 0x000055fe315e08bd main (Xorg + 0x488bd) #21 0x00007f697947c1e2 __libc_start_main (libc.so.6 + 0x281e2) #22 0x000055fe315e120e _start (Xorg + 0x4920e) Stack trace of thread 1717: #0 0x00007f697962e6c2 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xf6c2) #1 0x00007f6976e9cf4b util_queue_thread_func (iris_dri.so + 0x491f4b) #2 0x00007f6976e9ca17 impl_thrd_routine (iris_dri.so + 0x491a17) #3 0x00007f69796283f9 start_thread (libpthread.so.0 + 0x93f9) #4 0x00007f6979555903 __clone (libc.so.6 + 0x101903) Stack trace of thread 1715: #0 0x00007f697962e6c2 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xf6c2) #1 0x00007f6976e9cf4b util_queue_thread_func (iris_dri.so + 0x491f4b) #2 0x00007f6976e9ca17 impl_thrd_routine (iris_dri.so + 0x491a17) #3 0x00007f69796283f9 start_thread (libpthread.so.0 + 0x93f9) #4 0x00007f6979555903 __clone (libc.so.6 + 0x101903) Stack trace of thread 1721: #0 0x00007f697962e6c2 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xf6c2) #1 0x00007f6976e9cf4b util_queue_thread_func (iris_dri.so + 0x491f4b) #2 0x00007f6976e9ca17 impl_thrd_routine (iris_dri.so + 0x491a17) #3 0x00007f69796283f9 start_thread (libpthread.so.0 + 0x93f9) #4 0x00007f6979555903 __clone (libc.so.6 + 0x101903) Stack trace of thread 1719: #0 0x00007f697962e6c2 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xf6c2) #1 0x00007f6976e9cf4b util_queue_thread_func (iris_dri.so + 0x491f4b) #2 0x00007f6976e9ca17 impl_thrd_routine (iris_dri.so + 0x491a17) #3 0x00007f69796283f9 start_thread (libpthread.so.0 + 0x93f9) #4 0x00007f6979555903 __clone (libc.so.6 + 0x101903) Stack trace of thread 1718: #0 0x00007f697962e6c2 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xf6c2) #1 0x00007f6976e9cf4b util_queue_thread_func (iris_dri.so + 0x491f4b) #2 0x00007f6976e9ca17 impl_thrd_routine (iris_dri.so + 0x491a17) #3 0x00007f69796283f9 start_thread (libpthread.so.0 + 0x93f9) #4 0x00007f6979555903 __clone (libc.so.6 + 0x101903) Stack trace of thread 1714: #0 0x00007f697962e6c2 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xf6c2) #1 0x00007f6976e9cf4b util_queue_thread_func (iris_dri.so + 0x491f4b) #2 0x00007f6976e9ca17 impl_thrd_routine (iris_dri.so + 0x491a17) #3 0x00007f69796283f9 start_thread (libpthread.so.0 + 0x93f9) #4 0x00007f6979555903 __clone (libc.so.6 + 0x101903) Stack trace of thread 1720: #0 0x00007f697962e6c2 pthread_cond_wait@@GLIBC_2.3.2 (libpthread.so.0 + 0xf6c2) #1 0x00007f6976e9cf4b util_queue_thread_func (iris_dri.so + 0x491f4b) #2 0x00007f6976e9ca17 impl_thrd_routine (iris_dri.so + 0x491a17) #3 0x00007f69796283f9 start_thread (libpthread.so.0 + 0x93f9) #4 0x00007f6979555903 __clone (libc.so.6 + 0x101903) Stack trace of ____________________________________________ *** /var/log/Xorg.0.log *** ls: -rw-r--r--. 1 root root 56180 2020-07-01 17:42:12.244254777 +0300 /var/log/Xorg.0.log [ 154.737] X.Org X Server 1.20.8 X Protocol Version 11, Revision 0 [ 154.737] Build Operating System: 5.5.10-200.fc31.x86_64 [ 154.737] Current Operating System: Linux mylaptop 5.6.19-300.fc32.x86_64 #1 SMP Wed Jun 17 16:10:48 UTC 2020 x86_64 [ 154.737] Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.6.19-300.fc32.x86_64 root=/dev/mapper/fedora_localhost--live-root ro rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet [ 154.737] Build Date: 30 March 2020 12:00:00AM [ 154.738] Build ID: xorg-x11-server 1.20.8-1.fc32 [ 154.738] Current version of pixman: 0.40.0 [ 154.742] Before reporting problems, check http://wiki.x.org to make sure that you have the latest version. [ 154.742] Markers: (--) probed, (**) from config file, (==) default setting, (++) from command line, (!!) notice, (II) informational, (WW) warning, (EE) error, (NI) not implemented, (??) unknown. [ 154.746] (==) Log file: "/var/log/Xorg.0.log", Time: Wed Jul 1 17:42:00 2020 [ 154.748] (==) Using config directory: "/etc/X11/xorg.conf.d" [ 154.748] (==) Using system config directory "/usr/share/X11/xorg.conf.d" [ 154.748] (==) ServerLayout "layout" [ 154.748] (==) No screen section available. Using defaults. [ 154.748] (**) |-->Screen "Default Screen Section" (0) [ 154.748] (**) | |-->Monitor "" [ 154.748] (==) No monitor specified for screen "Default Screen Section". Using a default monitor configuration. [ 154.748] (==) Automatically adding devices [ 154.748] (==) Automatically enabling devices [ 154.748] (==) Automatically adding GPU devices [ 154.748] (==) Automatically binding GPU devices [ 154.748] (==) Max clients allowed: 256, resource mask: 0x1fffff [ 154.748] (==) FontPath set to: catalogue:/etc/X11/fontpath.d, built-ins [ 154.748] (==) ModulePath set to "/usr/lib64/xorg/modules" [ 154.748] (II) The server relies on udev to provide the list of input devices. If no devices become available, reconfigure udev or disable AutoAddDevices. [ 154.748] (II) Loader magic: 0x55bad2c03e20 [ 154.748] (II) Module ABI versions: [ 154.748] X.Org ANSI C Emulation: 0.4 [ 154.748] X.Org Video Driver: 24.1 [ 154.748] X.Org XInput driver : 24.1 [ 154.748] X.Org Server Extension : 10.0 [ 154.749] (++) using VT number 2 [ 154.750] (II) systemd-logind: took control of session /org/freedesktop/login1/session/_32 [ 154.750] (II) xfree86: Adding drm device (/dev/dri/card1) [ 154.751] (II) systemd-logind: got fd for /dev/dri/card1 226:1 fd 13 paused 0 [ 154.751] (II) xfree86: Adding drm device (/dev/dri/card0) [ 154.751] (II) systemd-logind: got fd for /dev/dri/card0 226:0 fd 14 paused 0 [ 154.754] (--) PCI:*(0@0:2:0) 8086:591b:1043:1970 rev 4, Mem @ 0xeb000000/16777216, 0x40000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/131072 [ 154.754] (--) PCI: (1@0:0:0) 10de:1c8c:1043:1970 rev 161, Mem @ 0xec000000/16777216, 0xa0000000/268435456, 0xb0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288 [ 154.754] (II) LoadModule: "glx" [ 154.754] (II) Loading /usr/lib64/xorg/modules/extensions/libglx.so [ 154.755] (II) Module glx: vendor="X.Org Foundation" [ 154.755] compiled for 1.20.8, module version = 1.0.0 [ 154.755] ABI class: X.Org Server Extension, version 10.0 [ 154.755] (II) Applying OutputClass "nvidia" to /dev/dri/card1 [ 154.755] loading driver: nvidia [ 154.866] (==) Matched nvidia as autoconfigured driver 0 [ 154.866] (==) Matched nouveau as autoconfigured driver 1 [ 154.866] (==) Matched nv as autoconfigured driver 2 [ 154.866] (==) Matched modesetting as autoconfigured driver 3 [ 154.866] (==) Matched fbdev as autoconfigured driver 4 [ 154.866] (==) Matched vesa as autoconfigured driver 5 [ 154.866] (==) Assigned the driver to the xf86ConfigLayout [ 154.866] (II) LoadModule: "nvidia" [ 154.866] (II) Loading /usr/lib64/xorg/modules/drivers/nvidia_drv.so [ 154.867] (II) Module nvidia: vendor="NVIDIA Corporation" [ 154.867] compiled for 1.6.99.901, module version = 1.0.0 [ 154.867] Module class: X.Org Video Driver [ 154.867] (II) LoadModule: "nouveau" [ 154.868] (II) Loading /usr/lib64/xorg/modules/drivers/nouveau_drv.so [ 154.868] (II) Module nouveau: vendor="X.Org Foundation" [ 154.868] compiled for 1.20.6, module version = 1.0.15 [ 154.868] Module class: X.Org Video Driver [ 154.868] ABI class: X.Org Video Driver, version 24.0 [ 154.868] (II) LoadModule: "nv" [ 154.869] (WW) Warning, couldn't open module nv [ 154.869] (EE) Failed to load module "nv" (module does not exist, 0) [ 154.869] (II) LoadModule: "modesetting" [ 154.869] (II) Loading /usr/lib64/xorg/modules/drivers/modesetting_drv.so [ 154.869] (II) Module modesetting: vendor="X.Org Foundation" [ 154.869] compiled for 1.20.8, module version = 1.20.8 [ 154.869] Module class: X.Org Video Driver [ 154.869] ABI class: X.Org Video Driver, version 24.1 [ 154.869] (II) LoadModule: "fbdev" [ 154.869] (II) Loading /usr/lib64/xorg/modules/drivers/fbdev_drv.so [ 154.870] (II) Module fbdev: vendor="X.Org Foundation" [ 154.870] compiled for 1.20.6, module version = 0.5.0 [ 154.870] Module class: X.Org Video Driver [ 154.870] ABI class: X.Org Video Driver, version 24.0 [ 154.870] (II) LoadModule: "vesa" [ 154.870] (II) Loading /usr/lib64/xorg/modules/drivers/vesa_drv.so [ 154.870] (II) Module vesa: vendor="X.Org Foundation" [ 154.870] compiled for 1.20.6, module version = 2.4.0 [ 154.870] Module class: X.Org Video Driver [ 154.870] ABI class: X.Org Video Driver, version 24.0 [ 154.870] (II) NVIDIA dlloader X Driver 440.100 Fri May 29 08:21:27 UTC 2020 [ 154.870] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs [ 154.870] (II) NOUVEAU driver [ 154.870] (II) NOUVEAU driver for NVIDIA chipset families : [ 154.870] RIVA TNT (NV04) [ 154.870] RIVA TNT2 (NV05) [ 154.870] GeForce 256 (NV10) [ 154.870] GeForce 2 (NV11, NV15) [ 154.870] GeForce 4MX (NV17, NV18) [ 154.871] GeForce 3 (NV20) [ 154.871] GeForce 4Ti (NV25, NV28) [ 154.871] GeForce FX (NV3x) [ 154.871] GeForce 6 (NV4x) [ 154.871] GeForce 7 (G7x) [ 154.871] GeForce 8 (G8x) [ 154.871] GeForce GTX 200 (NVA0) [ 154.871] GeForce GTX 400 (NVC0) [ 154.871] (II) modesetting: Driver for Modesetting Kernel Drivers: kms [ 154.871] (II) FBDEV: driver for framebuffer: fbdev [ 154.871] (II) VESA: driver for VESA chipsets: vesa [ 154.871] (II) modeset(0): using drv /dev/dri/card0 [ 154.871] (WW) Falling back to old probe method for fbdev [ 154.871] (II) Loading sub module "fbdevhw" [ 154.871] (II) LoadModule: "fbdevhw" [ 154.872] (II) Loading /usr/lib64/xorg/modules/libfbdevhw.so [ 154.872] (II) Module fbdevhw: vendor="X.Org Foundation" [ 154.872] compiled for 1.20.8, module version = 0.0.2 [ 154.872] ABI class: X.Org Video Driver, version 24.1 [ 154.872] (II) systemd-logind: releasing fd for 226:1 [ 154.874] (II) Loading sub module "fb" [ 154.874] (II) LoadModule: "fb" [ 154.874] (II) Loading /usr/lib64/xorg/modules/libfb.so [ 154.874] (II) Module fb: vendor="X.Org Foundation" [ 154.874] compiled for 1.20.8, module version = 1.0.0 [ 154.874] ABI class: X.Org ANSI C Emulation, version 0.4 [ 154.874] (II) Loading sub module "wfb" [ 154.874] (II) LoadModule: "wfb" [ 154.875] (II) Loading /usr/lib64/xorg/modules/libwfb.so [ 154.875] (II) Module wfb: vendor="X.Org Foundation" [ 154.875] compiled for 1.20.8, module version = 1.0.0 [ 154.875] ABI class: X.Org ANSI C Emulation, version 0.4 [ 154.875] (II) Loading sub module "ramdac" [ 154.875] (II) LoadModule: "ramdac" [ 154.875] (II) Module "ramdac" already built-in [ 154.876] (EE) NVIDIA: Failed to initialize the NVIDIA kernel module. Please see the [ 154.876] (EE) NVIDIA: system's kernel log for additional error messages and [ 154.876] (EE) NVIDIA: consult the NVIDIA README for details. [ 154.877] (EE) [drm] Failed to open DRM device for (null): -2 [ 154.877] (II) modeset(G0): using drv /dev/dri/card1 [ 154.877] (II) modeset(0): Creating default Display subsection in Screen section "Default Screen Section" for depth/fbbpp 24/32 [ 154.877] (==) modeset(0): Depth 24, (==) framebuffer bpp 32 [ 154.877] (==) modeset(0): RGB weight 888 [ 154.877] (==) modeset(0): Default visual is TrueColor [ 154.877] (II) Loading sub module "glamoregl" [ 154.877] (II) LoadModule: "glamoregl" [ 154.877] (II) Loading /usr/lib64/xorg/modules/libglamoregl.so [ 154.894] (II) Module glamoregl: vendor="X.Org Foundation" [ 154.894] compiled for 1.20.8, module version = 1.0.1 [ 154.894] ABI class: X.Org ANSI C Emulation, version 0.4 [ 154.974] (II) modeset(0): glamor X acceleration enabled on Mesa Intel(R) HD Graphics 630 (KBL GT2) [ 154.974] (II) modeset(0): glamor initialized [ 154.975] (II) modeset(0): Output eDP-1 has no monitor section [ 154.975] (II) modeset(0): Output DP-1 has no monitor section [ 154.979] (II) modeset(0): Output HDMI-1 has no monitor section [ 154.979] (II) modeset(0): Output DP-2 has no monitor section [ 154.979] (II) modeset(0): EDID for output eDP-1 [ 154.979] (II) modeset(0): Manufacturer: CMN Model: 15e8 Serial#: 0 [ 154.979] (II) modeset(0): Year: 2016 Week: 33 [ 154.979] (II) modeset(0): EDID Version: 1.4 [ 154.979] (II) modeset(0): Digital Display Input [ 154.979] (II) modeset(0): 8 bits per channel [ 154.979] (II) modeset(0): Digital interface is DisplayPort [ 154.979] (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19 [ 154.979] (II) modeset(0): Gamma: 2.20 [ 154.979] (II) modeset(0): No DPMS capabilities specified [ 154.979] (II) modeset(0): Supported color encodings: RGB 4:4:4 [ 154.979] (II) modeset(0): First detailed timing is preferred mode [ 154.979] (II) modeset(0): Preferred mode is native pixel format and refresh rate [ 154.979] (II) modeset(0): redX: 0.648 redY: 0.338 greenX: 0.313 greenY: 0.600 [ 154.979] (II) modeset(0): blueX: 0.153 blueY: 0.050 whiteX: 0.313 whiteY: 0.329 [ 154.979] (II) modeset(0): Manufacturer's mask: 0 [ 154.979] (II) modeset(0): Supported detailed timing: [ 154.979] (II) modeset(0): clock: 152.8 MHz Image Size: 344 x 193 mm [ 154.979] (II) modeset(0): h_active: 1920 h_sync: 2000 h_sync_end 2054 h_blank_end 2250 h_border: 0 [ 154.979] (II) modeset(0): v_active: 1080 v_sync: 1086 v_sync_end 1094 v_blanking: 1132 v_border: 0 [ 154.979] (II) modeset(0): N156HCE-EN1 [ 154.979] (II) modeset(0): CMN [ 154.979] (II) modeset(0): N156HCE-EN1 [ 154.979] (II) modeset(0): EDID (in hex): [ 154.979] (II) modeset(0): 00ffffffffffff000daee81500000000 [ 154.979] (II) modeset(0): 211a0104a5221378022675a656509927 [ 154.979] (II) modeset(0): 0c505400000001010101010101010101 [ 154.979] (II) modeset(0): 010101010101b43b804a713834405036 [ 154.979] (II) modeset(0): 680058c110000018000000fe004e3135 [ 154.979] (II) modeset(0): 364843452d454e310a20000000fe0043 [ 154.979] (II) modeset(0): 4d4e0a202020202020202020000000fe [ 154.979] (II) modeset(0): 004e3135364843452d454e310a2000a2 [ 154.979] (II) modeset(0): Printing probed modes for output eDP-1 [ 154.979] (II) modeset(0): Modeline "1920x1080"x60.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) [ 154.979] (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d) [ 154.979] (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d) [ 154.979] (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d) [ 154.979] (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d) [ 154.979] (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d) [ 154.980] (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d) [ 154.980] (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d) [ 154.980] (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d) [ 154.980] (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d) [ 154.980] (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d) [ 154.980] (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d) [ 154.980] (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d) [ 154.980] (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d) [ 154.980] (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d) [ 154.980] (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d) [ 154.980] (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d) [ 154.980] (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d) [ 154.980] (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d) [ 154.980] (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d) [ 154.980] (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d) [ 154.980] (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d) [ 154.980] (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d) [ 154.980] (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d) [ 154.980] (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d) [ 154.980] (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d) [ 154.980] (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d) [ 154.980] (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d) [ 154.980] (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d) [ 154.980] (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d) [ 154.980] (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d) [ 154.980] (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d) [ 154.980] (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d) [ 154.980] (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d) [ 154.980] (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d) [ 154.980] (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d) [ 154.980] (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d) [ 154.980] (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d) [ 154.980] (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d) [ 154.980] (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d) [ 154.980] (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d) [ 154.980] (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d) [ 154.980] (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d) [ 154.980] (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d) [ 154.980] (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d) [ 154.980] (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d) [ 154.980] (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d) [ 154.980] (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d) [ 154.980] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d) [ 154.980] (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d) [ 154.980] (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d) [ 154.980] (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d) [ 154.980] (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d) [ 154.980] (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d) [ 154.980] (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d) [ 154.980] (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d) [ 154.980] (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d) [ 154.980] (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d) [ 154.980] (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d) [ 154.980] (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d) [ 154.980] (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d) [ 154.980] (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d) [ 154.980] (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d) [ 154.980] (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d) [ 154.980] (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d) [ 154.980] (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d) [ 154.980] (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d) [ 154.980] (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d) [ 154.980] (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d) [ 154.980] (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d) [ 154.980] (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d) [ 154.980] (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d) [ 154.980] (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d) [ 154.980] (II) modeset(0): EDID for output DP-1 [ 154.984] (II) modeset(0): EDID for output HDMI-1 [ 154.984] (II) modeset(0): EDID for output DP-2 [ 154.984] (II) modeset(0): Output eDP-1 connected [ 154.984] (II) modeset(0): Output DP-1 disconnected [ 154.984] (II) modeset(0): Output HDMI-1 disconnected [ 154.984] (II) modeset(0): Output DP-2 disconnected [ 154.984] (II) modeset(0): Using exact sizes for initial modes [ 154.984] (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0 [ 154.984] (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0) [ 154.984] (==) modeset(0): DPI set to (96, 96) [ 154.984] (II) Loading sub module "fb" [ 154.984] (II) LoadModule: "fb" [ 154.984] (II) Loading /usr/lib64/xorg/modules/libfb.so [ 154.984] (II) Module fb: vendor="X.Org Foundation" [ 154.984] compiled for 1.20.8, module version = 1.0.0 [ 154.984] ABI class: X.Org ANSI C Emulation, version 0.4 [ 154.984] (II) modeset(G0): Using 24bpp hw front buffer with 32bpp shadow [ 154.984] (==) modeset(G0): Depth 24, (==) framebuffer bpp 32 [ 154.984] (II) Applying OutputClass "nvidia" options to /dev/dri/card1 [ 154.984] (==) modeset(G0): RGB weight 888 [ 154.984] (==) modeset(G0): Default visual is TrueColor [ 154.984] (**) modeset(G0): Cannot use glamor with 24bpp packed fb [ 154.984] (II) modeset(G0): ShadowFB: preferred YES, enabled FORCE [ 154.984] (II) modeset(G0): Double-buffered shadow updates: off [ 154.984] (==) modeset(G0): Using gamma correction (1.0, 1.0, 1.0) [ 154.984] (==) modeset(G0): DPI set to (96, 96) [ 154.984] (II) Loading sub module "fb" [ 154.984] (II) LoadModule: "fb" [ 154.984] (II) Loading /usr/lib64/xorg/modules/libfb.so [ 154.984] (II) Module fb: vendor="X.Org Foundation" [ 154.984] compiled for 1.20.8, module version = 1.0.0 [ 154.984] ABI class: X.Org ANSI C Emulation, version 0.4 [ 154.984] (II) Loading sub module "shadow" [ 154.984] (II) LoadModule: "shadow" [ 154.984] (II) Loading /usr/lib64/xorg/modules/libshadow.so [ 154.984] (II) Module shadow: vendor="X.Org Foundation" [ 154.984] compiled for 1.20.8, module version = 1.1.0 [ 154.984] ABI class: X.Org ANSI C Emulation, version 0.4 [ 154.984] (II) UnloadModule: "fbdev" [ 154.984] (II) Unloading fbdev [ 154.984] (II) UnloadSubModule: "fbdevhw" [ 154.984] (II) Unloading fbdevhw [ 154.985] (II) UnloadModule: "vesa" [ 154.985] (II) Unloading vesa [ 155.015] (==) modeset(0): Backing store enabled [ 155.015] (==) modeset(0): Silken mouse enabled [ 155.100] (II) modeset(0): Initializing kms color map for depth 24, 8 bpc. [ 155.101] (==) modeset(0): DPMS enabled [ 155.101] (II) modeset(0): [DRI2] Setup complete [ 155.101] (II) modeset(0): [DRI2] DRI driver: iris [ 155.101] (II) modeset(0): [DRI2] VDPAU driver: va_gl [ 155.104] (==) modeset(G0): Backing store enabled [ 155.104] (==) modeset(G0): Silken mouse enabled [ 155.104] (II) modeset(G0): Initializing kms color map for depth 24, 8 bpc. [ 155.104] (==) modeset(G0): DPMS enabled [ 155.104] (WW) modeset(G0): Option "AllowEmptyInitialConfiguration" is not used [ 155.104] (WW) modeset(G0): Option "SLI" is not used [ 155.104] (WW) modeset(G0): Option "BaseMosaic" is not used [ 155.104] (II) Initializing extension Generic Event Extension [ 155.104] (II) Initializing extension SHAPE [ 155.104] (II) Initializing extension MIT-SHM [ 155.104] (II) Initializing extension XInputExtension [ 155.110] (II) Initializing extension XTEST [ 155.110] (II) Initializing extension BIG-REQUESTS [ 155.110] (II) Initializing extension SYNC [ 155.110] (II) Initializing extension XKEYBOARD [ 155.111] (II) Initializing extension XC-MISC [ 155.111] (II) Initializing extension XFIXES [ 155.111] (II) Initializing extension RENDER [ 155.112] (II) Initializing extension RANDR [ 155.112] (II) Initializing extension COMPOSITE [ 155.113] (II) Initializing extension DAMAGE [ 155.113] (II) Initializing extension MIT-SCREEN-SAVER [ 155.113] (II) Initializing extension DOUBLE-BUFFER [ 155.114] (II) Initializing extension RECORD [ 155.114] (II) Initializing extension DPMS [ 155.114] (II) Initializing extension Present [ 155.115] (II) Initializing extension DRI3 [ 155.115] (II) Initializing extension X-Resource [ 155.115] (II) Initializing extension XVideo [ 155.116] (II) Initializing extension XVideo-MotionCompensation [ 155.116] (II) Initializing extension SELinux [ 155.116] (II) SELinux: Disabled by boolean [ 155.116] (II) Initializing extension GLX [ 155.141] (II) AIGLX: Loaded and initialized iris [ 155.141] (II) GLX: Initialized DRI2 GL provider for screen 0 [ 155.141] (II) Initializing extension XFree86-VidModeExtension [ 155.141] (II) Initializing extension XFree86-DGA [ 155.141] (II) Initializing extension XFree86-DRI [ 155.142] (II) Initializing extension DRI2 [ 155.142] (II) modeset(G0): Damage tracking initialized [ 155.145] (II) modeset(0): Damage tracking initialized [ 155.145] (II) modeset(0): Setting screen physical size to 508 x 285 [ 155.204] (II) config/udev: Adding input device Power Button (/dev/input/event3) [ 155.204] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 155.204] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 155.204] (**) Power Button: Applying InputClass "system-keyboard" [ 155.204] (II) LoadModule: "libinput" [ 155.204] (II) Loading /usr/lib64/xorg/modules/input/libinput_drv.so [ 155.216] (II) Module libinput: vendor="X.Org Foundation" [ 155.216] compiled for 1.20.6, module version = 0.29.0 [ 155.216] Module class: X.Org XInput Driver [ 155.216] ABI class: X.Org XInput driver, version 24.1 [ 155.216] (II) Using input driver 'libinput' for 'Power Button' [ 155.218] (II) systemd-logind: got fd for /dev/input/event3 13:67 fd 34 paused 0 [ 155.218] (**) Power Button: always reports core events [ 155.218] (**) Option "Device" "/dev/input/event3" [ 155.218] (**) Option "_source" "server/udev" [ 155.225] (II) event3 - Power Button: is tagged by udev as: Keyboard [ 155.226] (II) event3 - Power Button: device is a keyboard [ 155.226] (II) event3 - Power Button: device removed [ 155.226] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input3/event3" [ 155.226] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) [ 155.226] (**) Option "xkb_layout" "us,ro" [ 155.226] (**) Option "xkb_variant" ",std" [ 155.250] (II) event3 - Power Button: is tagged by udev as: Keyboard [ 155.250] (II) event3 - Power Button: device is a keyboard [ 155.250] (II) config/udev: Adding input device Asus Wireless Radio Control (/dev/input/event15) [ 155.250] (**) Asus Wireless Radio Control: Applying InputClass "evdev keyboard catchall" [ 155.250] (**) Asus Wireless Radio Control: Applying InputClass "libinput keyboard catchall" [ 155.250] (**) Asus Wireless Radio Control: Applying InputClass "system-keyboard" [ 155.250] (II) Using input driver 'libinput' for 'Asus Wireless Radio Control' [ 155.251] (II) systemd-logind: got fd for /dev/input/event15 13:79 fd 37 paused 0 [ 155.251] (**) Asus Wireless Radio Control: always reports core events [ 155.251] (**) Option "Device" "/dev/input/event15" [ 155.251] (**) Option "_source" "server/udev" [ 155.253] (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard [ 155.253] (II) event15 - Asus Wireless Radio Control: device is a keyboard [ 155.253] (II) event15 - Asus Wireless Radio Control: device removed [ 155.253] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19/event15" [ 155.253] (II) XINPUT: Adding extended input device "Asus Wireless Radio Control" (type: KEYBOARD, id 7) [ 155.253] (**) Option "xkb_layout" "us,ro" [ 155.253] (**) Option "xkb_variant" ",std" [ 155.254] (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard [ 155.254] (II) event15 - Asus Wireless Radio Control: device is a keyboard [ 155.255] (II) config/udev: Adding input device Video Bus (/dev/input/event5) [ 155.255] (**) Video Bus: Applying InputClass "evdev keyboard catchall" [ 155.255] (**) Video Bus: Applying InputClass "libinput keyboard catchall" [ 155.255] (**) Video Bus: Applying InputClass "system-keyboard" [ 155.255] (II) Using input driver 'libinput' for 'Video Bus' [ 155.255] (II) systemd-logind: got fd for /dev/input/event5 13:69 fd 38 paused 0 [ 155.255] (**) Video Bus: always reports core events [ 155.255] (**) Option "Device" "/dev/input/event5" [ 155.255] (**) Option "_source" "server/udev" [ 155.256] (II) event5 - Video Bus: is tagged by udev as: Keyboard [ 155.256] (II) event5 - Video Bus: device is a keyboard [ 155.256] (II) event5 - Video Bus: device removed [ 155.258] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input7/event5" [ 155.258] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8) [ 155.258] (**) Option "xkb_layout" "us,ro" [ 155.258] (**) Option "xkb_variant" ",std" [ 155.259] (II) event5 - Video Bus: is tagged by udev as: Keyboard [ 155.259] (II) event5 - Video Bus: device is a keyboard [ 155.260] (II) config/udev: Adding input device Video Bus (/dev/input/event6) [ 155.260] (**) Video Bus: Applying InputClass "evdev keyboard catchall" [ 155.260] (**) Video Bus: Applying InputClass "libinput keyboard catchall" [ 155.260] (**) Video Bus: Applying InputClass "system-keyboard" [ 155.260] (II) Using input driver 'libinput' for 'Video Bus' [ 155.260] (II) systemd-logind: got fd for /dev/input/event6 13:70 fd 39 paused 0 [ 155.260] (**) Video Bus: always reports core events [ 155.260] (**) Option "Device" "/dev/input/event6" [ 155.260] (**) Option "_source" "server/udev" [ 155.262] (II) event6 - Video Bus: is tagged by udev as: Keyboard [ 155.262] (II) event6 - Video Bus: device is a keyboard [ 155.262] (II) event6 - Video Bus: device removed [ 155.262] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input8/event6" [ 155.262] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 9) [ 155.262] (**) Option "xkb_layout" "us,ro" [ 155.262] (**) Option "xkb_variant" ",std" [ 155.264] (II) event6 - Video Bus: is tagged by udev as: Keyboard [ 155.264] (II) event6 - Video Bus: device is a keyboard [ 155.265] (II) config/udev: Adding input device Lid Switch (/dev/input/event0) [ 155.265] (II) No input driver specified, ignoring this device. [ 155.265] (II) This device may have been added with another device file. [ 155.265] (II) config/udev: Adding input device Power Button (/dev/input/event2) [ 155.266] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 155.266] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 155.266] (**) Power Button: Applying InputClass "system-keyboard" [ 155.266] (II) Using input driver 'libinput' for 'Power Button' [ 155.266] (II) systemd-logind: got fd for /dev/input/event2 13:66 fd 40 paused 0 [ 155.266] (**) Power Button: always reports core events [ 155.266] (**) Option "Device" "/dev/input/event2" [ 155.266] (**) Option "_source" "server/udev" [ 155.266] (II) event2 - Power Button: is tagged by udev as: Keyboard [ 155.268] (II) event2 - Power Button: device is a keyboard [ 155.268] (II) event2 - Power Button: device removed [ 155.268] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2/event2" [ 155.268] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 10) [ 155.268] (**) Option "xkb_layout" "us,ro" [ 155.268] (**) Option "xkb_variant" ",std" [ 155.269] (II) event2 - Power Button: is tagged by udev as: Keyboard [ 155.269] (II) event2 - Power Button: device is a keyboard [ 155.270] (II) config/udev: Adding input device Sleep Button (/dev/input/event1) [ 155.270] (**) Sleep Button: Applying InputClass "evdev keyboard catchall" [ 155.270] (**) Sleep Button: Applying InputClass "libinput keyboard catchall" [ 155.270] (**) Sleep Button: Applying InputClass "system-keyboard" [ 155.270] (II) Using input driver 'libinput' for 'Sleep Button' [ 155.270] (II) systemd-logind: got fd for /dev/input/event1 13:65 fd 41 paused 0 [ 155.270] (**) Sleep Button: always reports core events [ 155.270] (**) Option "Device" "/dev/input/event1" [ 155.270] (**) Option "_source" "server/udev" [ 155.272] (II) event1 - Sleep Button: is tagged by udev as: Keyboard [ 155.272] (II) event1 - Sleep Button: device is a keyboard [ 155.272] (II) event1 - Sleep Button: device removed [ 155.272] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1/event1" [ 155.272] (II) XINPUT: Adding extended input device "Sleep Button" (type: KEYBOARD, id 11) [ 155.272] (**) Option "xkb_layout" "us,ro" [ 155.272] (**) Option "xkb_variant" ",std" [ 155.273] (II) event1 - Sleep Button: is tagged by udev as: Keyboard [ 155.273] (II) event1 - Sleep Button: device is a keyboard [ 155.275] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event11) [ 155.275] (**) ELAN Touchscreen: Applying InputClass "evdev tablet catchall" [ 155.275] (**) ELAN Touchscreen: Applying InputClass "libinput tablet catchall" [ 155.275] (II) Using input driver 'libinput' for 'ELAN Touchscreen' [ 155.275] (II) systemd-logind: got fd for /dev/input/event11 13:75 fd 42 paused 0 [ 155.275] (**) ELAN Touchscreen: always reports core events [ 155.275] (**) Option "Device" "/dev/input/event11" [ 155.275] (**) Option "_source" "server/udev" [ 155.277] (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet [ 155.313] (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom [ 155.313] (II) event11 - ELAN Touchscreen: device is a tablet [ 155.313] (II) event11 - ELAN Touchscreen: device removed [ 155.313] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14/event11" [ 155.313] (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TABLET, id 12) [ 155.315] (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet [ 155.315] (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom [ 155.315] (II) event11 - ELAN Touchscreen: device is a tablet [ 155.316] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse3) [ 155.316] (II) No input driver specified, ignoring this device. [ 155.316] (II) This device may have been added with another device file. [ 155.316] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event12) [ 155.316] (**) ELAN Touchscreen: Applying InputClass "evdev touchscreen catchall" [ 155.316] (**) ELAN Touchscreen: Applying InputClass "libinput touchscreen catchall" [ 155.316] (II) Using input driver 'libinput' for 'ELAN Touchscreen' [ 155.316] (II) systemd-logind: got fd for /dev/input/event12 13:76 fd 44 paused 0 [ 155.316] (**) ELAN Touchscreen: always reports core events [ 155.316] (**) Option "Device" "/dev/input/event12" [ 155.316] (**) Option "_source" "server/udev" [ 155.318] (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen [ 155.318] (II) event12 - ELAN Touchscreen: device is a touch device [ 155.318] (II) event12 - ELAN Touchscreen: device removed [ 155.318] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15/event12" [ 155.318] (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TOUCHSCREEN, id 13) [ 155.318] (**) Option "AccelerationScheme" "none" [ 155.318] (**) ELAN Touchscreen: (accel) selected scheme none/0 [ 155.318] (**) ELAN Touchscreen: (accel) acceleration factor: 2.000 [ 155.318] (**) ELAN Touchscreen: (accel) acceleration threshold: 4 [ 155.319] (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen [ 155.319] (II) event12 - ELAN Touchscreen: device is a touch device [ 155.320] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse4) [ 155.320] (II) No input driver specified, ignoring this device. [ 155.320] (II) This device may have been added with another device file. [ 155.320] (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event13) [ 155.320] (II) No input driver specified, ignoring this device. [ 155.320] (II) This device may have been added with another device file. [ 155.321] (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event14) [ 155.321] (II) No input driver specified, ignoring this device. [ 155.321] (II) This device may have been added with another device file. [ 155.321] (II) config/udev: Adding input device USB2.0 VGA UVC WebCam: USB2.0 V (/dev/input/event16) [ 155.321] (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "evdev keyboard catchall" [ 155.321] (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "libinput keyboard catchall" [ 155.321] (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "system-keyboard" [ 155.321] (II) Using input driver 'libinput' for 'USB2.0 VGA UVC WebCam: USB2.0 V' [ 155.322] (II) systemd-logind: got fd for /dev/input/event16 13:80 fd 45 paused 0 [ 155.322] (**) USB2.0 VGA UVC WebCam: USB2.0 V: always reports core events [ 155.322] (**) Option "Device" "/dev/input/event16" [ 155.322] (**) Option "_source" "server/udev" [ 155.322] (II) event16 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard [ 155.322] (II) event16 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard [ 155.322] (II) event16 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed [ 155.322] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input20/event16" [ 155.322] (II) XINPUT: Adding extended input device "USB2.0 VGA UVC WebCam: USB2.0 V" (type: KEYBOARD, id 14) [ 155.322] (**) Option "xkb_layout" "us,ro" [ 155.322] (**) Option "xkb_variant" ",std" [ 155.324] (II) event16 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard [ 155.324] (II) event16 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard [ 155.325] (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/event9) [ 155.325] (**) SINOWEALTH Game Mouse: Applying InputClass "evdev pointer catchall" [ 155.325] (**) SINOWEALTH Game Mouse: Applying InputClass "libinput pointer catchall" [ 155.325] (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse' [ 155.377] (II) systemd-logind: got fd for /dev/input/event9 13:73 fd 46 paused 0 [ 155.377] (**) SINOWEALTH Game Mouse: always reports core events [ 155.377] (**) Option "Device" "/dev/input/event9" [ 155.377] (**) Option "_source" "server/udev" [ 155.379] (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse [ 155.379] (II) event9 - SINOWEALTH Game Mouse: device is a pointer [ 155.379] (II) event9 - SINOWEALTH Game Mouse: device removed [ 155.379] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11/event9" [ 155.379] (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse" (type: MOUSE, id 15) [ 155.379] (**) Option "AccelerationScheme" "none" [ 155.379] (**) SINOWEALTH Game Mouse: (accel) selected scheme none/0 [ 155.379] (**) SINOWEALTH Game Mouse: (accel) acceleration factor: 2.000 [ 155.379] (**) SINOWEALTH Game Mouse: (accel) acceleration threshold: 4 [ 155.380] (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse [ 155.381] (II) event9 - SINOWEALTH Game Mouse: device is a pointer [ 155.381] (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/mouse2) [ 155.381] (II) No input driver specified, ignoring this device. [ 155.381] (II) This device may have been added with another device file. [ 155.382] (II) config/udev: Adding input device SINOWEALTH Game Mouse Keyboard (/dev/input/event10) [ 155.382] (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "evdev keyboard catchall" [ 155.382] (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "libinput keyboard catchall" [ 155.382] (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "system-keyboard" [ 155.382] (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse Keyboard' [ 155.382] (II) systemd-logind: got fd for /dev/input/event10 13:74 fd 47 paused 0 [ 155.382] (**) SINOWEALTH Game Mouse Keyboard: always reports core events [ 155.382] (**) Option "Device" "/dev/input/event10" [ 155.382] (**) Option "_source" "server/udev" [ 155.384] (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard [ 155.384] (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard [ 155.384] (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed [ 155.384] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12/event10" [ 155.384] (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse Keyboard" (type: KEYBOARD, id 16) [ 155.384] (**) Option "xkb_layout" "us,ro" [ 155.384] (**) Option "xkb_variant" ",std" [ 155.386] (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard [ 155.386] (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard [ 155.387] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/event8) [ 155.387] (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "evdev touchpad catchall" [ 155.387] (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "libinput touchpad catchall" [ 155.387] (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Touchpad' [ 155.387] (II) systemd-logind: got fd for /dev/input/event8 13:72 fd 48 paused 0 [ 155.387] (**) ELAN1300:00 04F3:3059 Touchpad: always reports core events [ 155.387] (**) Option "Device" "/dev/input/event8" [ 155.387] (**) Option "_source" "server/udev" [ 155.389] (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad [ 155.390] (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad [ 155.390] (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed [ 155.390] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input10/event8" [ 155.390] (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Touchpad" (type: TOUCHPAD, id 17) [ 155.392] (**) Option "AccelerationScheme" "none" [ 155.392] (**) ELAN1300:00 04F3:3059 Touchpad: (accel) selected scheme none/0 [ 155.392] (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration factor: 2.000 [ 155.392] (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration threshold: 4 [ 155.393] (II) event8 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad [ 155.394] (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad [ 155.395] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/mouse1) [ 155.395] (II) No input driver specified, ignoring this device. [ 155.395] (II) This device may have been added with another device file. [ 155.396] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/event7) [ 155.396] (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "evdev pointer catchall" [ 155.396] (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "libinput pointer catchall" [ 155.396] (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Mouse' [ 155.396] (II) systemd-logind: got fd for /dev/input/event7 13:71 fd 49 paused 0 [ 155.396] (**) ELAN1300:00 04F3:3059 Mouse: always reports core events [ 155.396] (**) Option "Device" "/dev/input/event7" [ 155.396] (**) Option "_source" "server/udev" [ 155.398] (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick [ 155.398] (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer [ 155.399] (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed [ 155.399] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input9/event7" [ 155.399] (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Mouse" (type: MOUSE, id 18) [ 155.399] (**) Option "AccelerationScheme" "none" [ 155.399] (**) ELAN1300:00 04F3:3059 Mouse: (accel) selected scheme none/0 [ 155.399] (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration factor: 2.000 [ 155.399] (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration threshold: 4 [ 155.401] (II) event7 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse Pointingstick [ 155.401] (II) event7 - ELAN1300:00 04F3:3059 Mouse: device is a pointer [ 155.401] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/mouse0) [ 155.401] (II) No input driver specified, ignoring this device. [ 155.401] (II) This device may have been added with another device file. [ 155.403] (II) config/udev: Adding input device HDA Intel PCH Front Headphone (/dev/input/event18) [ 155.403] (II) No input driver specified, ignoring this device. [ 155.403] (II) This device may have been added with another device file. [ 155.403] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event19) [ 155.403] (II) No input driver specified, ignoring this device. [ 155.403] (II) This device may have been added with another device file. [ 155.403] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event20) [ 155.403] (II) No input driver specified, ignoring this device. [ 155.403] (II) This device may have been added with another device file. [ 155.404] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event21) [ 155.404] (II) No input driver specified, ignoring this device. [ 155.404] (II) This device may have been added with another device file. [ 155.404] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event22) [ 155.404] (II) No input driver specified, ignoring this device. [ 155.404] (II) This device may have been added with another device file. [ 155.405] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event23) [ 155.405] (II) No input driver specified, ignoring this device. [ 155.405] (II) This device may have been added with another device file. [ 155.405] (II) config/udev: Adding input device Asus WMI hotkeys (/dev/input/event24) [ 155.405] (**) Asus WMI hotkeys: Applying InputClass "evdev keyboard catchall" [ 155.405] (**) Asus WMI hotkeys: Applying InputClass "libinput keyboard catchall" [ 155.405] (**) Asus WMI hotkeys: Applying InputClass "system-keyboard" [ 155.405] (II) Using input driver 'libinput' for 'Asus WMI hotkeys' [ 155.405] (II) systemd-logind: got fd for /dev/input/event24 13:88 fd 50 paused 0 [ 155.405] (**) Asus WMI hotkeys: always reports core events [ 155.405] (**) Option "Device" "/dev/input/event24" [ 155.405] (**) Option "_source" "server/udev" [ 155.405] (II) event24 - Asus WMI hotkeys: is tagged by udev as: Keyboard [ 155.405] (II) event24 - Asus WMI hotkeys: device is a keyboard [ 155.407] (II) event24 - Asus WMI hotkeys: device removed [ 155.407] (**) Option "config_info" "udev:/sys/devices/platform/asus-nb-wmi/input/input28/event24" [ 155.407] (II) XINPUT: Adding extended input device "Asus WMI hotkeys" (type: KEYBOARD, id 19) [ 155.407] (**) Option "xkb_layout" "us,ro" [ 155.407] (**) Option "xkb_variant" ",std" [ 155.408] (II) event24 - Asus WMI hotkeys: is tagged by udev as: Keyboard [ 155.408] (II) event24 - Asus WMI hotkeys: device is a keyboard [ 155.408] (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event4) [ 155.408] (**) AT Translated Set 2 keyboard: Applying InputClass "evdev keyboard catchall" [ 155.408] (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall" [ 155.408] (**) AT Translated Set 2 keyboard: Applying InputClass "system-keyboard" [ 155.408] (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard' [ 155.409] (II) systemd-logind: got fd for /dev/input/event4 13:68 fd 51 paused 0 [ 155.409] (**) AT Translated Set 2 keyboard: always reports core events [ 155.409] (**) Option "Device" "/dev/input/event4" [ 155.409] (**) Option "_source" "server/udev" [ 155.409] (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard [ 155.409] (II) event4 - AT Translated Set 2 keyboard: device is a keyboard [ 155.411] (II) event4 - AT Translated Set 2 keyboard: device removed [ 155.411] (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input4/event4" [ 155.411] (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 20) [ 155.411] (**) Option "xkb_layout" "us,ro" [ 155.411] (**) Option "xkb_variant" ",std" [ 155.412] (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard [ 155.413] (II) event4 - AT Translated Set 2 keyboard: device is a keyboard [ 155.414] (II) config/udev: Adding input device PC Speaker (/dev/input/event17) [ 155.414] (II) No input driver specified, ignoring this device. [ 155.414] (II) This device may have been added with another device file. [ 156.566] (II) modeset(0): EDID vendor "CMN", prod id 5608 [ 156.566] (II) modeset(0): Printing DDC gathered Modelines: [ 156.566] (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) [ 159.113] (II) modeset(0): EDID vendor "CMN", prod id 5608 [ 159.113] (II) modeset(0): Printing DDC gathered Modelines: [ 159.113] (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) [ 166.384] (**) Option "fd" "34" [ 166.384] (II) event3 - Power Button: device removed [ 166.384] (**) Option "fd" "37" [ 166.384] (II) event15 - Asus Wireless Radio Control: device removed [ 166.384] (**) Option "fd" "38" [ 166.384] (II) event5 - Video Bus: device removed [ 166.384] (**) Option "fd" "39" [ 166.384] (II) event6 - Video Bus: device removed [ 166.384] (**) Option "fd" "40" [ 166.384] (II) event2 - Power Button: device removed [ 166.384] (**) Option "fd" "41" [ 166.384] (II) event1 - Sleep Button: device removed [ 166.384] (**) Option "fd" "42" [ 166.384] (II) event11 - ELAN Touchscreen: device removed [ 166.384] (**) Option "fd" "44" [ 166.384] (II) event12 - ELAN Touchscreen: device removed [ 166.384] (**) Option "fd" "45" [ 166.384] (II) event16 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed [ 166.384] (**) Option "fd" "46" [ 166.384] (II) event9 - SINOWEALTH Game Mouse: device removed [ 166.384] (**) Option "fd" "47" [ 166.384] (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed [ 166.384] (**) Option "fd" "48" [ 166.384] (II) event8 - ELAN1300:00 04F3:3059 Touchpad: device removed [ 166.384] (**) Option "fd" "49" [ 166.384] (II) event7 - ELAN1300:00 04F3:3059 Mouse: device removed [ 166.384] (**) Option "fd" "50" [ 166.384] (II) event24 - Asus WMI hotkeys: device removed [ 166.384] (**) Option "fd" "51" [ 166.384] (II) event4 - AT Translated Set 2 keyboard: device removed [ 166.398] (II) UnloadModule: "libinput" [ 166.398] (II) systemd-logind: releasing fd for 13:68 [ 166.498] (II) UnloadModule: "libinput" [ 166.498] (II) systemd-logind: releasing fd for 13:88 [ 166.528] (II) UnloadModule: "libinput" [ 166.528] (II) systemd-logind: releasing fd for 13:71 [ 166.546] (II) UnloadModule: "libinput" [ 166.546] (II) systemd-logind: releasing fd for 13:72 [ 166.559] (II) UnloadModule: "libinput" [ 166.559] (II) systemd-logind: releasing fd for 13:74 [ 166.570] (II) UnloadModule: "libinput" [ 166.570] (II) systemd-logind: releasing fd for 13:73 [ 166.592] (II) UnloadModule: "libinput" [ 166.592] (II) systemd-logind: releasing fd for 13:80 [ 166.609] (II) UnloadModule: "libinput" [ 166.609] (II) systemd-logind: releasing fd for 13:76 [ 166.626] (II) UnloadModule: "libinput" [ 166.626] (II) systemd-logind: releasing fd for 13:75 [ 166.652] (II) UnloadModule: "libinput" [ 166.652] (II) systemd-logind: releasing fd for 13:65 [ 166.659] (II) UnloadModule: "libinput" [ 166.659] (II) systemd-logind: releasing fd for 13:66 [ 166.667] (II) UnloadModule: "libinput" [ 166.667] (II) systemd-logind: releasing fd for 13:70 [ 166.683] (II) UnloadModule: "libinput" [ 166.683] (II) systemd-logind: releasing fd for 13:69 [ 166.704] (II) UnloadModule: "libinput" [ 166.704] (II) systemd-logind: releasing fd for 13:79 [ 166.723] (II) UnloadModule: "libinput" [ 166.723] (II) systemd-logind: releasing fd for 13:67 [ 166.739] (WW) xf86CloseConsole: KDSETMODE failed: Input/output error [ 166.739] (WW) xf86CloseConsole: VT_GETMODE failed: Input/output error [ 166.739] (WW) xf86CloseConsole: VT_ACTIVATE failed: Input/output error [ 166.740] (II) Server terminated successfully (0). Closing log file. ____________________________________________ *** /etc/X11/xorg.conf.d/00-keyboard.conf *** ls: -rw-r--r--. 1 root root 349 2020-11-05 18:41:03.719352647 +0200 /etc/X11/xorg.conf.d/00-keyboard.conf # Written by systemd-localed(8), read by systemd-localed and Xorg. It's # probably wise not to edit this file manually. Use localectl(1) to # instruct systemd-localed to update it. Section "InputClass" Identifier "system-keyboard" MatchIsKeyboard "on" Option "XkbLayout" "us,ro" Option "XkbVariant" ",std" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-evdev.conf *** ls: -rw-r--r--. 1 root root 1099 2018-05-29 05:33:18.000000000 +0300 /usr/share/X11/xorg.conf.d/10-evdev.conf # # Catch-all evdev loader for udev-based systems # We don't simply match on any device since that also adds accelerometers # and other devices that we don't really want to use. The list below # matches everything but joysticks. Section "InputClass" Identifier "evdev pointer catchall" MatchIsPointer "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev keyboard catchall" MatchIsKeyboard "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev touchpad catchall" MatchIsTouchpad "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev tablet catchall" MatchIsTablet "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection Section "InputClass" Identifier "evdev touchscreen catchall" MatchIsTouchscreen "on" MatchDevicePath "/dev/input/event*" Driver "evdev" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-quirks.conf *** ls: -rw-r--r--. 1 root root 1350 2020-10-12 10:51:35.000000000 +0300 /usr/share/X11/xorg.conf.d/10-quirks.conf # Collection of quirks and blacklist/whitelists for specific devices. # Accelerometer device, posts data through ABS_X/ABS_Y, making X unusable # http://bugs.freedesktop.org/show_bug.cgi?id=22442 Section "InputClass" Identifier "ThinkPad HDAPS accelerometer blacklist" MatchProduct "ThinkPad HDAPS accelerometer data" Option "Ignore" "on" EndSection # https://bugzilla.redhat.com/show_bug.cgi?id=523914 # Mouse does not move in PV Xen guest # Explicitly tell evdev to not ignore the absolute axes. Section "InputClass" Identifier "Xen Virtual Pointer axis blacklist" MatchProduct "Xen Virtual Pointer" Option "IgnoreAbsoluteAxes" "off" Option "IgnoreRelativeAxes" "off" EndSection # https://bugs.freedesktop.org/show_bug.cgi?id=55867 # Bug 55867 - Doesn't know how to tag XI_TRACKBALL Section "InputClass" Identifier "Tag trackballs as XI_TRACKBALL" MatchProduct "trackball" MatchDriver "evdev" Option "TypeName" "TRACKBALL" EndSection # https://bugs.freedesktop.org/show_bug.cgi?id=62831 # Bug 62831 - Mionix Naos 5000 mouse detected incorrectly Section "InputClass" Identifier "Tag Mionix Naos 5000 mouse XI_MOUSE" MatchProduct "La-VIEW Technology Naos 5000 Mouse" MatchDriver "evdev" Option "TypeName" "MOUSE" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/10-radeon.conf *** ls: -rw-r--r--. 1 root root 92 2019-10-15 19:16:29.000000000 +0300 /usr/share/X11/xorg.conf.d/10-radeon.conf Section "OutputClass" Identifier "Radeon" MatchDriver "radeon" Driver "radeon" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/40-libinput.conf *** ls: -rw-r--r--. 1 root root 1429 2020-05-19 09:23:02.000000000 +0300 /usr/share/X11/xorg.conf.d/40-libinput.conf # Match on all types of devices but joysticks # # If you want to configure your devices, do not copy this file. # Instead, use a config snippet that contains something like this: # # Section "InputClass" # Identifier "something or other" # MatchDriver "libinput" # # MatchIsTouchpad "on" # ... other Match directives ... # Option "someoption" "value" # EndSection # # This applies the option any libinput device also matched by the other # directives. See the xorg.conf(5) man page for more info on # matching devices. Section "InputClass" Identifier "libinput pointer catchall" MatchIsPointer "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput keyboard catchall" MatchIsKeyboard "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput touchpad catchall" MatchIsTouchpad "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput touchscreen catchall" MatchIsTouchscreen "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection Section "InputClass" Identifier "libinput tablet catchall" MatchIsTablet "on" MatchDevicePath "/dev/input/event*" Driver "libinput" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/70-wacom.conf *** ls: -rw-r--r--. 1 root root 3458 2019-11-04 19:05:25.000000000 +0200 /usr/share/X11/xorg.conf.d/70-wacom.conf # Some of the below input classes appear 3x times, once for each of # "tablet", "touchscreen", and "touchpad" to ensure that the Wacom # driver is not accidentally bound to other types of hardware that # Wacom has made which are not handled by the wacom driver (e.g the # Wacom Bluetooth Keyboard) # # https://sourceforge.net/p/linuxwacom/bugs/294/ Section "InputClass" Identifier "Wacom USB tablet class" MatchUSBID "056a:*" MatchDevicePath "/dev/input/event*" MatchIsTablet "true" Driver "wacom" EndSection Section "InputClass" Identifier "Wacom USB touchscreen class" MatchUSBID "056a:*" MatchDevicePath "/dev/input/event*" MatchIsTouchscreen "true" Driver "wacom" EndSection Section "InputClass" Identifier "Wacom USB touchpad class" MatchUSBID "056a:*" MatchDevicePath "/dev/input/event*" MatchIsTouchpad "true" Driver "wacom" EndSection Section "InputClass" Identifier "Wacom tablet class" MatchProduct "Wacom|WACOM|PTK-540WL|ISD-V4" MatchDevicePath "/dev/input/event*" MatchIsTablet "true" Driver "wacom" EndSection Section "InputClass" Identifier "Wacom touchscreen class" MatchProduct "Wacom|WACOM|PTK-540WL|ISD-V4" MatchDevicePath "/dev/input/event*" MatchIsTouchscreen "true" Driver "wacom" EndSection Section "InputClass" Identifier "Wacom touchpad class" MatchProduct "Wacom|WACOM|PTK-540WL|ISD-V4" MatchDevicePath "/dev/input/event*" MatchIsTouchpad "true" Driver "wacom" EndSection # Serial Wacom devices should always be one of tablet, touchscreen, or # touchpad so we can safely get away with just one match section in # these cases Section "InputClass" Identifier "Wacom PnP device class" MatchPnPID "WACf*|WCOM*|WACM*|FUJ02e5|FUJ02e7|FUJ02e9" MatchDevicePath "/dev/input/event*" Driver "wacom" EndSection Section "InputClass" Identifier "Wacom serial class" MatchProduct "Serial Wacom Tablet" Driver "wacom" EndSection Section "InputClass" Identifier "Wacom serial class identifiers" MatchProduct "WACf|FUJ02e5|FUJ02e7|FUJ02e9" Driver "wacom" EndSection # Hanwang tablets Section "InputClass" Identifier "Hanwang class" MatchProduct "Hanwang" MatchDevicePath "/dev/input/event*" Driver "wacom" EndSection # Waltop tablets Section "InputClass" Identifier "Waltop class" MatchProduct "WALTOP" MatchIsTablet "on" MatchDevicePath "/dev/input/event*" Driver "wacom" EndSection # N-Trig Duosense Electromagnetic Digitizer Section "InputClass" Identifier "Wacom N-Trig class" MatchProduct "HID 1b96:0001|N-Trig Pen|N-Trig DuoSense" MatchDevicePath "/dev/input/event*" Driver "wacom" Option "Button2" "3" EndSection # Dell Canvas 27 (touch part is an Advanced Silicon, pen part a Wacom) Section "InputClass" Identifier "Dell Canvas 27 Touch" MatchUSBID "2575:0204" MatchDevicePath "/dev/input/event*" MatchIsTouchscreen "true" Driver "wacom" EndSection # Surface Go Section "InputClass" Identifier "SurfaceGo Touch" MatchProduct "ELAN9038:00 04F3:261A" MatchDevicePath "/dev/input/event*" Driver "wacom" EndSection # Nuvision Solo 10 Draw (Supports Surface Pens) Section "InputClass" Identifier "Nuvision Solo 10 Draw" MatchProduct "04F3200A:00 04F3:22F7" MatchDevicePath "/dev/input/event*" Driver "wacom" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/71-libinput-overrides-wacom.conf *** ls: -rw-r--r--. 1 root root 198 2020-08-01 12:50:38.000000000 +0300 /usr/share/X11/xorg.conf.d/71-libinput-overrides-wacom.conf # Assign libinput back to touchpads on Wacom tablets Section "InputClass" Identifier "libinput overrides wacom touchpads" MatchDriver "wacom" MatchIsTouchpad "true" Driver "libinput" EndSection ____________________________________________ *** /usr/share/X11/xorg.conf.d/nvidia.conf *** ls: -rw-r--r--. 1 root root 333 2020-11-18 20:37:44.000000000 +0200 /usr/share/X11/xorg.conf.d/nvidia.conf #This file is provided by xorg-x11-drv-nvidia #Do not edit Section "OutputClass" Identifier "nvidia" MatchDriver "nvidia-drm" Driver "nvidia" Option "AllowEmptyInitialConfiguration" Option "SLI" "Auto" Option "BaseMosaic" "on" EndSection Section "ServerLayout" Identifier "layout" Option "AllowNVIDIAGPUScreens" EndSection ____________________________________________ *** /var/log/Xorg.0.log.old *** ls: -rw-r--r--. 1 root root 56163 2020-04-14 17:50:56.835709495 +0300 /var/log/Xorg.0.log.old [ 269.233] X.Org X Server 1.20.6 X Protocol Version 11, Revision 0 [ 269.233] Build Operating System: 5.0.6-200.fc29.x86_64 [ 269.234] Current Operating System: Linux mylaptop 5.5.15-200.fc31.x86_64 #1 SMP Thu Apr 2 19:16:17 UTC 2020 x86_64 [ 269.234] Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.5.15-200.fc31.x86_64 root=/dev/mapper/fedora_localhost--live-root ro rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet [ 269.234] Build Date: 25 November 2019 12:00:00AM [ 269.234] Build ID: xorg-x11-server 1.20.6-1.fc31 [ 269.235] Current version of pixman: 0.38.4 [ 269.235] Before reporting problems, check http://wiki.x.org to make sure that you have the latest version. [ 269.235] Markers: (--) probed, (**) from config file, (==) default setting, (++) from command line, (!!) notice, (II) informational, (WW) warning, (EE) error, (NI) not implemented, (??) unknown. [ 269.236] (==) Log file: "/var/log/Xorg.0.log", Time: Tue Apr 14 17:50:46 2020 [ 269.236] (==) Using config directory: "/etc/X11/xorg.conf.d" [ 269.236] (==) Using system config directory "/usr/share/X11/xorg.conf.d" [ 269.237] (==) ServerLayout "layout" [ 269.237] (==) No screen section available. Using defaults. [ 269.237] (**) |-->Screen "Default Screen Section" (0) [ 269.237] (**) | |-->Monitor "" [ 269.238] (==) No monitor specified for screen "Default Screen Section". Using a default monitor configuration. [ 269.238] (==) Automatically adding devices [ 269.238] (==) Automatically enabling devices [ 269.238] (==) Automatically adding GPU devices [ 269.238] (==) Automatically binding GPU devices [ 269.238] (==) Max clients allowed: 256, resource mask: 0x1fffff [ 269.238] (==) FontPath set to: catalogue:/etc/X11/fontpath.d, built-ins [ 269.238] (==) ModulePath set to "/usr/lib64/xorg/modules" [ 269.238] (II) The server relies on udev to provide the list of input devices. If no devices become available, reconfigure udev or disable AutoAddDevices. [ 269.238] (II) Loader magic: 0x55a1b9da7e20 [ 269.238] (II) Module ABI versions: [ 269.238] X.Org ANSI C Emulation: 0.4 [ 269.238] X.Org Video Driver: 24.0 [ 269.238] X.Org XInput driver : 24.1 [ 269.238] X.Org Server Extension : 10.0 [ 269.239] (++) using VT number 2 [ 269.244] (II) systemd-logind: took control of session /org/freedesktop/login1/session/_32 [ 269.246] (II) xfree86: Adding drm device (/dev/dri/card1) [ 269.248] (II) systemd-logind: got fd for /dev/dri/card1 226:1 fd 13 paused 0 [ 269.248] (II) xfree86: Adding drm device (/dev/dri/card0) [ 269.250] (II) systemd-logind: got fd for /dev/dri/card0 226:0 fd 14 paused 0 [ 269.256] (--) PCI:*(0@0:2:0) 8086:591b:1043:1970 rev 4, Mem @ 0xeb000000/16777216, 0x40000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/131072 [ 269.256] (--) PCI: (1@0:0:0) 10de:1c8c:1043:1970 rev 161, Mem @ 0xec000000/16777216, 0xa0000000/268435456, 0xb0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288 [ 269.256] (II) LoadModule: "glx" [ 269.257] (II) Loading /usr/lib64/xorg/modules/extensions/libglx.so [ 269.259] (II) Module glx: vendor="X.Org Foundation" [ 269.259] compiled for 1.20.6, module version = 1.0.0 [ 269.259] ABI class: X.Org Server Extension, version 10.0 [ 269.259] (II) Applying OutputClass "nvidia" to /dev/dri/card1 [ 269.259] loading driver: nvidia [ 269.373] (==) Matched nvidia as autoconfigured driver 0 [ 269.373] (==) Matched nouveau as autoconfigured driver 1 [ 269.373] (==) Matched nv as autoconfigured driver 2 [ 269.373] (==) Matched modesetting as autoconfigured driver 3 [ 269.373] (==) Matched fbdev as autoconfigured driver 4 [ 269.373] (==) Matched vesa as autoconfigured driver 5 [ 269.373] (==) Assigned the driver to the xf86ConfigLayout [ 269.373] (II) LoadModule: "nvidia" [ 269.373] (II) Loading /usr/lib64/xorg/modules/drivers/nvidia_drv.so [ 269.374] (II) Module nvidia: vendor="NVIDIA Corporation" [ 269.374] compiled for 1.6.99.901, module version = 1.0.0 [ 269.374] Module class: X.Org Video Driver [ 269.374] (II) LoadModule: "nouveau" [ 269.374] (II) Loading /usr/lib64/xorg/modules/drivers/nouveau_drv.so [ 269.375] (II) Module nouveau: vendor="X.Org Foundation" [ 269.375] compiled for 1.20.5, module version = 1.0.15 [ 269.375] Module class: X.Org Video Driver [ 269.375] ABI class: X.Org Video Driver, version 24.0 [ 269.375] (II) LoadModule: "nv" [ 269.375] (WW) Warning, couldn't open module nv [ 269.375] (EE) Failed to load module "nv" (module does not exist, 0) [ 269.375] (II) LoadModule: "modesetting" [ 269.375] (II) Loading /usr/lib64/xorg/modules/drivers/modesetting_drv.so [ 269.376] (II) Module modesetting: vendor="X.Org Foundation" [ 269.376] compiled for 1.20.6, module version = 1.20.6 [ 269.376] Module class: X.Org Video Driver [ 269.376] ABI class: X.Org Video Driver, version 24.0 [ 269.376] (II) LoadModule: "fbdev" [ 269.376] (II) Loading /usr/lib64/xorg/modules/drivers/fbdev_drv.so [ 269.376] (II) Module fbdev: vendor="X.Org Foundation" [ 269.376] compiled for 1.20.5, module version = 0.5.0 [ 269.376] Module class: X.Org Video Driver [ 269.376] ABI class: X.Org Video Driver, version 24.0 [ 269.376] (II) LoadModule: "vesa" [ 269.376] (II) Loading /usr/lib64/xorg/modules/drivers/vesa_drv.so [ 269.377] (II) Module vesa: vendor="X.Org Foundation" [ 269.377] compiled for 1.20.5, module version = 2.4.0 [ 269.377] Module class: X.Org Video Driver [ 269.377] ABI class: X.Org Video Driver, version 24.0 [ 269.377] (II) NVIDIA dlloader X Driver 440.82 Wed Apr 1 19:50:17 UTC 2020 [ 269.377] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs [ 269.377] (II) NOUVEAU driver [ 269.377] (II) NOUVEAU driver for NVIDIA chipset families : [ 269.377] RIVA TNT (NV04) [ 269.377] RIVA TNT2 (NV05) [ 269.377] GeForce 256 (NV10) [ 269.377] GeForce 2 (NV11, NV15) [ 269.377] GeForce 4MX (NV17, NV18) [ 269.377] GeForce 3 (NV20) [ 269.377] GeForce 4Ti (NV25, NV28) [ 269.377] GeForce FX (NV3x) [ 269.377] GeForce 6 (NV4x) [ 269.377] GeForce 7 (G7x) [ 269.377] GeForce 8 (G8x) [ 269.377] GeForce GTX 200 (NVA0) [ 269.377] GeForce GTX 400 (NVC0) [ 269.377] (II) modesetting: Driver for Modesetting Kernel Drivers: kms [ 269.377] (II) FBDEV: driver for framebuffer: fbdev [ 269.377] (II) VESA: driver for VESA chipsets: vesa [ 269.378] (II) modeset(0): using drv /dev/dri/card0 [ 269.378] (WW) Falling back to old probe method for fbdev [ 269.378] (II) Loading sub module "fbdevhw" [ 269.378] (II) LoadModule: "fbdevhw" [ 269.378] (II) Loading /usr/lib64/xorg/modules/libfbdevhw.so [ 269.378] (II) Module fbdevhw: vendor="X.Org Foundation" [ 269.378] compiled for 1.20.6, module version = 0.0.2 [ 269.378] ABI class: X.Org Video Driver, version 24.0 [ 269.378] (II) systemd-logind: releasing fd for 226:1 [ 269.380] (II) Loading sub module "fb" [ 269.380] (II) LoadModule: "fb" [ 269.380] (II) Loading /usr/lib64/xorg/modules/libfb.so [ 269.381] (II) Module fb: vendor="X.Org Foundation" [ 269.381] compiled for 1.20.6, module version = 1.0.0 [ 269.381] ABI class: X.Org ANSI C Emulation, version 0.4 [ 269.381] (II) Loading sub module "wfb" [ 269.381] (II) LoadModule: "wfb" [ 269.381] (II) Loading /usr/lib64/xorg/modules/libwfb.so [ 269.381] (II) Module wfb: vendor="X.Org Foundation" [ 269.381] compiled for 1.20.6, module version = 1.0.0 [ 269.381] ABI class: X.Org ANSI C Emulation, version 0.4 [ 269.381] (II) Loading sub module "ramdac" [ 269.381] (II) LoadModule: "ramdac" [ 269.381] (II) Module "ramdac" already built-in [ 269.383] (EE) NVIDIA: Failed to initialize the NVIDIA kernel module. Please see the [ 269.383] (EE) NVIDIA: system's kernel log for additional error messages and [ 269.383] (EE) NVIDIA: consult the NVIDIA README for details. [ 269.383] (EE) [drm] Failed to open DRM device for (null): -2 [ 269.383] (II) modeset(G0): using drv /dev/dri/card1 [ 269.383] (II) modeset(0): Creating default Display subsection in Screen section "Default Screen Section" for depth/fbbpp 24/32 [ 269.383] (==) modeset(0): Depth 24, (==) framebuffer bpp 32 [ 269.383] (==) modeset(0): RGB weight 888 [ 269.383] (==) modeset(0): Default visual is TrueColor [ 269.383] (II) Loading sub module "glamoregl" [ 269.383] (II) LoadModule: "glamoregl" [ 269.383] (II) Loading /usr/lib64/xorg/modules/libglamoregl.so [ 269.390] (II) Module glamoregl: vendor="X.Org Foundation" [ 269.390] compiled for 1.20.6, module version = 1.0.1 [ 269.390] ABI class: X.Org ANSI C Emulation, version 0.4 [ 269.415] (II) modeset(0): glamor X acceleration enabled on Mesa DRI Intel(R) HD Graphics 630 (Kaby Lake GT2) [ 269.415] (II) modeset(0): glamor initialized [ 269.416] (II) modeset(0): Output eDP-1 has no monitor section [ 269.416] (II) modeset(0): Output DP-1 has no monitor section [ 269.420] (II) modeset(0): Output HDMI-1 has no monitor section [ 269.420] (II) modeset(0): Output DP-2 has no monitor section [ 269.421] (II) modeset(0): EDID for output eDP-1 [ 269.421] (II) modeset(0): Manufacturer: CMN Model: 15e8 Serial#: 0 [ 269.421] (II) modeset(0): Year: 2016 Week: 33 [ 269.421] (II) modeset(0): EDID Version: 1.4 [ 269.421] (II) modeset(0): Digital Display Input [ 269.421] (II) modeset(0): 8 bits per channel [ 269.421] (II) modeset(0): Digital interface is DisplayPort [ 269.421] (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19 [ 269.421] (II) modeset(0): Gamma: 2.20 [ 269.421] (II) modeset(0): No DPMS capabilities specified [ 269.421] (II) modeset(0): Supported color encodings: RGB 4:4:4 [ 269.421] (II) modeset(0): First detailed timing is preferred mode [ 269.421] (II) modeset(0): Preferred mode is native pixel format and refresh rate [ 269.421] (II) modeset(0): redX: 0.648 redY: 0.338 greenX: 0.313 greenY: 0.600 [ 269.421] (II) modeset(0): blueX: 0.153 blueY: 0.050 whiteX: 0.313 whiteY: 0.329 [ 269.421] (II) modeset(0): Manufacturer's mask: 0 [ 269.421] (II) modeset(0): Supported detailed timing: [ 269.421] (II) modeset(0): clock: 152.8 MHz Image Size: 344 x 193 mm [ 269.421] (II) modeset(0): h_active: 1920 h_sync: 2000 h_sync_end 2054 h_blank_end 2250 h_border: 0 [ 269.421] (II) modeset(0): v_active: 1080 v_sync: 1086 v_sync_end 1094 v_blanking: 1132 v_border: 0 [ 269.421] (II) modeset(0): N156HCE-EN1 [ 269.421] (II) modeset(0): CMN [ 269.421] (II) modeset(0): N156HCE-EN1 [ 269.421] (II) modeset(0): EDID (in hex): [ 269.421] (II) modeset(0): 00ffffffffffff000daee81500000000 [ 269.421] (II) modeset(0): 211a0104a5221378022675a656509927 [ 269.421] (II) modeset(0): 0c505400000001010101010101010101 [ 269.421] (II) modeset(0): 010101010101b43b804a713834405036 [ 269.421] (II) modeset(0): 680058c110000018000000fe004e3135 [ 269.421] (II) modeset(0): 364843452d454e310a20000000fe0043 [ 269.421] (II) modeset(0): 4d4e0a202020202020202020000000fe [ 269.421] (II) modeset(0): 004e3135364843452d454e310a2000a2 [ 269.421] (II) modeset(0): Printing probed modes for output eDP-1 [ 269.421] (II) modeset(0): Modeline "1920x1080"x60.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) [ 269.421] (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d) [ 269.421] (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d) [ 269.421] (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d) [ 269.421] (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d) [ 269.421] (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d) [ 269.421] (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d) [ 269.421] (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d) [ 269.421] (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d) [ 269.421] (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d) [ 269.421] (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d) [ 269.421] (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d) [ 269.421] (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d) [ 269.421] (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d) [ 269.421] (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d) [ 269.421] (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d) [ 269.421] (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d) [ 269.421] (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d) [ 269.421] (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d) [ 269.421] (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d) [ 269.421] (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d) [ 269.421] (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d) [ 269.421] (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d) [ 269.421] (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d) [ 269.421] (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d) [ 269.421] (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d) [ 269.421] (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d) [ 269.421] (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d) [ 269.421] (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d) [ 269.421] (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d) [ 269.421] (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d) [ 269.421] (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d) [ 269.421] (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d) [ 269.421] (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d) [ 269.421] (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d) [ 269.421] (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d) [ 269.421] (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d) [ 269.421] (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d) [ 269.421] (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d) [ 269.421] (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d) [ 269.421] (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d) [ 269.421] (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d) [ 269.422] (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d) [ 269.422] (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d) [ 269.422] (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d) [ 269.422] (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d) [ 269.422] (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d) [ 269.422] (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d) [ 269.422] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d) [ 269.422] (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d) [ 269.422] (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d) [ 269.422] (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d) [ 269.422] (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d) [ 269.422] (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d) [ 269.422] (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d) [ 269.422] (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d) [ 269.422] (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d) [ 269.422] (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d) [ 269.422] (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d) [ 269.422] (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d) [ 269.422] (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d) [ 269.422] (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d) [ 269.422] (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d) [ 269.422] (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d) [ 269.422] (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d) [ 269.422] (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d) [ 269.422] (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d) [ 269.422] (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d) [ 269.422] (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d) [ 269.422] (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d) [ 269.422] (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d) [ 269.422] (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d) [ 269.422] (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d) [ 269.422] (II) modeset(0): EDID for output DP-1 [ 269.426] (II) modeset(0): EDID for output HDMI-1 [ 269.426] (II) modeset(0): EDID for output DP-2 [ 269.426] (II) modeset(0): Output eDP-1 connected [ 269.426] (II) modeset(0): Output DP-1 disconnected [ 269.426] (II) modeset(0): Output HDMI-1 disconnected [ 269.426] (II) modeset(0): Output DP-2 disconnected [ 269.426] (II) modeset(0): Using exact sizes for initial modes [ 269.426] (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0 [ 269.426] (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0) [ 269.426] (==) modeset(0): DPI set to (96, 96) [ 269.426] (II) Loading sub module "fb" [ 269.426] (II) LoadModule: "fb" [ 269.426] (II) Loading /usr/lib64/xorg/modules/libfb.so [ 269.426] (II) Module fb: vendor="X.Org Foundation" [ 269.426] compiled for 1.20.6, module version = 1.0.0 [ 269.426] ABI class: X.Org ANSI C Emulation, version 0.4 [ 269.426] (II) modeset(G0): Using 24bpp hw front buffer with 32bpp shadow [ 269.426] (==) modeset(G0): Depth 24, (==) framebuffer bpp 32 [ 269.426] (II) Applying OutputClass "nvidia" options to /dev/dri/card1 [ 269.426] (==) modeset(G0): RGB weight 888 [ 269.426] (==) modeset(G0): Default visual is TrueColor [ 269.426] (**) modeset(G0): Cannot use glamor with 24bpp packed fb [ 269.426] (II) modeset(G0): ShadowFB: preferred YES, enabled FORCE [ 269.426] (II) modeset(G0): Double-buffered shadow updates: off [ 269.426] (==) modeset(G0): Using gamma correction (1.0, 1.0, 1.0) [ 269.426] (==) modeset(G0): DPI set to (96, 96) [ 269.426] (II) Loading sub module "fb" [ 269.426] (II) LoadModule: "fb" [ 269.426] (II) Loading /usr/lib64/xorg/modules/libfb.so [ 269.426] (II) Module fb: vendor="X.Org Foundation" [ 269.426] compiled for 1.20.6, module version = 1.0.0 [ 269.426] ABI class: X.Org ANSI C Emulation, version 0.4 [ 269.426] (II) Loading sub module "shadow" [ 269.426] (II) LoadModule: "shadow" [ 269.426] (II) Loading /usr/lib64/xorg/modules/libshadow.so [ 269.426] (II) Module shadow: vendor="X.Org Foundation" [ 269.426] compiled for 1.20.6, module version = 1.1.0 [ 269.426] ABI class: X.Org ANSI C Emulation, version 0.4 [ 269.426] (II) UnloadModule: "fbdev" [ 269.426] (II) Unloading fbdev [ 269.426] (II) UnloadSubModule: "fbdevhw" [ 269.426] (II) Unloading fbdevhw [ 269.426] (II) UnloadModule: "vesa" [ 269.426] (II) Unloading vesa [ 269.431] (==) modeset(0): Backing store enabled [ 269.431] (==) modeset(0): Silken mouse enabled [ 269.504] (II) modeset(0): Initializing kms color map for depth 24, 8 bpc. [ 269.504] (==) modeset(0): DPMS enabled [ 269.508] (II) modeset(0): [DRI2] Setup complete [ 269.508] (II) modeset(0): [DRI2] DRI driver: i965 [ 269.508] (II) modeset(0): [DRI2] VDPAU driver: va_gl [ 269.509] (==) modeset(G0): Backing store enabled [ 269.509] (==) modeset(G0): Silken mouse enabled [ 269.509] (II) modeset(G0): Initializing kms color map for depth 24, 8 bpc. [ 269.509] (==) modeset(G0): DPMS enabled [ 269.509] (WW) modeset(G0): Option "AllowEmptyInitialConfiguration" is not used [ 269.509] (WW) modeset(G0): Option "SLI" is not used [ 269.509] (WW) modeset(G0): Option "BaseMosaic" is not used [ 269.509] (II) Initializing extension Generic Event Extension [ 269.509] (II) Initializing extension SHAPE [ 269.510] (II) Initializing extension MIT-SHM [ 269.510] (II) Initializing extension XInputExtension [ 269.510] (II) Initializing extension XTEST [ 269.511] (II) Initializing extension BIG-REQUESTS [ 269.511] (II) Initializing extension SYNC [ 269.511] (II) Initializing extension XKEYBOARD [ 269.511] (II) Initializing extension XC-MISC [ 269.511] (II) Initializing extension XFIXES [ 269.511] (II) Initializing extension RENDER [ 269.511] (II) Initializing extension RANDR [ 269.512] (II) Initializing extension COMPOSITE [ 269.512] (II) Initializing extension DAMAGE [ 269.512] (II) Initializing extension MIT-SCREEN-SAVER [ 269.512] (II) Initializing extension DOUBLE-BUFFER [ 269.512] (II) Initializing extension RECORD [ 269.512] (II) Initializing extension DPMS [ 269.512] (II) Initializing extension Present [ 269.512] (II) Initializing extension DRI3 [ 269.513] (II) Initializing extension X-Resource [ 269.513] (II) Initializing extension XVideo [ 269.513] (II) Initializing extension XVideo-MotionCompensation [ 269.513] (II) Initializing extension SELinux [ 269.513] (II) SELinux: Disabled by boolean [ 269.513] (II) Initializing extension GLX [ 269.522] (II) AIGLX: Loaded and initialized i965 [ 269.522] (II) GLX: Initialized DRI2 GL provider for screen 0 [ 269.522] (II) Initializing extension XFree86-VidModeExtension [ 269.522] (II) Initializing extension XFree86-DGA [ 269.522] (II) Initializing extension XFree86-DRI [ 269.522] (II) Initializing extension DRI2 [ 269.522] (II) modeset(G0): Damage tracking initialized [ 269.542] (II) modeset(0): Damage tracking initialized [ 269.542] (II) modeset(0): Setting screen physical size to 508 x 285 [ 269.639] (II) config/udev: Adding input device Power Button (/dev/input/event3) [ 269.639] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 269.639] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 269.639] (**) Power Button: Applying InputClass "system-keyboard" [ 269.639] (II) LoadModule: "libinput" [ 269.640] (II) Loading /usr/lib64/xorg/modules/input/libinput_drv.so [ 269.648] (II) Module libinput: vendor="X.Org Foundation" [ 269.648] compiled for 1.20.5, module version = 0.29.0 [ 269.648] Module class: X.Org XInput Driver [ 269.648] ABI class: X.Org XInput driver, version 24.1 [ 269.648] (II) Using input driver 'libinput' for 'Power Button' [ 269.649] (II) systemd-logind: got fd for /dev/input/event3 13:67 fd 29 paused 0 [ 269.649] (**) Power Button: always reports core events [ 269.649] (**) Option "Device" "/dev/input/event3" [ 269.649] (**) Option "_source" "server/udev" [ 269.658] (II) event3 - Power Button: is tagged by udev as: Keyboard [ 269.658] (II) event3 - Power Button: device is a keyboard [ 269.658] (II) event3 - Power Button: device removed [ 269.658] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input3/event3" [ 269.658] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6) [ 269.658] (**) Option "xkb_layout" "us,ro" [ 269.658] (**) Option "xkb_variant" ",std" [ 269.685] (II) event3 - Power Button: is tagged by udev as: Keyboard [ 269.685] (II) event3 - Power Button: device is a keyboard [ 269.686] (II) config/udev: Adding input device Asus Wireless Radio Control (/dev/input/event15) [ 269.686] (**) Asus Wireless Radio Control: Applying InputClass "evdev keyboard catchall" [ 269.686] (**) Asus Wireless Radio Control: Applying InputClass "libinput keyboard catchall" [ 269.686] (**) Asus Wireless Radio Control: Applying InputClass "system-keyboard" [ 269.686] (II) Using input driver 'libinput' for 'Asus Wireless Radio Control' [ 269.687] (II) systemd-logind: got fd for /dev/input/event15 13:79 fd 32 paused 0 [ 269.687] (**) Asus Wireless Radio Control: always reports core events [ 269.687] (**) Option "Device" "/dev/input/event15" [ 269.687] (**) Option "_source" "server/udev" [ 269.688] (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard [ 269.689] (II) event15 - Asus Wireless Radio Control: device is a keyboard [ 269.689] (II) event15 - Asus Wireless Radio Control: device removed [ 269.689] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19/event15" [ 269.689] (II) XINPUT: Adding extended input device "Asus Wireless Radio Control" (type: KEYBOARD, id 7) [ 269.689] (**) Option "xkb_layout" "us,ro" [ 269.689] (**) Option "xkb_variant" ",std" [ 269.690] (II) event15 - Asus Wireless Radio Control: is tagged by udev as: Keyboard [ 269.690] (II) event15 - Asus Wireless Radio Control: device is a keyboard [ 269.691] (II) config/udev: Adding input device Video Bus (/dev/input/event7) [ 269.691] (**) Video Bus: Applying InputClass "evdev keyboard catchall" [ 269.691] (**) Video Bus: Applying InputClass "libinput keyboard catchall" [ 269.691] (**) Video Bus: Applying InputClass "system-keyboard" [ 269.691] (II) Using input driver 'libinput' for 'Video Bus' [ 269.692] (II) systemd-logind: got fd for /dev/input/event7 13:71 fd 33 paused 0 [ 269.692] (**) Video Bus: always reports core events [ 269.692] (**) Option "Device" "/dev/input/event7" [ 269.692] (**) Option "_source" "server/udev" [ 269.694] (II) event7 - Video Bus: is tagged by udev as: Keyboard [ 269.694] (II) event7 - Video Bus: device is a keyboard [ 269.694] (II) event7 - Video Bus: device removed [ 269.694] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input9/event7" [ 269.694] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8) [ 269.694] (**) Option "xkb_layout" "us,ro" [ 269.694] (**) Option "xkb_variant" ",std" [ 269.696] (II) event7 - Video Bus: is tagged by udev as: Keyboard [ 269.696] (II) event7 - Video Bus: device is a keyboard [ 269.697] (II) config/udev: Adding input device Video Bus (/dev/input/event8) [ 269.697] (**) Video Bus: Applying InputClass "evdev keyboard catchall" [ 269.697] (**) Video Bus: Applying InputClass "libinput keyboard catchall" [ 269.697] (**) Video Bus: Applying InputClass "system-keyboard" [ 269.697] (II) Using input driver 'libinput' for 'Video Bus' [ 269.698] (II) systemd-logind: got fd for /dev/input/event8 13:72 fd 34 paused 0 [ 269.698] (**) Video Bus: always reports core events [ 269.698] (**) Option "Device" "/dev/input/event8" [ 269.698] (**) Option "_source" "server/udev" [ 269.699] (II) event8 - Video Bus: is tagged by udev as: Keyboard [ 269.700] (II) event8 - Video Bus: device is a keyboard [ 269.700] (II) event8 - Video Bus: device removed [ 269.700] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input10/event8" [ 269.700] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 9) [ 269.700] (**) Option "xkb_layout" "us,ro" [ 269.700] (**) Option "xkb_variant" ",std" [ 269.702] (II) event8 - Video Bus: is tagged by udev as: Keyboard [ 269.702] (II) event8 - Video Bus: device is a keyboard [ 269.703] (II) config/udev: Adding input device Lid Switch (/dev/input/event0) [ 269.703] (II) No input driver specified, ignoring this device. [ 269.703] (II) This device may have been added with another device file. [ 269.703] (II) config/udev: Adding input device Power Button (/dev/input/event2) [ 269.703] (**) Power Button: Applying InputClass "evdev keyboard catchall" [ 269.703] (**) Power Button: Applying InputClass "libinput keyboard catchall" [ 269.703] (**) Power Button: Applying InputClass "system-keyboard" [ 269.703] (II) Using input driver 'libinput' for 'Power Button' [ 269.704] (II) systemd-logind: got fd for /dev/input/event2 13:66 fd 35 paused 0 [ 269.704] (**) Power Button: always reports core events [ 269.704] (**) Option "Device" "/dev/input/event2" [ 269.704] (**) Option "_source" "server/udev" [ 269.706] (II) event2 - Power Button: is tagged by udev as: Keyboard [ 269.706] (II) event2 - Power Button: device is a keyboard [ 269.706] (II) event2 - Power Button: device removed [ 269.706] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2/event2" [ 269.706] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 10) [ 269.706] (**) Option "xkb_layout" "us,ro" [ 269.706] (**) Option "xkb_variant" ",std" [ 269.708] (II) event2 - Power Button: is tagged by udev as: Keyboard [ 269.708] (II) event2 - Power Button: device is a keyboard [ 269.708] (II) config/udev: Adding input device Sleep Button (/dev/input/event1) [ 269.708] (**) Sleep Button: Applying InputClass "evdev keyboard catchall" [ 269.708] (**) Sleep Button: Applying InputClass "libinput keyboard catchall" [ 269.708] (**) Sleep Button: Applying InputClass "system-keyboard" [ 269.708] (II) Using input driver 'libinput' for 'Sleep Button' [ 269.709] (II) systemd-logind: got fd for /dev/input/event1 13:65 fd 36 paused 0 [ 269.709] (**) Sleep Button: always reports core events [ 269.709] (**) Option "Device" "/dev/input/event1" [ 269.709] (**) Option "_source" "server/udev" [ 269.711] (II) event1 - Sleep Button: is tagged by udev as: Keyboard [ 269.711] (II) event1 - Sleep Button: device is a keyboard [ 269.711] (II) event1 - Sleep Button: device removed [ 269.711] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1/event1" [ 269.711] (II) XINPUT: Adding extended input device "Sleep Button" (type: KEYBOARD, id 11) [ 269.711] (**) Option "xkb_layout" "us,ro" [ 269.711] (**) Option "xkb_variant" ",std" [ 269.713] (II) event1 - Sleep Button: is tagged by udev as: Keyboard [ 269.713] (II) event1 - Sleep Button: device is a keyboard [ 269.714] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event11) [ 269.714] (**) ELAN Touchscreen: Applying InputClass "evdev tablet catchall" [ 269.714] (**) ELAN Touchscreen: Applying InputClass "libinput tablet catchall" [ 269.714] (II) Using input driver 'libinput' for 'ELAN Touchscreen' [ 269.716] (II) systemd-logind: got fd for /dev/input/event11 13:75 fd 37 paused 0 [ 269.716] (**) ELAN Touchscreen: always reports core events [ 269.716] (**) Option "Device" "/dev/input/event11" [ 269.716] (**) Option "_source" "server/udev" [ 269.718] (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet [ 269.751] (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom [ 269.751] (II) event11 - ELAN Touchscreen: device is a tablet [ 269.751] (II) event11 - ELAN Touchscreen: device removed [ 269.751] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14/event11" [ 269.751] (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TABLET, id 12) [ 269.752] (II) event11 - ELAN Touchscreen: is tagged by udev as: Tablet [ 269.752] (II) event11 - ELAN Touchscreen: tablet 'ELAN Touchscreen' unknown to libwacom [ 269.752] (II) event11 - ELAN Touchscreen: device is a tablet [ 269.753] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse3) [ 269.753] (II) No input driver specified, ignoring this device. [ 269.753] (II) This device may have been added with another device file. [ 269.753] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/event12) [ 269.753] (**) ELAN Touchscreen: Applying InputClass "evdev touchscreen catchall" [ 269.753] (**) ELAN Touchscreen: Applying InputClass "libinput touchscreen catchall" [ 269.753] (II) Using input driver 'libinput' for 'ELAN Touchscreen' [ 269.754] (II) systemd-logind: got fd for /dev/input/event12 13:76 fd 39 paused 0 [ 269.754] (**) ELAN Touchscreen: always reports core events [ 269.754] (**) Option "Device" "/dev/input/event12" [ 269.754] (**) Option "_source" "server/udev" [ 269.755] (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen [ 269.755] (II) event12 - ELAN Touchscreen: device is a touch device [ 269.755] (II) event12 - ELAN Touchscreen: device removed [ 269.755] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15/event12" [ 269.755] (II) XINPUT: Adding extended input device "ELAN Touchscreen" (type: TOUCHSCREEN, id 13) [ 269.755] (**) Option "AccelerationScheme" "none" [ 269.755] (**) ELAN Touchscreen: (accel) selected scheme none/0 [ 269.755] (**) ELAN Touchscreen: (accel) acceleration factor: 2.000 [ 269.755] (**) ELAN Touchscreen: (accel) acceleration threshold: 4 [ 269.756] (II) event12 - ELAN Touchscreen: is tagged by udev as: Touchscreen [ 269.756] (II) event12 - ELAN Touchscreen: device is a touch device [ 269.757] (II) config/udev: Adding input device ELAN Touchscreen (/dev/input/mouse4) [ 269.757] (II) No input driver specified, ignoring this device. [ 269.757] (II) This device may have been added with another device file. [ 269.757] (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event13) [ 269.757] (II) No input driver specified, ignoring this device. [ 269.757] (II) This device may have been added with another device file. [ 269.757] (II) config/udev: Adding input device ELAN Touchscreen UNKNOWN (/dev/input/event14) [ 269.757] (II) No input driver specified, ignoring this device. [ 269.757] (II) This device may have been added with another device file. [ 269.758] (II) config/udev: Adding input device USB2.0 VGA UVC WebCam: USB2.0 V (/dev/input/event18) [ 269.758] (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "evdev keyboard catchall" [ 269.758] (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "libinput keyboard catchall" [ 269.758] (**) USB2.0 VGA UVC WebCam: USB2.0 V: Applying InputClass "system-keyboard" [ 269.758] (II) Using input driver 'libinput' for 'USB2.0 VGA UVC WebCam: USB2.0 V' [ 269.758] (II) systemd-logind: got fd for /dev/input/event18 13:82 fd 40 paused 0 [ 269.758] (**) USB2.0 VGA UVC WebCam: USB2.0 V: always reports core events [ 269.758] (**) Option "Device" "/dev/input/event18" [ 269.758] (**) Option "_source" "server/udev" [ 269.759] (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard [ 269.759] (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard [ 269.759] (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed [ 269.759] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input22/event18" [ 269.759] (II) XINPUT: Adding extended input device "USB2.0 VGA UVC WebCam: USB2.0 V" (type: KEYBOARD, id 14) [ 269.759] (**) Option "xkb_layout" "us,ro" [ 269.759] (**) Option "xkb_variant" ",std" [ 269.760] (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: is tagged by udev as: Keyboard [ 269.760] (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device is a keyboard [ 269.761] (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/event9) [ 269.761] (**) SINOWEALTH Game Mouse: Applying InputClass "evdev pointer catchall" [ 269.761] (**) SINOWEALTH Game Mouse: Applying InputClass "libinput pointer catchall" [ 269.761] (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse' [ 269.814] (II) systemd-logind: got fd for /dev/input/event9 13:73 fd 41 paused 0 [ 269.814] (**) SINOWEALTH Game Mouse: always reports core events [ 269.814] (**) Option "Device" "/dev/input/event9" [ 269.814] (**) Option "_source" "server/udev" [ 269.815] (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse [ 269.815] (II) event9 - SINOWEALTH Game Mouse: device is a pointer [ 269.815] (II) event9 - SINOWEALTH Game Mouse: device removed [ 269.815] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11/event9" [ 269.815] (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse" (type: MOUSE, id 15) [ 269.815] (**) Option "AccelerationScheme" "none" [ 269.815] (**) SINOWEALTH Game Mouse: (accel) selected scheme none/0 [ 269.815] (**) SINOWEALTH Game Mouse: (accel) acceleration factor: 2.000 [ 269.815] (**) SINOWEALTH Game Mouse: (accel) acceleration threshold: 4 [ 269.816] (II) event9 - SINOWEALTH Game Mouse: is tagged by udev as: Mouse [ 269.816] (II) event9 - SINOWEALTH Game Mouse: device is a pointer [ 269.817] (II) config/udev: Adding input device SINOWEALTH Game Mouse (/dev/input/mouse2) [ 269.817] (II) No input driver specified, ignoring this device. [ 269.817] (II) This device may have been added with another device file. [ 269.818] (II) config/udev: Adding input device SINOWEALTH Game Mouse Keyboard (/dev/input/event10) [ 269.818] (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "evdev keyboard catchall" [ 269.818] (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "libinput keyboard catchall" [ 269.818] (**) SINOWEALTH Game Mouse Keyboard: Applying InputClass "system-keyboard" [ 269.818] (II) Using input driver 'libinput' for 'SINOWEALTH Game Mouse Keyboard' [ 269.819] (II) systemd-logind: got fd for /dev/input/event10 13:74 fd 42 paused 0 [ 269.819] (**) SINOWEALTH Game Mouse Keyboard: always reports core events [ 269.819] (**) Option "Device" "/dev/input/event10" [ 269.819] (**) Option "_source" "server/udev" [ 269.820] (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard [ 269.820] (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard [ 269.820] (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed [ 269.820] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12/event10" [ 269.820] (II) XINPUT: Adding extended input device "SINOWEALTH Game Mouse Keyboard" (type: KEYBOARD, id 16) [ 269.820] (**) Option "xkb_layout" "us,ro" [ 269.820] (**) Option "xkb_variant" ",std" [ 269.822] (II) event10 - SINOWEALTH Game Mouse Keyboard: is tagged by udev as: Keyboard [ 269.822] (II) event10 - SINOWEALTH Game Mouse Keyboard: device is a keyboard [ 269.823] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/event5) [ 269.823] (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "evdev pointer catchall" [ 269.823] (**) ELAN1300:00 04F3:3059 Mouse: Applying InputClass "libinput pointer catchall" [ 269.823] (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Mouse' [ 269.824] (II) systemd-logind: got fd for /dev/input/event5 13:69 fd 43 paused 0 [ 269.824] (**) ELAN1300:00 04F3:3059 Mouse: always reports core events [ 269.824] (**) Option "Device" "/dev/input/event5" [ 269.824] (**) Option "_source" "server/udev" [ 269.825] (II) event5 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse [ 269.825] (II) event5 - ELAN1300:00 04F3:3059 Mouse: device is a pointer [ 269.826] (II) event5 - ELAN1300:00 04F3:3059 Mouse: device removed [ 269.826] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input7/event5" [ 269.826] (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Mouse" (type: MOUSE, id 17) [ 269.826] (**) Option "AccelerationScheme" "none" [ 269.826] (**) ELAN1300:00 04F3:3059 Mouse: (accel) selected scheme none/0 [ 269.826] (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration factor: 2.000 [ 269.826] (**) ELAN1300:00 04F3:3059 Mouse: (accel) acceleration threshold: 4 [ 269.827] (II) event5 - ELAN1300:00 04F3:3059 Mouse: is tagged by udev as: Mouse [ 269.827] (II) event5 - ELAN1300:00 04F3:3059 Mouse: device is a pointer [ 269.828] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Mouse (/dev/input/mouse0) [ 269.828] (II) No input driver specified, ignoring this device. [ 269.828] (II) This device may have been added with another device file. [ 269.829] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/event6) [ 269.829] (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "evdev touchpad catchall" [ 269.829] (**) ELAN1300:00 04F3:3059 Touchpad: Applying InputClass "libinput touchpad catchall" [ 269.829] (II) Using input driver 'libinput' for 'ELAN1300:00 04F3:3059 Touchpad' [ 269.830] (II) systemd-logind: got fd for /dev/input/event6 13:70 fd 44 paused 0 [ 269.830] (**) ELAN1300:00 04F3:3059 Touchpad: always reports core events [ 269.830] (**) Option "Device" "/dev/input/event6" [ 269.830] (**) Option "_source" "server/udev" [ 269.831] (II) event6 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad [ 269.833] (II) event6 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad [ 269.833] (II) event6 - ELAN1300:00 04F3:3059 Touchpad: device removed [ 269.833] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input8/event6" [ 269.833] (II) XINPUT: Adding extended input device "ELAN1300:00 04F3:3059 Touchpad" (type: TOUCHPAD, id 18) [ 269.834] (**) Option "AccelerationScheme" "none" [ 269.834] (**) ELAN1300:00 04F3:3059 Touchpad: (accel) selected scheme none/0 [ 269.834] (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration factor: 2.000 [ 269.834] (**) ELAN1300:00 04F3:3059 Touchpad: (accel) acceleration threshold: 4 [ 269.836] (II) event6 - ELAN1300:00 04F3:3059 Touchpad: is tagged by udev as: Touchpad [ 269.837] (II) event6 - ELAN1300:00 04F3:3059 Touchpad: device is a touchpad [ 269.838] (II) config/udev: Adding input device ELAN1300:00 04F3:3059 Touchpad (/dev/input/mouse1) [ 269.838] (II) No input driver specified, ignoring this device. [ 269.838] (II) This device may have been added with another device file. [ 269.838] (II) config/udev: Adding input device HDA Intel PCH Front Headphone (/dev/input/event19) [ 269.838] (II) No input driver specified, ignoring this device. [ 269.838] (II) This device may have been added with another device file. [ 269.839] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event20) [ 269.839] (II) No input driver specified, ignoring this device. [ 269.839] (II) This device may have been added with another device file. [ 269.839] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event21) [ 269.839] (II) No input driver specified, ignoring this device. [ 269.839] (II) This device may have been added with another device file. [ 269.840] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event22) [ 269.840] (II) No input driver specified, ignoring this device. [ 269.840] (II) This device may have been added with another device file. [ 269.840] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event23) [ 269.840] (II) No input driver specified, ignoring this device. [ 269.840] (II) This device may have been added with another device file. [ 269.840] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event24) [ 269.840] (II) No input driver specified, ignoring this device. [ 269.840] (II) This device may have been added with another device file. [ 269.841] (II) config/udev: Adding input device Asus WMI hotkeys (/dev/input/event17) [ 269.841] (**) Asus WMI hotkeys: Applying InputClass "evdev keyboard catchall" [ 269.841] (**) Asus WMI hotkeys: Applying InputClass "libinput keyboard catchall" [ 269.841] (**) Asus WMI hotkeys: Applying InputClass "system-keyboard" [ 269.841] (II) Using input driver 'libinput' for 'Asus WMI hotkeys' [ 269.841] (II) systemd-logind: got fd for /dev/input/event17 13:81 fd 45 paused 0 [ 269.841] (**) Asus WMI hotkeys: always reports core events [ 269.841] (**) Option "Device" "/dev/input/event17" [ 269.841] (**) Option "_source" "server/udev" [ 269.842] (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard [ 269.842] (II) event17 - Asus WMI hotkeys: device is a keyboard [ 269.842] (II) event17 - Asus WMI hotkeys: device removed [ 269.843] (**) Option "config_info" "udev:/sys/devices/platform/asus-nb-wmi/input/input21/event17" [ 269.843] (II) XINPUT: Adding extended input device "Asus WMI hotkeys" (type: KEYBOARD, id 19) [ 269.843] (**) Option "xkb_layout" "us,ro" [ 269.843] (**) Option "xkb_variant" ",std" [ 269.844] (II) event17 - Asus WMI hotkeys: is tagged by udev as: Keyboard [ 269.844] (II) event17 - Asus WMI hotkeys: device is a keyboard [ 269.844] (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event4) [ 269.844] (**) AT Translated Set 2 keyboard: Applying InputClass "evdev keyboard catchall" [ 269.844] (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall" [ 269.844] (**) AT Translated Set 2 keyboard: Applying InputClass "system-keyboard" [ 269.844] (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard' [ 269.845] (II) systemd-logind: got fd for /dev/input/event4 13:68 fd 46 paused 0 [ 269.845] (**) AT Translated Set 2 keyboard: always reports core events [ 269.845] (**) Option "Device" "/dev/input/event4" [ 269.845] (**) Option "_source" "server/udev" [ 269.846] (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard [ 269.846] (II) event4 - AT Translated Set 2 keyboard: device is a keyboard [ 269.848] (II) event4 - AT Translated Set 2 keyboard: device removed [ 269.848] (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input4/event4" [ 269.848] (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 20) [ 269.848] (**) Option "xkb_layout" "us,ro" [ 269.848] (**) Option "xkb_variant" ",std" [ 269.849] (II) event4 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard [ 269.849] (II) event4 - AT Translated Set 2 keyboard: device is a keyboard [ 269.851] (II) config/udev: Adding input device PC Speaker (/dev/input/event16) [ 269.851] (II) No input driver specified, ignoring this device. [ 269.851] (II) This device may have been added with another device file. [ 270.595] (II) modeset(0): EDID vendor "CMN", prod id 5608 [ 270.595] (II) modeset(0): Printing DDC gathered Modelines: [ 270.595] (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) [ 272.656] (II) modeset(0): EDID vendor "CMN", prod id 5608 [ 272.657] (II) modeset(0): Printing DDC gathered Modelines: [ 272.657] (II) modeset(0): Modeline "1920x1080"x0.0 152.84 1920 2000 2054 2250 1080 1086 1094 1132 -hsync -vsync (67.9 kHz eP) [ 279.086] (**) Option "fd" "29" [ 279.086] (II) event3 - Power Button: device removed [ 279.086] (**) Option "fd" "32" [ 279.086] (II) event15 - Asus Wireless Radio Control: device removed [ 279.086] (**) Option "fd" "33" [ 279.086] (II) event7 - Video Bus: device removed [ 279.086] (**) Option "fd" "34" [ 279.086] (II) event8 - Video Bus: device removed [ 279.086] (**) Option "fd" "35" [ 279.086] (II) event2 - Power Button: device removed [ 279.086] (**) Option "fd" "36" [ 279.086] (II) event1 - Sleep Button: device removed [ 279.086] (**) Option "fd" "37" [ 279.086] (II) event11 - ELAN Touchscreen: device removed [ 279.086] (**) Option "fd" "39" [ 279.086] (II) event12 - ELAN Touchscreen: device removed [ 279.086] (**) Option "fd" "40" [ 279.086] (II) event18 - USB2.0 VGA UVC WebCam: USB2.0 V: device removed [ 279.086] (**) Option "fd" "41" [ 279.086] (II) event9 - SINOWEALTH Game Mouse: device removed [ 279.086] (**) Option "fd" "42" [ 279.086] (II) event10 - SINOWEALTH Game Mouse Keyboard: device removed [ 279.086] (**) Option "fd" "43" [ 279.086] (II) event5 - ELAN1300:00 04F3:3059 Mouse: device removed [ 279.086] (**) Option "fd" "44" [ 279.086] (II) event6 - ELAN1300:00 04F3:3059 Touchpad: device removed [ 279.086] (**) Option "fd" "45" [ 279.086] (II) event17 - Asus WMI hotkeys: device removed [ 279.086] (**) Option "fd" "46" [ 279.086] (II) event4 - AT Translated Set 2 keyboard: device removed [ 279.102] (II) UnloadModule: "libinput" [ 279.102] (II) systemd-logind: releasing fd for 13:68 [ 279.185] (II) UnloadModule: "libinput" [ 279.185] (II) systemd-logind: releasing fd for 13:81 [ 279.203] (II) UnloadModule: "libinput" [ 279.203] (II) systemd-logind: releasing fd for 13:70 [ 279.218] (II) UnloadModule: "libinput" [ 279.218] (II) systemd-logind: releasing fd for 13:69 [ 279.234] (II) UnloadModule: "libinput" [ 279.234] (II) systemd-logind: releasing fd for 13:74 [ 279.244] (II) UnloadModule: "libinput" [ 279.244] (II) systemd-logind: releasing fd for 13:73 [ 279.272] (II) UnloadModule: "libinput" [ 279.272] (II) systemd-logind: releasing fd for 13:82 [ 279.294] (II) UnloadModule: "libinput" [ 279.294] (II) systemd-logind: releasing fd for 13:76 [ 279.313] (II) UnloadModule: "libinput" [ 279.313] (II) systemd-logind: releasing fd for 13:75 [ 279.333] (II) UnloadModule: "libinput" [ 279.333] (II) systemd-logind: releasing fd for 13:65 [ 279.342] (II) UnloadModule: "libinput" [ 279.342] (II) systemd-logind: releasing fd for 13:66 [ 279.350] (II) UnloadModule: "libinput" [ 279.350] (II) systemd-logind: releasing fd for 13:72 [ 279.366] (II) UnloadModule: "libinput" [ 279.366] (II) systemd-logind: releasing fd for 13:71 [ 279.384] (II) UnloadModule: "libinput" [ 279.384] (II) systemd-logind: releasing fd for 13:79 [ 279.400] (II) UnloadModule: "libinput" [ 279.400] (II) systemd-logind: releasing fd for 13:67 [ 279.411] (WW) xf86CloseConsole: KDSETMODE failed: Input/output error [ 279.411] (WW) xf86CloseConsole: VT_GETMODE failed: Input/output error [ 279.411] (WW) xf86CloseConsole: VT_ACTIVATE failed: Input/output error [ 279.412] (II) Server terminated successfully (0). Closing log file. ____________________________________________ *** /home/laptopuser/.nvidia-settings-rc *** ls: -rw-r--r--. 1 laptopuser laptopuser 481 2020-11-21 09:28:18.870929956 +0200 /home/laptopuser/.nvidia-settings-rc # # /home/laptopuser/.nvidia-settings-rc # # Configuration file for nvidia-settings - the NVIDIA X Server Settings utility # Generated on Sat Nov 21 09:28:18 2020 # # ConfigProperties: RcFileLocale = C DisplayStatusBar = Yes SliderTextEntries = Yes IncludeDisplayNameInConfigFile = No ShowQuitDialog = Yes UpdateRulesOnProfileNameChange = Yes Timer = PowerMizer_Monitor_(GPU_0),Yes,1000 Timer = Thermal_Monitor_(GPU_0),Yes,1000 Timer = Memory_Used_(GPU_0),Yes,3000 # Attributes: ____________________________________________ *** /usr/share/nvidia/nvidia-application-profiles-455.45.01-rc *** ls: -rw-r--r--. 1 root root 8336 2020-11-06 00:52:51.000000000 +0200 /usr/share/nvidia/nvidia-application-profiles-455.45.01-rc # Application profiles for the NVIDIA Linux graphics driver, version 455.45.01 # Last modified: Thu Nov 5 22:52:50 UTC 2020 # These profiles were provided by NVIDIA and should not be modified. If you # wish to change the defaults provided here, you can override them by creating # custom rules in /etc/nvidia/nvidia-application-profiles-rc (which will apply # system-wide) or, for a given user, $HOME/.nv/nvidia-application-profiles-rc # (which will apply to that particular user). See the "APPLICATION PROFILE # SEARCH PATH" section of the NVIDIA Linux Graphics Driver README for more # information. { "profiles" : [ { "name" : "NonConformantBlitFramebufferScissor", "settings" : [ "GLConformantBlitFramebufferScissor", false ] }, { "name" : "CL1C", "settings" : [ "0x528ab3", 1 ] }, { "name" : "FA0", "settings" : [ "10572898", 0 ] }, { "name" : "ExactGLESVersion", "settings" : [ "ForceRequestedESVersion", 1 ] }, { "name" : "IgnoreGLSLExtensionRequirements", "settings" : [ "GLIgnoreGLSLExtReqs", true ] }, { "name" : "No VRR/OSD", "settings" : [ { "key" : "GLVRRAllowed", "value" : false }, { "key" : "VKDirectGSYNCAllowed", "value" : false }, { "key" : "VKDirectGSYNCCompatibleAllowed", "value" : 0 }, { "key" : "GLShowGraphicsOSD", "value" : false } ] }, { "name" : "UseThreadedOptimizations", "settings" : [ "GLThreadedOptimizations", true ] }, { "name" : "NoThreadedOptimizations", "settings" : [ "GLThreadedOptimizations", false ] }, { "name" : "NoAniso", "settings" : [ "GLLogMaxAniso", 0 ] }, { "name" : "NamedVertexAttributesApplyDivisor", "settings" : [ "GL23cd0e", 1 ] }, { "name" : "NonStrictDrawRangeElements", "settings" : [ "GLStrictDrawRangeElements", false ] }, { "name" : "NoEnforceShaderInputOutputMatching", "settings" : [ "GLShaderPortabilityWarnings", false ] }, { "name" : "HideVendorID", "settings" : [ "OVERRIDE_VENDORID", 4098 ] }, { "name" : "DisablePersampleFragcoord", "settings" : [ "DisablePersampleFragcoord", true ] }, { "name" : "ForceSeparateTrimThread", "settings" : [ "__GL_CPMM", 3 ] }, { "name" : "IdleQueueOnSwapchainOOD", "settings" : [ "IdleQueueOnSwapchainOOD", true ] } ], "rules" : [ { "pattern" : { "feature" : "dso", "matches" : "libcogl.so" }, "profile" : "NonConformantBlitFramebufferScissor" }, { "pattern" : { "feature" : "dso", "matches" : "libMaya.so" }, "profile" : "CL1C" }, { "pattern" : { "feature" : "dso", "matches" : "libMaya.so" }, "profile" : "NamedVertexAttributesApplyDivisor" }, { "pattern" : "SkullGirls.x86_64-pc-linux-gnu", "profile" : "NoAniso" }, { "pattern" : "SkullGirls.i686-pc-linux-gnu", "profile" : "NoAniso" }, { "pattern" : "Indivisible_Linux.i686-pc-linux-gnu", "profile" : "NoAniso" }, { "pattern" : "xsi", "profile" : "CL1C" }, { "pattern" : "HoudiniFX", "profile" : "CL1C" }, { "pattern" : "katana", "profile" : "CL1C" }, { "pattern" : "Autodesk Mudbox 2014 64-bit", "profile" : "CL1C" }, { "pattern" : "octane", "profile" : "CL1C" }, { "pattern" : "Fusion64_6.4", "profile" : "CL1C" }, { "pattern" : "Nuke7.0", "profile" : "CL1C" }, { "pattern" : "vray.exe", "profile" : "CL1C" }, { "pattern" : "vray.bin", "profile" : "CL1C" }, { "pattern" : "kwin_gles", "profile" : "FA0" }, { "pattern" : "kwin_gles", "profile" : "ExactGLESVersion" }, { "pattern" : [ { "feature" : "procname", "matches" : "heaven_x86"}, { "op" : "not", "sub" : { "feature" : "findfile", "matches" : "browser_x86" } } ], "profile" : "IgnoreGLSLExtensionRequirements" }, { "pattern" : [ { "feature" : "procname", "matches" : "heaven_x64"}, { "op" : "not", "sub" : { "feature" : "findfile", "matches" : "browser_x64" } } ], "profile" : "IgnoreGLSLExtensionRequirements" }, { "pattern" : { "feature" : "procname", "matches" : "cinnamon" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "compiz" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "compton" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "enlightenment" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "gnome-shell" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "kscreenlocker_greet" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "kwin" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "kwin_x11" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "picom" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "plasmashell" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "ksplashqml" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "systemsettings5" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "muffin" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "dso", "matches" : "libmutter" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "steam" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "steamcompmgr" }, "profile" : "No VRR/OSD" }, { "pattern" : { "feature" : "procname", "matches" : "vrmonitor" }, "profile" : "No VRR/OSD" }, { "pattern" : "GoatGame", "profile" : "NonStrictDrawRangeElements" }, { "pattern" : "ShadowOfMordor", "profile" : "NoEnforceShaderInputOutputMatching" }, { "pattern" : { "feature" : "commname", "matches" : "NMS.exe"} , "profile" : "HideVendorID" }, { "pattern" : "shotcut", "profile" : "NoThreadedOptimizations" }, { "pattern" : "MetroLL", "profile" : "NoThreadedOptimizations" }, { "pattern" : "Borderlands2", "profile" : "UseThreadedOptimizations" }, { "pattern" : "BorderlandsPreSequel", "profile" : "UseThreadedOptimizations" }, { "pattern": "AlienIsolation", "profile" : "UseThreadedOptimizations" }, { "pattern": "Civ6", "profile" : "UseThreadedOptimizations" }, { "pattern": "CivBE", "profile" : "UseThreadedOptimizations" }, { "pattern": "overlord.i386", "profile" : "UseThreadedOptimizations" }, { "pattern": "X-Plane-x86_64", "profile" : "UseThreadedOptimizations" }, { "pattern": "RocketLeague", "profile" : "UseThreadedOptimizations" }, { "pattern": "DeusExMD", "profile" : "DisablePersampleFragcoord" }, { "pattern": "firefox", "profile" : "ForceSeparateTrimThread" }, { "pattern": "Dirt4", "profile" : "IdleQueueOnSwapchainOOD" }, { "pattern": "RiseOfTheTombRaider", "profile" : "IdleQueueOnSwapchainOOD" } ] } ____________________________________________ ldd /usr/bin/glxinfo linux-vdso.so.1 (0x00007ffeb85f9000) libGL.so.1 => /lib64/libGL.so.1 (0x00007f52742d7000) libX11.so.6 => /lib64/libX11.so.6 (0x00007f5274190000) libc.so.6 => /lib64/libc.so.6 (0x00007f5273fc5000) libGLX.so.0 => /lib64/libGLX.so.0 (0x00007f5273f93000) libXext.so.6 => /lib64/libXext.so.6 (0x00007f5273f7e000) libGLdispatch.so.0 => /lib64/libGLdispatch.so.0 (0x00007f5273ec6000) libdl.so.2 => /lib64/libdl.so.2 (0x00007f5273ebd000) libxcb.so.1 => /lib64/libxcb.so.1 (0x00007f5273e93000) /lib64/ld-linux-x86-64.so.2 (0x00007f5274391000) libpthread.so.0 => /lib64/libpthread.so.0 (0x00007f5273e71000) libXau.so.6 => /lib64/libXau.so.6 (0x00007f5273e6c000) ____________________________________________ Found Vulkan loader(s): /usr/lib64/libvulkan.so.1.2.148 /usr/lib/libvulkan.so.1.2.148 Listing common ICD paths: /usr/share/vulkan/icd.d/intel_icd.i686.json /usr/share/vulkan/icd.d/intel_icd.x86_64.json /usr/share/vulkan/icd.d/nvidia_icd.json /usr/share/vulkan/icd.d/radeon_icd.i686.json /usr/share/vulkan/icd.d/radeon_icd.x86_64.json ____________________________________________ /sbin/lspci -d "10de:*" -v -xxx 01:00.0 3D controller: NVIDIA Corporation GP107M [GeForce GTX 1050 Ti Mobile] (rev a1) Subsystem: ASUSTeK Computer Inc. Device 1970 Flags: bus master, fast devsel, latency 0, IRQ 132 Memory at ec000000 (32-bit, non-prefetchable) [size=16M] Memory at a0000000 (64-bit, prefetchable) [size=256M] Memory at b0000000 (64-bit, prefetchable) [size=32M] I/O ports at e000 [size=128] Expansion ROM at ed000000 [virtual] [disabled] [size=512K] Capabilities: [60] Power Management version 3 Capabilities: [68] MSI: Enable+ Count=1/1 Maskable- 64bit+ Capabilities: [78] Express Endpoint, MSI 00 Capabilities: [100] Virtual Channel Capabilities: [250] Latency Tolerance Reporting Capabilities: [258] L1 PM Substates Capabilities: [128] Power Budgeting Capabilities: [420] Advanced Error Reporting Capabilities: [600] Vendor Specific Information: ID=0001 Rev=1 Len=024 Capabilities: [900] Secondary PCI Express Kernel driver in use: nvidia Kernel modules: nouveau, nvidia_drm, nvidia 00: de 10 8c 1c 07 04 10 00 a1 00 02 03 00 00 00 00 10: 00 00 00 ec 0c 00 00 a0 00 00 00 00 0c 00 00 b0 20: 00 00 00 00 01 e0 00 00 00 00 00 00 43 10 70 19 30: 00 00 00 00 60 00 00 00 00 00 00 00 ff 01 00 00 40: 43 10 70 19 00 00 00 00 00 00 00 00 00 00 00 00 50: 00 00 00 00 01 00 00 00 ce d6 23 00 00 00 00 00 60: 01 68 03 00 08 00 00 00 05 78 81 00 98 03 e0 fe 70: 00 00 00 00 00 00 00 00 10 00 02 00 e1 8d 2c 01 80: 30 21 00 00 03 4d 45 00 42 01 83 10 00 00 00 00 90: 00 00 00 00 00 00 00 00 00 00 00 00 13 08 04 00 a0: 00 04 00 00 0e 00 00 00 03 00 1f 00 00 00 00 00 b0: 00 00 00 00 09 00 14 01 00 00 10 80 00 00 00 00 c0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 d0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 e0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ____________________________________________ /sbin/lspci -d "10b5:*" -v -xxx ____________________________________________ /sbin/lspci -t -[0000:00]-+-00.0 +-01.0-[01]----00.0 +-02.0 +-04.0 +-14.0 +-14.2 +-15.0 +-15.1 +-16.0 +-17.0 +-1c.0-[02]----00.0 +-1c.2-[03]----00.0 +-1c.4-[04-6e]-- +-1f.0 +-1f.2 +-1f.3 \-1f.4 ____________________________________________ /sbin/lspci -nn 00:00.0 Host bridge [0600]: Intel Corporation Xeon E3-1200 v6/7th Gen Core Processor Host Bridge/DRAM Registers [8086:5910] (rev 05) 00:01.0 PCI bridge [0604]: Intel Corporation 6th-9th Gen Core Processor PCIe Controller (x16) [8086:1901] (rev 05) 00:02.0 VGA compatible controller [0300]: Intel Corporation HD Graphics 630 [8086:591b] (rev 04) 00:04.0 Signal processing controller [1180]: Intel Corporation Xeon E3-1200 v5/E3-1500 v5/6th Gen Core Processor Thermal Subsystem [8086:1903] (rev 05) 00:14.0 USB controller [0c03]: Intel Corporation 100 Series/C230 Series Chipset Family USB 3.0 xHCI Controller [8086:a12f] (rev 31) 00:14.2 Signal processing controller [1180]: Intel Corporation 100 Series/C230 Series Chipset Family Thermal Subsystem [8086:a131] (rev 31) 00:15.0 Signal processing controller [1180]: Intel Corporation 100 Series/C230 Series Chipset Family Serial IO I2C Controller #0 [8086:a160] (rev 31) 00:15.1 Signal processing controller [1180]: Intel Corporation 100 Series/C230 Series Chipset Family Serial IO I2C Controller #1 [8086:a161] (rev 31) 00:16.0 Communication controller [0780]: Intel Corporation 100 Series/C230 Series Chipset Family MEI Controller #1 [8086:a13a] (rev 31) 00:17.0 SATA controller [0106]: Intel Corporation HM170/QM170 Chipset SATA Controller [AHCI Mode] [8086:a103] (rev 31) 00:1c.0 PCI bridge [0604]: Intel Corporation 100 Series/C230 Series Chipset Family PCI Express Root Port #1 [8086:a110] (rev f1) 00:1c.2 PCI bridge [0604]: Intel Corporation 100 Series/C230 Series Chipset Family PCI Express Root Port #3 [8086:a112] (rev f1) 00:1c.4 PCI bridge [0604]: Intel Corporation 100 Series/C230 Series Chipset Family PCI Express Root Port #5 [8086:a114] (rev f1) 00:1f.0 ISA bridge [0601]: Intel Corporation HM175 Chipset LPC/eSPI Controller [8086:a152] (rev 31) 00:1f.2 Memory controller [0580]: Intel Corporation 100 Series/C230 Series Chipset Family Power Management Controller [8086:a121] (rev 31) 00:1f.3 Audio device [0403]: Intel Corporation CM238 HD Audio Controller [8086:a171] (rev 31) 00:1f.4 SMBus [0c05]: Intel Corporation 100 Series/C230 Series Chipset Family SMBus [8086:a123] (rev 31) 01:00.0 3D controller [0302]: NVIDIA Corporation GP107M [GeForce GTX 1050 Ti Mobile] [10de:1c8c] (rev a1) 02:00.0 Unassigned class [ff00]: Alcor Micro AU6621 PCI-E Flash card reader controller [1aea:6621] 03:00.0 Network controller [0280]: Intel Corporation Wireless 8265 / 8275 [8086:24fd] (rev 78) ____________________________________________ ____________________________________________ *** /sys/devices/system/node/has_cpu *** ls: -r--r--r--. 1 root root 4096 2020-11-21 21:11:48.068766352 +0200 /sys/devices/system/node/has_cpu 0 ____________________________________________ *** /sys/devices/system/node/has_memory *** ls: -r--r--r--. 1 root root 4096 2020-11-21 21:11:48.070766364 +0200 /sys/devices/system/node/has_memory 0 ____________________________________________ *** /sys/devices/system/node/has_normal_memory *** ls: -r--r--r--. 1 root root 4096 2020-11-21 21:11:48.073766382 +0200 /sys/devices/system/node/has_normal_memory 0 ____________________________________________ *** /sys/devices/system/node/online *** ls: -r--r--r--. 1 root root 4096 2020-11-21 21:11:04.665500795 +0200 /sys/devices/system/node/online 0 ____________________________________________ *** /sys/devices/system/node/possible *** ls: -r--r--r--. 1 root root 4096 2020-11-21 21:11:48.077766407 +0200 /sys/devices/system/node/possible 0 ____________________________________________ *** /sys/bus/pci/devices/0000:01:00.0/local_cpulist *** ls: -r--r--r--. 1 root root 4096 2020-11-21 21:07:33.960999994 +0200 /sys/bus/pci/devices/0000:01:00.0/local_cpulist 0-7 ____________________________________________ *** /sys/bus/pci/devices/0000:01:00.0/numa_node *** ls: -rw-r--r--. 1 root root 4096 2020-11-21 21:07:33.960999994 +0200 /sys/bus/pci/devices/0000:01:00.0/numa_node -1 ____________________________________________ /usr/bin/lsusb Bus 002 Device 002: ID 0bda:8153 Realtek Semiconductor Corp. RTL8153 Gigabit Ethernet Adapter Bus 002 Device 001: ID 1d6b:0003 Linux Foundation 3.0 root hub Bus 001 Device 006: ID 8087:0a2b Intel Corp. Bluetooth wireless interface Bus 001 Device 005: ID 258a:1007 SINOWEALTH Game Mouse Bus 001 Device 003: ID 13d3:5755 IMC Networks USB2.0 VGA UVC WebCam Bus 001 Device 008: ID 04f3:2544 Elan Microelectronics Corp. Touchscreen Bus 001 Device 007: ID 04f3:0903 Elan Microelectronics Corp. ELAN:Fingerprint Bus 001 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub ____________________________________________ /sbin/dmidecode # dmidecode 3.2 Getting SMBIOS data from sysfs. SMBIOS 3.0.0 present. Table at 0x3B26C000. Handle 0x0000, DMI type 0, 24 bytes BIOS Information Vendor: American Megatrends Inc. Version: UX550VE.307 Release Date: 04/19/2019 Address: 0xF0000 Runtime Size: 64 kB ROM Size: 8 MB Characteristics: PCI is supported BIOS is upgradeable BIOS shadowing is allowed Boot from CD is supported Selectable boot is supported BIOS ROM is socketed EDD is supported 5.25"/1.2 MB floppy services are supported (int 13h) 3.5"/720 kB floppy services are supported (int 13h) 3.5"/2.88 MB floppy services are supported (int 13h) Print screen service is supported (int 5h) 8042 keyboard services are supported (int 9h) Serial services are supported (int 14h) Printer services are supported (int 17h) ACPI is supported USB legacy is supported Smart battery is supported BIOS boot specification is supported Targeted content distribution is supported UEFI is supported BIOS Revision: 5.12 Handle 0x0001, DMI type 1, 27 bytes System Information Manufacturer: ASUSTeK COMPUTER INC. Product Name: UX550VE Version: 1.0 Serial Number: H9N0CX10K31837C UUID: 304e3948-5843-3031-4b33-313833374341 Wake-up Type: Power Switch SKU Number: Family: ZenBook Handle 0x0002, DMI type 2, 15 bytes Base Board Information Manufacturer: ASUSTeK COMPUTER INC. Product Name: UX550VE Version: 1.0 Serial Number: QCCBKH03E73600080 Asset Tag: ATN12345678901234567 Features: Board is a hosting board Board is replaceable Location In Chassis: MIDDLE Chassis Handle: 0x0003 Type: Motherboard Contained Object Handles: 0 Handle 0x0003, DMI type 3, 22 bytes Chassis Information Manufacturer: ASUSTeK COMPUTER INC. Type: Notebook Lock: Not Present Version: 1.0 Serial Number: H9N0CX10K31837C Asset Tag: No Asset Tag Boot-up State: Safe Power Supply State: Safe Thermal State: Safe Security Status: None OEM Information: 0x00000000 Height: Unspecified Number Of Power Cords: 1 Contained Elements: 0 SKU Number: NA Handle 0x0007, DMI type 32, 20 bytes System Boot Information Status: No errors detected Handle 0x0008, DMI type 16, 23 bytes Physical Memory Array Location: System Board Or Motherboard Use: System Memory Error Correction Type: None Maximum Capacity: 64 GB Error Information Handle: Not Provided Number Of Devices: 4 Handle 0x0009, DMI type 17, 40 bytes Memory Device Array Handle: 0x0008 Error Information Handle: Not Provided Total Width: 64 bits Data Width: 64 bits Size: 8 GB Form Factor: SODIMM Set: None Locator: ChannelA-DIMM0 Bank Locator: BANK 0 Type: DDR4 Type Detail: Synchronous Unbuffered (Unregistered) Speed: 2400 MT/s Manufacturer: Samsung Serial Number: 00000000 Asset Tag: 9876543210 Part Number: M471A1K44BM0-CRC Rank: 1 Configured Memory Speed: 2400 MT/s Minimum Voltage: 1.2 V Maximum Voltage: 1.2 V Configured Voltage: 1.2 V Handle 0x000A, DMI type 17, 40 bytes Memory Device Array Handle: 0x0008 Error Information Handle: Not Provided Total Width: Unknown Data Width: Unknown Size: No Module Installed Form Factor: Unknown Set: None Locator: ChannelA-DIMM1 Bank Locator: BANK 1 Type: Unknown Type Detail: None Speed: Unknown Manufacturer: Not Specified Serial Number: Not Specified Asset Tag: Not Specified Part Number: Not Specified Rank: Unknown Configured Memory Speed: Unknown Minimum Voltage: Unknown Maximum Voltage: Unknown Configured Voltage: Unknown Handle 0x000B, DMI type 17, 40 bytes Memory Device Array Handle: 0x0008 Error Information Handle: Not Provided Total Width: 64 bits Data Width: 64 bits Size: 8 GB Form Factor: SODIMM Set: None Locator: ChannelB-DIMM0 Bank Locator: BANK 2 Type: DDR4 Type Detail: Synchronous Unbuffered (Unregistered) Speed: 2400 MT/s Manufacturer: Samsung Serial Number: 00000000 Asset Tag: 9876543210 Part Number: M471A1K44BM0-CRC Rank: 1 Configured Memory Speed: 2400 MT/s Minimum Voltage: 1.2 V Maximum Voltage: 1.2 V Configured Voltage: 1.2 V Handle 0x000C, DMI type 17, 40 bytes Memory Device Array Handle: 0x0008 Error Information Handle: Not Provided Total Width: Unknown Data Width: Unknown Size: No Module Installed Form Factor: Unknown Set: None Locator: ChannelB-DIMM1 Bank Locator: BANK 3 Type: Unknown Type Detail: None Speed: Unknown Manufacturer: Not Specified Serial Number: Not Specified Asset Tag: Not Specified Part Number: Not Specified Rank: Unknown Configured Memory Speed: Unknown Minimum Voltage: Unknown Maximum Voltage: Unknown Configured Voltage: Unknown Handle 0x000D, DMI type 19, 31 bytes Memory Array Mapped Address Starting Address: 0x00000000000 Ending Address: 0x003FFFFFFFF Range Size: 16 GB Physical Array Handle: 0x0008 Partition Width: 2 Handle 0x000E, DMI type 7, 19 bytes Cache Information Socket Designation: L1 Cache Configuration: Enabled, Not Socketed, Level 1 Operational Mode: Write Back Location: Internal Installed Size: 256 kB Maximum Size: 256 kB Supported SRAM Types: Synchronous Installed SRAM Type: Synchronous Speed: Unknown Error Correction Type: Parity System Type: Unified Associativity: 8-way Set-associative Handle 0x000F, DMI type 7, 19 bytes Cache Information Socket Designation: L2 Cache Configuration: Enabled, Not Socketed, Level 2 Operational Mode: Write Back Location: Internal Installed Size: 1 MB Maximum Size: 1 MB Supported SRAM Types: Synchronous Installed SRAM Type: Synchronous Speed: Unknown Error Correction Type: Single-bit ECC System Type: Unified Associativity: 4-way Set-associative Handle 0x0010, DMI type 7, 19 bytes Cache Information Socket Designation: L3 Cache Configuration: Enabled, Not Socketed, Level 3 Operational Mode: Write Back Location: Internal Installed Size: 6 MB Maximum Size: 6 MB Supported SRAM Types: Synchronous Installed SRAM Type: Synchronous Speed: Unknown Error Correction Type: Multi-bit ECC System Type: Unified Associativity: 12-way Set-associative Handle 0x0011, DMI type 4, 48 bytes Processor Information Socket Designation: U3E1 Type: Central Processor Family: Core i7 Manufacturer: Intel(R) Corporation ID: E9 06 09 00 FF FB EB BF Signature: Type 0, Family 6, Model 158, Stepping 9 Flags: FPU (Floating-point unit on-chip) VME (Virtual mode extension) DE (Debugging extension) PSE (Page size extension) TSC (Time stamp counter) MSR (Model specific registers) PAE (Physical address extension) MCE (Machine check exception) CX8 (CMPXCHG8 instruction supported) APIC (On-chip APIC hardware supported) SEP (Fast system call) MTRR (Memory type range registers) PGE (Page global enable) MCA (Machine check architecture) CMOV (Conditional move instruction supported) PAT (Page attribute table) PSE-36 (36-bit page size extension) CLFSH (CLFLUSH instruction supported) DS (Debug store) ACPI (ACPI supported) MMX (MMX technology supported) FXSR (FXSAVE and FXSTOR instructions supported) SSE (Streaming SIMD extensions) SSE2 (Streaming SIMD extensions 2) SS (Self-snoop) HTT (Multi-threading) TM (Thermal monitor supported) PBE (Pending break enabled) Version: Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz Voltage: 1.0 V External Clock: 100 MHz Max Speed: 8300 MHz Current Speed: 3400 MHz Status: Populated, Enabled Upgrade: Other L1 Cache Handle: 0x000E L2 Cache Handle: 0x000F L3 Cache Handle: 0x0010 Serial Number: To Be Filled By O.E.M. Asset Tag: To Be Filled By O.E.M. Part Number: To Be Filled By O.E.M. Core Count: 4 Core Enabled: 4 Thread Count: 8 Characteristics: 64-bit capable Multi-Core Hardware Thread Execute Protection Enhanced Virtualization Power/Performance Control Handle 0x0024, DMI type 10, 26 bytes On Board Device 1 Information Type: Video Status: Enabled Description: VGA On Board Device 2 Information Type: Ethernet Status: Enabled Description: GLAN On Board Device 3 Information Type: Ethernet Status: Enabled Description: WLAN On Board Device 4 Information Type: Sound Status: Enabled Description: Audio CODEC On Board Device 5 Information Type: SATA Controller Status: Enabled Description: SATA Controller On Board Device 6 Information Type: Other Status: Enabled Description: USB 2.0 Controller On Board Device 7 Information Type: Other Status: Enabled Description: USB 3.0 Controller On Board Device 8 Information Type: Other Status: Enabled Description: SMBus Controller On Board Device 9 Information Type: Other Status: Enabled Description: Card Reader On Board Device 10 Information Type: Other Status: Enabled Description: Cmos Camera On Board Device 11 Information Type: Other Status: Enabled Description: Bluetooth Handle 0x0025, DMI type 11, 5 bytes OEM Strings String 1: kPJ-+7X7+EfTa String 2: jTyRUBSNi7Ydf String 3: fCrOzJ6x1i-eh String 4: String 5: 90NB0ES1-M00210 Handle 0x0026, DMI type 12, 5 bytes System Configuration Options Option 1: SMI:00B26C Option 2: DSN: Option 3: DSN: Option 4: DSN: Handle 0x0027, DMI type 20, 35 bytes Memory Device Mapped Address Starting Address: 0x00000000000 Ending Address: 0x001FFFFFFFF Range Size: 8 GB Physical Device Handle: 0x0009 Memory Array Mapped Address Handle: 0x000D Partition Row Position: Unknown Interleave Position: 1 Interleaved Data Depth: 2 Handle 0x0028, DMI type 20, 35 bytes Memory Device Mapped Address Starting Address: 0x00200000000 Ending Address: 0x003FFFFFFFF Range Size: 8 GB Physical Device Handle: 0x000B Memory Array Mapped Address Handle: 0x000D Partition Row Position: Unknown Interleave Position: 2 Interleaved Data Depth: 2 Handle 0x0029, DMI type 130, 20 bytes OEM-specific Type Header and Data: 82 14 29 00 24 41 4D 54 00 00 00 00 00 A5 AF 02 C0 00 00 00 Handle 0x002A, DMI type 131, 64 bytes OEM-specific Type Header and Data: 83 40 2A 00 31 00 00 00 00 00 00 00 00 00 00 00 F8 00 52 A1 00 00 00 00 01 00 00 00 06 00 0B 00 70 04 00 00 00 00 00 00 FE 00 FF FF 00 00 00 00 00 00 00 00 22 00 00 00 76 50 72 6F 00 00 00 00 Handle 0x002B, DMI type 221, 26 bytes OEM-specific Type Header and Data: DD 1A 2B 00 03 01 00 01 06 00 00 00 02 00 00 00 00 8E 00 03 00 00 05 00 00 00 Strings: Reference Code - CPU uCode Version TXT ACM version Handle 0x002C, DMI type 221, 26 bytes OEM-specific Type Header and Data: DD 1A 2C 00 03 01 00 01 06 00 00 00 02 00 00 00 00 00 00 03 04 0B 06 00 70 04 Strings: Reference Code - ME 11.0 MEBx version ME Firmware Version Consumer SKU Handle 0x002D, DMI type 221, 75 bytes OEM-specific Type Header and Data: DD 4B 2D 00 0A 01 00 01 06 00 00 00 02 03 FF FF FF FF FF 04 00 FF FF FF 31 00 05 00 FF FF FF 31 00 06 00 FF FF FF FF FF 07 00 3E 00 00 00 00 08 00 34 00 00 00 00 09 00 0B 00 00 00 00 0A 00 3E 00 00 00 00 0B 00 34 00 00 00 00 Strings: Reference Code - SKL PCH PCH-CRID Status Disabled PCH-CRID Original Value PCH-CRID New Value OPROM - RST - RAID SKL PCH H Bx Hsio Version SKL PCH H Dx Hsio Version KBL PCH H Ax Hsio Version SKL PCH LP Bx Hsio Version SKL PCH LP Cx Hsio Version Handle 0x002E, DMI type 221, 54 bytes OEM-specific Type Header and Data: DD 36 2E 00 07 01 00 01 06 00 00 00 02 00 01 06 00 02 00 03 00 01 06 00 00 00 04 05 FF FF FF FF FF 06 00 FF FF FF 05 00 07 00 FF FF FF 05 00 08 00 FF FF FF FF FF Strings: Reference Code - SA - System Agent Reference Code - MRC SA - PCIe Version SA-CRID Status Disabled SA-CRID Original Value SA-CRID New Value OPROM - VBIOS Handle 0x002F, DMI type 221, 103 bytes OEM-specific Type Header and Data: DD 67 2F 00 0E 01 00 00 00 00 00 00 02 00 FF FF FF FF FF 03 04 FF FF FF FF FF 05 06 FF FF FF FF FF 07 08 FF FF FF FF FF 09 00 00 00 00 00 00 0A 00 FF FF FF FF FF 0B 00 FF FF 00 00 00 0C 00 00 09 00 63 10 0D 00 02 00 00 00 00 0E 00 FF FF FF FF FF 0F 00 FF FF FF FF FF 10 11 01 03 04 01 00 12 00 00 07 03 00 00 Strings: Lan Phy Version Sensor Firmware Version Debug Mode Status Disabled Performance Mode Status Disabled Debug Use USB(Disabled:Serial) Disabled ICC Overclocking Version UNDI Version EC FW Version GOP Version BIOS Guard Version Base EC FW Version EC-EC Protocol Version Royal Park Version BP1.3.4.0_RP01 Platform Version Handle 0x0030, DMI type 136, 6 bytes OEM-specific Type Header and Data: 88 06 30 00 00 00 Handle 0x0031, DMI type 14, 20 bytes Group Associations Name: Firmware Version Info Items: 5 0x002B (OEM-specific) 0x002C (OEM-specific) 0x002D (OEM-specific) 0x002E (OEM-specific) 0x002F (OEM-specific) Handle 0x0032, DMI type 13, 22 bytes BIOS Language Information Language Description Format: Long Installable Languages: 1 en|US|iso8859-1 Currently Installed Language: en|US|iso8859-1 Handle 0x0033, DMI type 14, 8 bytes Group Associations Name: $MEI Items: 1 0x0000 (OEM-specific) Handle 0x0034, DMI type 219, 81 bytes OEM-specific Type Header and Data: DB 51 34 00 01 03 01 45 00 00 90 06 01 13 86 20 00 00 00 00 40 08 00 00 00 00 00 00 00 00 40 02 FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF 03 00 00 00 80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 Strings: MEI1 MEI2 MEI3 Handle 0x0035, DMI type 127, 4 bytes End Of Table ____________________________________________ /sbin/modinfo nvidia | grep vermagic vermagic: 5.9.8-200.fc33.x86_64 SMP mod_unload ____________________________________________ Scanning kernel log files for NVIDIA kernel messages: journalctl -b -0: nov 21 21:07:34 mylaptop kernel: Command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:07:34 mylaptop kernel: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:07:34 mylaptop dracut-cmdline[266]: Using kernel command line parameters: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:07:43 mylaptop kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 236 nov 21 21:07:43 mylaptop kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 455.45.01 Thu Nov 5 23:03:56 UTC 2020 nov 21 21:07:43 mylaptop kernel: nvidia-uvm: Loaded the UVM driver, major device number 234. nov 21 21:07:43 mylaptop kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 455.45.01 Thu Nov 5 22:55:44 UTC 2020 nov 21 21:07:43 mylaptop kernel: [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver nov 21 21:07:44 mylaptop kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1 nov 21 21:07:46 mylaptop /usr/libexec/gdm-x-session[1190]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:07:47 mylaptop /usr/libexec/gdm-x-session[1190]: (WW) NVIDIA: '/var/run/nvidia-xdriver-07d4758a' Permission denied nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:07:55 mylaptop /usr/libexec/gdm-x-session[1706]: (WW) NVIDIA: '/var/run/nvidia-xdriver-47039696' Permission denied nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 21:08:46 mylaptop /usr/libexec/gdm-x-session[3064]: (WW) NVIDIA: '/var/run/nvidia-xdriver-c9411936' Permission denied nov 21 21:11:46 mylaptop audit[5679]: USER_CMD pid=5679 uid=1000 auid=1000 ses=5 subj=unconfined_u:unconfined_r:unconfined_t:s0-s0:c0.c1023 msg='cwd="/home/laptopuser" cmd="nvidia-bug-report.sh" exe="/usr/bin/sudo" terminal=pts/0 res=success' nov 21 21:11:46 mylaptop sudo[5679]: laptopuser : TTY=pts/0 ; PWD=/home/laptopuser ; USER=root ; COMMAND=/usr/bin/nvidia-bug-report.sh journalctl -b -1: nov 21 16:21:23 mylaptop kernel: Command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:21:23 mylaptop kernel: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:21:23 mylaptop dracut-cmdline[266]: Using kernel command line parameters: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:21:32 mylaptop kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 236 nov 21 16:21:32 mylaptop kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 455.45.01 Thu Nov 5 23:03:56 UTC 2020 nov 21 16:21:32 mylaptop kernel: nvidia-uvm: Loaded the UVM driver, major device number 234. nov 21 16:21:32 mylaptop kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 455.45.01 Thu Nov 5 22:55:44 UTC 2020 nov 21 16:21:32 mylaptop kernel: [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver nov 21 16:21:33 mylaptop kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1 nov 21 16:21:36 mylaptop /usr/libexec/gdm-x-session[1214]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:21:37 mylaptop /usr/libexec/gdm-x-session[1214]: (WW) NVIDIA: '/var/run/nvidia-xdriver-e7332c0b' Permission denied nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:21:55 mylaptop /usr/libexec/gdm-x-session[1743]: (WW) NVIDIA: '/var/run/nvidia-xdriver-ee262918' Permission denied journalctl -b -2: nov 21 16:20:49 mylaptop kernel: Command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:20:49 mylaptop kernel: Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:20:49 mylaptop dracut-cmdline[266]: Using kernel command line parameters: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 nov 21 16:20:58 mylaptop kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 236 nov 21 16:20:58 mylaptop kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 455.45.01 Thu Nov 5 23:03:56 UTC 2020 nov 21 16:20:58 mylaptop kernel: nvidia-uvm: Loaded the UVM driver, major device number 234. nov 21 16:20:58 mylaptop kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 455.45.01 Thu Nov 5 22:55:44 UTC 2020 nov 21 16:20:58 mylaptop kernel: [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver nov 21 16:20:59 mylaptop kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1 ____________________________________________ dmesg: [ 0.000000] microcode: microcode updated early to revision 0xd6, date = 2020-04-23 [ 0.000000] Linux version 5.9.8-200.fc33.x86_64 (mockbuild@bkernel01.iad2.fedoraproject.org) (gcc (GCC) 10.2.1 20201016 (Red Hat 10.2.1-6), GNU ld version 2.35-14.fc33) #1 SMP Tue Nov 10 21:58:19 UTC 2020 [ 0.000000] Command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 [ 0.000000] x86/fpu: Supporting XSAVE feature 0x001: 'x87 floating point registers' [ 0.000000] x86/fpu: Supporting XSAVE feature 0x002: 'SSE registers' [ 0.000000] x86/fpu: Supporting XSAVE feature 0x004: 'AVX registers' [ 0.000000] x86/fpu: Supporting XSAVE feature 0x008: 'MPX bounds registers' [ 0.000000] x86/fpu: Supporting XSAVE feature 0x010: 'MPX CSR' [ 0.000000] x86/fpu: xstate_offset[2]: 576, xstate_sizes[2]: 256 [ 0.000000] x86/fpu: xstate_offset[3]: 832, xstate_sizes[3]: 64 [ 0.000000] x86/fpu: xstate_offset[4]: 896, xstate_sizes[4]: 64 [ 0.000000] x86/fpu: Enabled xstate features 0x1f, context size is 960 bytes, using 'compacted' format. [ 0.000000] BIOS-provided physical RAM map: [ 0.000000] BIOS-e820: [mem 0x0000000000000000-0x0000000000057fff] usable [ 0.000000] BIOS-e820: [mem 0x0000000000058000-0x0000000000058fff] reserved [ 0.000000] BIOS-e820: [mem 0x0000000000059000-0x000000000009dfff] usable [ 0.000000] BIOS-e820: [mem 0x000000000009e000-0x00000000000fffff] reserved [ 0.000000] BIOS-e820: [mem 0x0000000000100000-0x0000000032793fff] usable [ 0.000000] BIOS-e820: [mem 0x0000000032794000-0x0000000032794fff] ACPI NVS [ 0.000000] BIOS-e820: [mem 0x0000000032795000-0x0000000032795fff] reserved [ 0.000000] BIOS-e820: [mem 0x0000000032796000-0x0000000039c93fff] usable [ 0.000000] BIOS-e820: [mem 0x0000000039c94000-0x000000003aa05fff] reserved [ 0.000000] BIOS-e820: [mem 0x000000003aa06000-0x000000003aa52fff] ACPI data [ 0.000000] BIOS-e820: [mem 0x000000003aa53000-0x000000003aea9fff] ACPI NVS [ 0.000000] BIOS-e820: [mem 0x000000003aeaa000-0x000000003b37efff] reserved [ 0.000000] BIOS-e820: [mem 0x000000003b37f000-0x000000003b3fefff] usable [ 0.000000] BIOS-e820: [mem 0x000000003b3ff000-0x000000003fffffff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000f0000000-0x00000000f7ffffff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000fe000000-0x00000000fe010fff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000fec00000-0x00000000fec00fff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000fed00000-0x00000000fed00fff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000fee00000-0x00000000fee00fff] reserved [ 0.000000] BIOS-e820: [mem 0x00000000ff000000-0x00000000ffffffff] reserved [ 0.000000] BIOS-e820: [mem 0x0000000100000000-0x00000004beffffff] usable [ 0.000000] NX (Execute Disable) protection: active [ 0.000000] e820: update [mem 0x2eb49018-0x2eb72257] usable ==> usable [ 0.000000] e820: update [mem 0x2eb49018-0x2eb72257] usable ==> usable [ 0.000000] e820: update [mem 0x2eb38018-0x2eb48057] usable ==> usable [ 0.000000] e820: update [mem 0x2eb38018-0x2eb48057] usable ==> usable [ 0.000000] extended physical RAM map: [ 0.000000] reserve setup_data: [mem 0x0000000000000000-0x0000000000057fff] usable [ 0.000000] reserve setup_data: [mem 0x0000000000058000-0x0000000000058fff] reserved [ 0.000000] reserve setup_data: [mem 0x0000000000059000-0x000000000009dfff] usable [ 0.000000] reserve setup_data: [mem 0x000000000009e000-0x00000000000fffff] reserved [ 0.000000] reserve setup_data: [mem 0x0000000000100000-0x000000002eb38017] usable [ 0.000000] reserve setup_data: [mem 0x000000002eb38018-0x000000002eb48057] usable [ 0.000000] reserve setup_data: [mem 0x000000002eb48058-0x000000002eb49017] usable [ 0.000000] reserve setup_data: [mem 0x000000002eb49018-0x000000002eb72257] usable [ 0.000000] reserve setup_data: [mem 0x000000002eb72258-0x0000000032793fff] usable [ 0.000000] reserve setup_data: [mem 0x0000000032794000-0x0000000032794fff] ACPI NVS [ 0.000000] reserve setup_data: [mem 0x0000000032795000-0x0000000032795fff] reserved [ 0.000000] reserve setup_data: [mem 0x0000000032796000-0x0000000039c93fff] usable [ 0.000000] reserve setup_data: [mem 0x0000000039c94000-0x000000003aa05fff] reserved [ 0.000000] reserve setup_data: [mem 0x000000003aa06000-0x000000003aa52fff] ACPI data [ 0.000000] reserve setup_data: [mem 0x000000003aa53000-0x000000003aea9fff] ACPI NVS [ 0.000000] reserve setup_data: [mem 0x000000003aeaa000-0x000000003b37efff] reserved [ 0.000000] reserve setup_data: [mem 0x000000003b37f000-0x000000003b3fefff] usable [ 0.000000] reserve setup_data: [mem 0x000000003b3ff000-0x000000003fffffff] reserved [ 0.000000] reserve setup_data: [mem 0x00000000f0000000-0x00000000f7ffffff] reserved [ 0.000000] reserve setup_data: [mem 0x00000000fe000000-0x00000000fe010fff] reserved [ 0.000000] reserve setup_data: [mem 0x00000000fec00000-0x00000000fec00fff] reserved [ 0.000000] reserve setup_data: [mem 0x00000000fed00000-0x00000000fed00fff] reserved [ 0.000000] reserve setup_data: [mem 0x00000000fee00000-0x00000000fee00fff] reserved [ 0.000000] reserve setup_data: [mem 0x00000000ff000000-0x00000000ffffffff] reserved [ 0.000000] reserve setup_data: [mem 0x0000000100000000-0x00000004beffffff] usable [ 0.000000] efi: EFI v2.50 by American Megatrends [ 0.000000] efi: TPMFinalLog=0x3ae79000 ACPI 2.0=0x3aa15000 ACPI=0x3aa15000 SMBIOS=0x3b26f000 SMBIOS 3.0=0x3b26e000 ESRT=0x38a2fc58 TPMEventLog=0x32850018 [ 0.000000] secureboot: Secure boot disabled [ 0.000000] SMBIOS 3.0.0 present. [ 0.000000] DMI: ASUSTeK COMPUTER INC. UX550VE/UX550VE, BIOS UX550VE.307 04/19/2019 [ 0.000000] tsc: Detected 2800.000 MHz processor [ 0.000000] tsc: Detected 2799.927 MHz TSC [ 0.000000] [Firmware Bug]: TSC ADJUST: CPU0: -338789038 force to 0 [ 0.000998] e820: update [mem 0x00000000-0x00000fff] usable ==> reserved [ 0.000999] e820: remove [mem 0x000a0000-0x000fffff] usable [ 0.001003] last_pfn = 0x4bf000 max_arch_pfn = 0x400000000 [ 0.001005] MTRR default type: write-back [ 0.001006] MTRR fixed ranges enabled: [ 0.001007] 00000-9FFFF write-back [ 0.001007] A0000-BFFFF uncachable [ 0.001008] C0000-FFFFF write-protect [ 0.001008] MTRR variable ranges enabled: [ 0.001009] 0 base 0080000000 mask 7F80000000 uncachable [ 0.001010] 1 base 0040000000 mask 7FC0000000 uncachable [ 0.001010] 2 base 003C000000 mask 7FFC000000 uncachable [ 0.001011] 3 base 003B800000 mask 7FFF800000 uncachable [ 0.001011] 4 disabled [ 0.001011] 5 disabled [ 0.001011] 6 disabled [ 0.001012] 7 disabled [ 0.001012] 8 disabled [ 0.001012] 9 disabled [ 0.001360] x86/PAT: Configuration [0-7]: WB WC UC- UC WB WP UC- WT [ 0.001489] last_pfn = 0x3b3ff max_arch_pfn = 0x400000000 [ 0.013346] esrt: Reserving ESRT space from 0x0000000038a2fc58 to 0x0000000038a2fc90. [ 0.013349] e820: update [mem 0x38a2f000-0x38a2ffff] usable ==> reserved [ 0.013359] Using GB pages for direct mapping [ 0.013594] secureboot: Secure boot disabled [ 0.013595] RAMDISK: [mem 0x2eb73000-0x30e98fff] [ 0.013611] ACPI: Early table checksum verification disabled [ 0.013613] ACPI: RSDP 0x000000003AA15000 000024 (v02 _ASUS_) [ 0.013615] ACPI: XSDT 0x000000003AA150B8 0000F4 (v01 _ASUS_ Notebook 01072009 AMI 00010013) [ 0.013617] ACPI: FACP 0x000000003AA42628 000114 (v06 _ASUS_ Notebook 01072009 AMI 00010013) [ 0.013621] ACPI: DSDT 0x000000003AA15240 02D3E3 (v02 _ASUS_ Notebook 01072009 INTL 20160422) [ 0.013622] ACPI: FACS 0x000000003AE78C40 000040 [ 0.013623] ACPI: APIC 0x000000003AA42740 0000BC (v03 _ASUS_ Notebook 01072009 AMI 00010013) [ 0.013625] ACPI: FPDT 0x000000003AA42800 000044 (v01 _ASUS_ Notebook 01072009 AMI 00010013) [ 0.013626] ACPI: ECDT 0x000000003AA42848 0000C1 (v01 _ASUS_ Notebook 01072009 AMI. 00000005) [ 0.013628] ACPI: MCFG 0x000000003AA42910 00003C (v01 _ASUS_ Notebook 01072009 MSFT 00000097) [ 0.013629] ACPI: SSDT 0x000000003AA42950 000359 (v01 SataRe SataTabl 00001000 INTL 20160422) [ 0.013631] ACPI: FIDT 0x000000003AA42CB0 00009C (v01 _ASUS_ Notebook 01072009 AMI 00010013) [ 0.013632] ACPI: SSDT 0x000000003AA42D50 005F57 (v02 DptfTa DptfTabl 00001000 INTL 20160422) [ 0.013634] ACPI: MSDM 0x000000003AA48CA8 000055 (v03 _ASUS_ Notebook 01072009 ASUS 00000001) [ 0.013635] ACPI: SSDT 0x000000003AA48D00 003159 (v02 SaSsdt SaSsdt 00003000 INTL 20160422) [ 0.013637] ACPI: SSDT 0x000000003AA4BE60 002A78 (v02 PegSsd PegSsdt 00001000 INTL 20160422) [ 0.013638] ACPI: HPET 0x000000003AA4E8D8 000038 (v01 INTEL KBL 00000001 MSFT 0000005F) [ 0.013640] ACPI: UEFI 0x000000003AA4E910 000042 (v01 INTEL EDK2 00000002 01000013) [ 0.013641] ACPI: SSDT 0x000000003AA4E958 000EDE (v02 CpuRef CpuSsdt 00003000 INTL 20160422) [ 0.013643] ACPI: LPIT 0x000000003AA4F838 000094 (v01 INTEL KBL 00000000 MSFT 0000005F) [ 0.013644] ACPI: WSMT 0x000000003AA4F8D0 000028 (v01 INTEL KBL 00000000 MSFT 0000005F) [ 0.013645] ACPI: SSDT 0x000000003AA4F8F8 000141 (v02 INTEL HdaDsp 00000000 INTL 20160422) [ 0.013647] ACPI: SSDT 0x000000003AA4FA40 00029F (v02 INTEL sensrhub 00000000 INTL 20160422) [ 0.013648] ACPI: SSDT 0x000000003AA4FCE0 000215 (v02 INTEL TbtTypeC 00000000 INTL 20160422) [ 0.013650] ACPI: DBGP 0x000000003AA4FEF8 000034 (v01 INTEL 00000002 MSFT 0000005F) [ 0.013651] ACPI: DBG2 0x000000003AA4FF30 000054 (v00 INTEL 00000002 MSFT 0000005F) [ 0.013652] ACPI: BGRT 0x000000003AA4FF88 000038 (v01 _ASUS_ Notebook 01072009 AMI 00010013) [ 0.013654] ACPI: SSDT 0x000000003AA4FFC0 0000AE (v02 SgRef SgPeg 00001000 INTL 20160422) [ 0.013655] ACPI: DMAR 0x000000003AA50070 0000F0 (v01 INTEL KBL 00000001 INTL 00000001) [ 0.013657] ACPI: TPM2 0x000000003AA50160 000034 (v03 Tpm2Tabl 00000001 AMI 00000000) [ 0.013658] ACPI: SSDT 0x000000003AA50198 001E31 (v01 OptRef OptTabl 00001000 INTL 20160422) [ 0.013663] ACPI: Local APIC address 0xfee00000 [ 0.013780] No NUMA configuration found [ 0.013781] Faking a node at [mem 0x0000000000000000-0x00000004beffffff] [ 0.013787] NODE_DATA(0) allocated [mem 0x4befd5000-0x4beffffff] [ 0.033115] Zone ranges: [ 0.033116] DMA [mem 0x0000000000001000-0x0000000000ffffff] [ 0.033117] DMA32 [mem 0x0000000001000000-0x00000000ffffffff] [ 0.033117] Normal [mem 0x0000000100000000-0x00000004beffffff] [ 0.033118] Device empty [ 0.033118] Movable zone start for each node [ 0.033120] Early memory node ranges [ 0.033121] node 0: [mem 0x0000000000001000-0x0000000000057fff] [ 0.033121] node 0: [mem 0x0000000000059000-0x000000000009dfff] [ 0.033122] node 0: [mem 0x0000000000100000-0x0000000032793fff] [ 0.033122] node 0: [mem 0x0000000032796000-0x0000000039c93fff] [ 0.033123] node 0: [mem 0x000000003b37f000-0x000000003b3fefff] [ 0.033123] node 0: [mem 0x0000000100000000-0x00000004beffffff] [ 0.033325] Zeroed struct page in unavailable ranges: 29522 pages [ 0.033325] Initmem setup node 0 [mem 0x0000000000001000-0x00000004beffffff] [ 0.033326] On node 0 totalpages: 4164782 [ 0.033327] DMA zone: 64 pages used for memmap [ 0.033327] DMA zone: 22 pages reserved [ 0.033328] DMA zone: 3996 pages, LIFO batch:0 [ 0.033344] DMA32 zone: 3637 pages used for memmap [ 0.033345] DMA32 zone: 232722 pages, LIFO batch:63 [ 0.034313] Normal zone: 61376 pages used for memmap [ 0.034313] Normal zone: 3928064 pages, LIFO batch:63 [ 0.052547] Reserving Intel graphics memory at [mem 0x3c000000-0x3fffffff] [ 0.053018] ACPI: PM-Timer IO Port: 0x1808 [ 0.053019] ACPI: Local APIC address 0xfee00000 [ 0.053023] ACPI: LAPIC_NMI (acpi_id[0x01] high edge lint[0x1]) [ 0.053023] ACPI: LAPIC_NMI (acpi_id[0x02] high edge lint[0x1]) [ 0.053024] ACPI: LAPIC_NMI (acpi_id[0x03] high edge lint[0x1]) [ 0.053024] ACPI: LAPIC_NMI (acpi_id[0x04] high edge lint[0x1]) [ 0.053024] ACPI: LAPIC_NMI (acpi_id[0x05] high edge lint[0x1]) [ 0.053025] ACPI: LAPIC_NMI (acpi_id[0x06] high edge lint[0x1]) [ 0.053025] ACPI: LAPIC_NMI (acpi_id[0x07] high edge lint[0x1]) [ 0.053025] ACPI: LAPIC_NMI (acpi_id[0x08] high edge lint[0x1]) [ 0.053056] IOAPIC[0]: apic_id 2, version 32, address 0xfec00000, GSI 0-119 [ 0.053057] ACPI: INT_SRC_OVR (bus 0 bus_irq 0 global_irq 2 dfl dfl) [ 0.053057] ACPI: INT_SRC_OVR (bus 0 bus_irq 9 global_irq 9 high level) [ 0.053058] ACPI: IRQ0 used by override. [ 0.053059] ACPI: IRQ9 used by override. [ 0.053060] Using ACPI (MADT) for SMP configuration information [ 0.053061] ACPI: HPET id: 0x8086a201 base: 0xfed00000 [ 0.053064] e820: update [mem 0x38505000-0x38533fff] usable ==> reserved [ 0.053070] TSC deadline timer available [ 0.053070] smpboot: Allowing 8 CPUs, 0 hotplug CPUs [ 0.053082] PM: hibernation: Registered nosave memory: [mem 0x00000000-0x00000fff] [ 0.053083] PM: hibernation: Registered nosave memory: [mem 0x00058000-0x00058fff] [ 0.053084] PM: hibernation: Registered nosave memory: [mem 0x0009e000-0x000fffff] [ 0.053085] PM: hibernation: Registered nosave memory: [mem 0x2eb38000-0x2eb38fff] [ 0.053086] PM: hibernation: Registered nosave memory: [mem 0x2eb48000-0x2eb48fff] [ 0.053087] PM: hibernation: Registered nosave memory: [mem 0x2eb49000-0x2eb49fff] [ 0.053087] PM: hibernation: Registered nosave memory: [mem 0x2eb72000-0x2eb72fff] [ 0.053088] PM: hibernation: Registered nosave memory: [mem 0x32794000-0x32794fff] [ 0.053089] PM: hibernation: Registered nosave memory: [mem 0x32795000-0x32795fff] [ 0.053090] PM: hibernation: Registered nosave memory: [mem 0x38505000-0x38533fff] [ 0.053091] PM: hibernation: Registered nosave memory: [mem 0x38a2f000-0x38a2ffff] [ 0.053092] PM: hibernation: Registered nosave memory: [mem 0x39c94000-0x3aa05fff] [ 0.053092] PM: hibernation: Registered nosave memory: [mem 0x3aa06000-0x3aa52fff] [ 0.053092] PM: hibernation: Registered nosave memory: [mem 0x3aa53000-0x3aea9fff] [ 0.053093] PM: hibernation: Registered nosave memory: [mem 0x3aeaa000-0x3b37efff] [ 0.053094] PM: hibernation: Registered nosave memory: [mem 0x3b3ff000-0x3fffffff] [ 0.053094] PM: hibernation: Registered nosave memory: [mem 0x40000000-0xefffffff] [ 0.053094] PM: hibernation: Registered nosave memory: [mem 0xf0000000-0xf7ffffff] [ 0.053094] PM: hibernation: Registered nosave memory: [mem 0xf8000000-0xfdffffff] [ 0.053095] PM: hibernation: Registered nosave memory: [mem 0xfe000000-0xfe010fff] [ 0.053095] PM: hibernation: Registered nosave memory: [mem 0xfe011000-0xfebfffff] [ 0.053095] PM: hibernation: Registered nosave memory: [mem 0xfec00000-0xfec00fff] [ 0.053096] PM: hibernation: Registered nosave memory: [mem 0xfec01000-0xfecfffff] [ 0.053096] PM: hibernation: Registered nosave memory: [mem 0xfed00000-0xfed00fff] [ 0.053096] PM: hibernation: Registered nosave memory: [mem 0xfed01000-0xfedfffff] [ 0.053097] PM: hibernation: Registered nosave memory: [mem 0xfee00000-0xfee00fff] [ 0.053097] PM: hibernation: Registered nosave memory: [mem 0xfee01000-0xfeffffff] [ 0.053097] PM: hibernation: Registered nosave memory: [mem 0xff000000-0xffffffff] [ 0.053098] [mem 0x40000000-0xefffffff] available for PCI devices [ 0.053099] Booting paravirtualized kernel on bare hardware [ 0.053101] clocksource: refined-jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 1910969940391419 ns [ 0.056948] setup_percpu: NR_CPUS:8192 nr_cpumask_bits:8 nr_cpu_ids:8 nr_node_ids:1 [ 0.057061] percpu: Embedded 54 pages/cpu s184320 r8192 d28672 u262144 [ 0.057065] pcpu-alloc: s184320 r8192 d28672 u262144 alloc=1*2097152 [ 0.057066] pcpu-alloc: [0] 0 1 2 3 4 5 6 7 [ 0.057084] Built 1 zonelists, mobility grouping on. Total pages: 4099683 [ 0.057084] Policy zone: Normal [ 0.057085] Kernel command line: BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 [ 0.057715] Dentry cache hash table entries: 2097152 (order: 12, 16777216 bytes, linear) [ 0.057975] Inode-cache hash table entries: 1048576 (order: 11, 8388608 bytes, linear) [ 0.058028] mem auto-init: stack:off, heap alloc:off, heap free:off [ 0.085956] Memory: 16088020K/16659128K available (14339K kernel code, 2518K rwdata, 8756K rodata, 2512K init, 4584K bss, 570848K reserved, 0K cma-reserved) [ 0.085961] random: get_random_u64 called from __kmem_cache_create+0x2e/0x550 with crng_init=0 [ 0.086041] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=8, Nodes=1 [ 0.086050] Kernel/User page tables isolation: enabled [ 0.086065] ftrace: allocating 44228 entries in 173 pages [ 0.097153] ftrace: allocated 173 pages with 5 groups [ 0.097213] rcu: Hierarchical RCU implementation. [ 0.097214] rcu: RCU restricting CPUs from NR_CPUS=8192 to nr_cpu_ids=8. [ 0.097214] Trampoline variant of Tasks RCU enabled. [ 0.097215] Rude variant of Tasks RCU enabled. [ 0.097215] Tracing variant of Tasks RCU enabled. [ 0.097215] rcu: RCU calculated value of scheduler-enlistment delay is 100 jiffies. [ 0.097216] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=8 [ 0.099291] NR_IRQS: 524544, nr_irqs: 2048, preallocated irqs: 16 [ 0.099779] random: crng done (trusting CPU's manufacturer) [ 0.099797] Console: colour dummy device 80x25 [ 0.099800] printk: console [tty0] enabled [ 0.099813] ACPI: Core revision 20200717 [ 0.100059] clocksource: hpet: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 79635855245 ns [ 0.100167] APIC: Switch to symmetric I/O mode setup [ 0.100168] DMAR: Host address width 39 [ 0.100169] DMAR: DRHD base: 0x000000fed90000 flags: 0x0 [ 0.100173] DMAR: dmar0: reg_base_addr fed90000 ver 1:0 cap 1c0000c40660462 ecap 19e2ff0505e [ 0.100173] DMAR: DRHD base: 0x000000fed91000 flags: 0x1 [ 0.100176] DMAR: dmar1: reg_base_addr fed91000 ver 1:0 cap d2008c40660462 ecap f050da [ 0.100177] DMAR: RMRR base: 0x0000003a15e000 end: 0x0000003a17dfff [ 0.100178] DMAR: RMRR base: 0x0000003b800000 end: 0x0000003fffffff [ 0.100178] DMAR: ANDD device: 1 name: \_SB.PCI0.I2C0 [ 0.100179] DMAR: ANDD device: 2 name: \_SB.PCI0.I2C1 [ 0.100180] DMAR-IR: IOAPIC id 2 under DRHD base 0xfed91000 IOMMU 1 [ 0.100180] DMAR-IR: HPET id 0 under DRHD base 0xfed91000 [ 0.100181] DMAR-IR: Queued invalidation will be enabled to support x2apic and Intr-remapping. [ 0.101763] DMAR-IR: Enabled IRQ remapping in x2apic mode [ 0.101763] x2apic enabled [ 0.101777] Switched APIC routing to cluster x2apic. [ 0.105920] ..TIMER: vector=0x30 apic1=0 pin1=2 apic2=-1 pin2=-1 [ 0.110136] clocksource: tsc-early: mask: 0xffffffffffffffff max_cycles: 0x285bfbafad2, max_idle_ns: 440795215530 ns [ 0.110139] Calibrating delay loop (skipped), value calculated using timer frequency.. 5599.85 BogoMIPS (lpj=2799927) [ 0.110140] pid_max: default: 32768 minimum: 301 [ 0.113874] LSM: Security Framework initializing [ 0.113883] Yama: becoming mindful. [ 0.113888] SELinux: Initializing. [ 0.113951] Mount-cache hash table entries: 32768 (order: 6, 262144 bytes, linear) [ 0.113971] Mountpoint-cache hash table entries: 32768 (order: 6, 262144 bytes, linear) [ 0.114159] mce: CPU0: Thermal monitoring enabled (TM1) [ 0.114173] process: using mwait in idle threads [ 0.114174] Last level iTLB entries: 4KB 64, 2MB 8, 4MB 8 [ 0.114175] Last level dTLB entries: 4KB 64, 2MB 0, 4MB 0, 1GB 4 [ 0.114176] Spectre V1 : Mitigation: usercopy/swapgs barriers and __user pointer sanitization [ 0.114177] Spectre V2 : Mitigation: Full generic retpoline [ 0.114178] Spectre V2 : Spectre v2 / SpectreRSB mitigation: Filling RSB on context switch [ 0.114178] Spectre V2 : Enabling Restricted Speculation for firmware calls [ 0.114179] Spectre V2 : mitigation: Enabling conditional Indirect Branch Prediction Barrier [ 0.114179] Spectre V2 : User space: Mitigation: STIBP via seccomp and prctl [ 0.114181] Speculative Store Bypass: Mitigation: Speculative Store Bypass disabled via prctl and seccomp [ 0.114184] SRBDS: Mitigation: Microcode [ 0.114184] MDS: Mitigation: Clear CPU buffers [ 0.114364] Freeing SMP alternatives memory: 40K [ 0.116225] smpboot: CPU0: Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz (family: 0x6, model: 0x9e, stepping: 0x9) [ 0.116321] Performance Events: PEBS fmt3+, Skylake events, 32-deep LBR, full-width counters, Intel PMU driver. [ 0.116341] ... version: 4 [ 0.116341] ... bit width: 48 [ 0.116342] ... generic registers: 4 [ 0.116342] ... value mask: 0000ffffffffffff [ 0.116342] ... max period: 00007fffffffffff [ 0.116343] ... fixed-purpose events: 3 [ 0.116343] ... event mask: 000000070000000f [ 0.116368] rcu: Hierarchical SRCU implementation. [ 0.116758] NMI watchdog: Enabled. Permanently consumes one hw-PMU counter. [ 0.116805] smp: Bringing up secondary CPUs ... [ 0.116853] x86: Booting SMP configuration: [ 0.116853] .... node #0, CPUs: #1 [ 0.004491] [Firmware Bug]: TSC ADJUST differs within socket(s), fixing all errors [ 0.118370] #2 #3 #4 [ 0.122255] MDS CPU bug present and SMT on, data leak possible. See https://www.kernel.org/doc/html/latest/admin-guide/hw-vuln/mds.html for more details. [ 0.122255] #5 #6 #7 [ 0.123523] smp: Brought up 1 node, 8 CPUs [ 0.123523] smpboot: Max logical packages: 1 [ 0.123523] smpboot: Total of 8 processors activated (44798.83 BogoMIPS) [ 0.124544] devtmpfs: initialized [ 0.124544] x86/mm: Memory block size: 128MB [ 0.125361] PM: Registering ACPI NVS region [mem 0x32794000-0x32794fff] (4096 bytes) [ 0.125361] PM: Registering ACPI NVS region [mem 0x3aa53000-0x3aea9fff] (4550656 bytes) [ 0.125361] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 1911260446275000 ns [ 0.125361] futex hash table entries: 2048 (order: 5, 131072 bytes, linear) [ 0.125361] xor: automatically using best checksumming function avx [ 0.125361] pinctrl core: initialized pinctrl subsystem [ 0.125361] PM: RTC time: 19:07:32, date: 2020-11-21 [ 0.125425] NET: Registered protocol family 16 [ 0.125524] DMA: preallocated 2048 KiB GFP_KERNEL pool for atomic allocations [ 0.125528] DMA: preallocated 2048 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations [ 0.125531] DMA: preallocated 2048 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations [ 0.125535] audit: initializing netlink subsys (disabled) [ 0.125540] audit: type=2000 audit(1605985652.022:1): state=initialized audit_enabled=0 res=1 [ 0.125540] thermal_sys: Registered thermal governor 'fair_share' [ 0.125540] thermal_sys: Registered thermal governor 'bang_bang' [ 0.125540] thermal_sys: Registered thermal governor 'step_wise' [ 0.125540] thermal_sys: Registered thermal governor 'user_space' [ 0.125540] cpuidle: using governor menu [ 0.125540] ACPI FADT declares the system doesn't support PCIe ASPM, so disable it [ 0.125540] ACPI: bus type PCI registered [ 0.125540] acpiphp: ACPI Hot Plug PCI Controller Driver version: 0.5 [ 0.126180] PCI: MMCONFIG for domain 0000 [bus 00-7f] at [mem 0xf0000000-0xf7ffffff] (base 0xf0000000) [ 0.126182] PCI: MMCONFIG at [mem 0xf0000000-0xf7ffffff] reserved in E820 [ 0.126188] PCI: Using configuration type 1 for base access [ 0.126456] ENERGY_PERF_BIAS: Set to 'normal', was 'performance' [ 0.127540] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages [ 0.127540] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages [ 0.228241] cryptd: max_cpu_qlen set to 1000 [ 0.229217] alg: No test for 842 (842-generic) [ 0.229217] alg: No test for 842 (842-scomp) [ 0.231170] raid6: skip pq benchmark and using algorithm avx2x4 [ 0.231170] raid6: using avx2x2 recovery algorithm [ 0.231204] ACPI: Added _OSI(Module Device) [ 0.231205] ACPI: Added _OSI(Processor Device) [ 0.231205] ACPI: Added _OSI(3.0 _SCP Extensions) [ 0.231206] ACPI: Added _OSI(Processor Aggregator Device) [ 0.231206] ACPI: Added _OSI(Linux-Dell-Video) [ 0.231207] ACPI: Added _OSI(Linux-Lenovo-NV-HDMI-Audio) [ 0.231207] ACPI: Added _OSI(Linux-HPI-Hybrid-Graphics) [ 0.264092] ACPI: 11 ACPI AML tables successfully acquired and loaded [ 0.265298] ACPI: EC: EC started [ 0.265299] ACPI: EC: interrupt blocked [ 0.266754] ACPI: EC: EC_CMD/EC_SC=0x66, EC_DATA=0x62 [ 0.266755] ACPI: EC: Boot ECDT EC used to handle transactions [ 0.267888] ACPI: [Firmware Bug]: BIOS _OSI(Linux) query ignored [ 0.274186] ACPI: Dynamic OEM Table Load: [ 0.274190] ACPI: SSDT 0xFFFF8F5E2C422800 000651 (v02 PmRef Cpu0Ist 00003000 INTL 20160422) [ 0.275265] ACPI: \_PR_.CPU0: _OSC native thermal LVT Acked [ 0.276272] ACPI: Dynamic OEM Table Load: [ 0.276275] ACPI: SSDT 0xFFFF8F5E2C111800 0003FF (v02 PmRef Cpu0Cst 00003001 INTL 20160422) [ 0.277333] ACPI: Dynamic OEM Table Load: [ 0.277336] ACPI: SSDT 0xFFFF8F5E2C0F9600 000115 (v02 PmRef Cpu0Hwp 00003000 INTL 20160422) [ 0.278299] ACPI: Dynamic OEM Table Load: [ 0.278301] ACPI: SSDT 0xFFFF8F5E2C0F9E00 0001A4 (v02 PmRef HwpLvt 00003000 INTL 20160422) [ 0.279472] ACPI: Dynamic OEM Table Load: [ 0.279476] ACPI: SSDT 0xFFFF8F5E2C421000 00065C (v02 PmRef ApIst 00003000 INTL 20160422) [ 0.280693] ACPI: Dynamic OEM Table Load: [ 0.280696] ACPI: SSDT 0xFFFF8F5E2C0FBA00 000197 (v02 PmRef ApHwp 00003000 INTL 20160422) [ 0.281735] ACPI: Dynamic OEM Table Load: [ 0.281738] ACPI: SSDT 0xFFFF8F5E2C0FAE00 00018A (v02 PmRef ApCst 00003000 INTL 20160422) [ 0.284710] ACPI: Interpreter enabled [ 0.284742] ACPI: (supports S0 S3 S4 S5) [ 0.284743] ACPI: Using IOAPIC for interrupt routing [ 0.284770] PCI: Using host bridge windows from ACPI; if necessary, use "pci=nocrs" and report a bug [ 0.285575] ACPI: Enabled 7 GPEs in block 00 to 7F [ 0.287570] ACPI: Power Resource [PG00] (on) [ 0.363392] ACPI: Power Resource [PG01] (on) [ 0.363637] ACPI: Power Resource [PG02] (on) [ 0.364943] ACPI: Power Resource [WRST] (on) [ 0.365065] ACPI: Power Resource [WRST] (on) [ 0.365183] ACPI: Power Resource [WRST] (on) [ 0.365301] ACPI: Power Resource [WRST] (on) [ 0.365418] ACPI: Power Resource [WRST] (on) [ 0.365680] ACPI: Power Resource [WRST] (on) [ 0.365787] ACPI: Power Resource [WRST] (on) [ 0.366177] ACPI: Power Resource [WRST] (on) [ 0.366311] ACPI: Power Resource [WRST] (on) [ 0.366571] ACPI: Power Resource [WRST] (on) [ 0.366789] ACPI: Power Resource [WRST] (on) [ 0.366908] ACPI: Power Resource [WRST] (on) [ 0.367025] ACPI: Power Resource [WRST] (on) [ 0.367149] ACPI: Power Resource [WRST] (on) [ 0.367268] ACPI: Power Resource [WRST] (on) [ 0.367386] ACPI: Power Resource [WRST] (on) [ 0.367506] ACPI: Power Resource [WRST] (on) [ 0.367762] ACPI: Power Resource [WRST] (on) [ 0.367879] ACPI: Power Resource [WRST] (on) [ 0.367996] ACPI: Power Resource [WRST] (on) [ 0.378038] ACPI: PCI Root Bridge [PCI0] (domain 0000 [bus 00-7e]) [ 0.378042] acpi PNP0A08:00: _OSC: OS supports [ExtendedConfig ASPM ClockPM Segments MSI EDR HPX-Type3] [ 0.378188] acpi PNP0A08:00: _OSC: platform does not support [PCIeHotplug SHPCHotplug PME] [ 0.378323] acpi PNP0A08:00: _OSC: OS now controls [AER PCIeCapability LTR DPC] [ 0.378323] acpi PNP0A08:00: FADT indicates ASPM is unsupported, using BIOS configuration [ 0.378832] PCI host bridge to bus 0000:00 [ 0.378833] pci_bus 0000:00: root bus resource [io 0x0000-0x0cf7 window] [ 0.378834] pci_bus 0000:00: root bus resource [io 0x0d00-0xffff window] [ 0.378835] pci_bus 0000:00: root bus resource [mem 0x000a0000-0x000bffff window] [ 0.378835] pci_bus 0000:00: root bus resource [mem 0x000c0000-0x000c3fff window] [ 0.378836] pci_bus 0000:00: root bus resource [mem 0x000c4000-0x000c7fff window] [ 0.378837] pci_bus 0000:00: root bus resource [mem 0x000c8000-0x000cbfff window] [ 0.378837] pci_bus 0000:00: root bus resource [mem 0x000cc000-0x000cffff window] [ 0.378838] pci_bus 0000:00: root bus resource [mem 0x000d0000-0x000d3fff window] [ 0.378838] pci_bus 0000:00: root bus resource [mem 0x000d4000-0x000d7fff window] [ 0.378839] pci_bus 0000:00: root bus resource [mem 0x000d8000-0x000dbfff window] [ 0.378840] pci_bus 0000:00: root bus resource [mem 0x000dc000-0x000dffff window] [ 0.378840] pci_bus 0000:00: root bus resource [mem 0x000e0000-0x000e3fff window] [ 0.378841] pci_bus 0000:00: root bus resource [mem 0x000e4000-0x000e7fff window] [ 0.378841] pci_bus 0000:00: root bus resource [mem 0x000e8000-0x000ebfff window] [ 0.378842] pci_bus 0000:00: root bus resource [mem 0x000ec000-0x000effff window] [ 0.378842] pci_bus 0000:00: root bus resource [mem 0x000f0000-0x000fffff window] [ 0.378843] pci_bus 0000:00: root bus resource [mem 0x40000000-0xefffffff window] [ 0.378844] pci_bus 0000:00: root bus resource [mem 0xfd000000-0xfe7fffff window] [ 0.378845] pci_bus 0000:00: root bus resource [bus 00-7e] [ 0.378865] pci 0000:00:00.0: [8086:5910] type 00 class 0x060000 [ 0.379149] pci 0000:00:01.0: [8086:1901] type 01 class 0x060400 [ 0.379184] pci 0000:00:01.0: PME# supported from D0 D3hot D3cold [ 0.379400] pci 0000:00:02.0: [8086:591b] type 00 class 0x030000 [ 0.379407] pci 0000:00:02.0: reg 0x10: [mem 0xeb000000-0xebffffff 64bit] [ 0.379411] pci 0000:00:02.0: reg 0x18: [mem 0x40000000-0x4fffffff 64bit pref] [ 0.379413] pci 0000:00:02.0: reg 0x20: [io 0xf000-0xf03f] [ 0.379426] pci 0000:00:02.0: BAR 2: assigned to efifb [ 0.379547] pci 0000:00:04.0: [8086:1903] type 00 class 0x118000 [ 0.379553] pci 0000:00:04.0: reg 0x10: [mem 0xed320000-0xed327fff 64bit] [ 0.379772] pci 0000:00:14.0: [8086:a12f] type 00 class 0x0c0330 [ 0.379798] pci 0000:00:14.0: reg 0x10: [mem 0xed310000-0xed31ffff 64bit] [ 0.379897] pci 0000:00:14.0: PME# supported from D3hot D3cold [ 0.380185] pci 0000:00:14.2: [8086:a131] type 00 class 0x118000 [ 0.380211] pci 0000:00:14.2: reg 0x10: [mem 0xed338000-0xed338fff 64bit] [ 0.380507] pci 0000:00:15.0: [8086:a160] type 00 class 0x118000 [ 0.380715] pci 0000:00:15.0: reg 0x10: [mem 0xed337000-0xed337fff 64bit] [ 0.381826] pci 0000:00:15.1: [8086:a161] type 00 class 0x118000 [ 0.382035] pci 0000:00:15.1: reg 0x10: [mem 0xed336000-0xed336fff 64bit] [ 0.383032] pci 0000:00:16.0: [8086:a13a] type 00 class 0x078000 [ 0.383055] pci 0000:00:16.0: reg 0x10: [mem 0xed335000-0xed335fff 64bit] [ 0.383139] pci 0000:00:16.0: PME# supported from D3hot [ 0.383330] pci 0000:00:17.0: [8086:a103] type 00 class 0x010601 [ 0.383350] pci 0000:00:17.0: reg 0x10: [mem 0xed330000-0xed331fff] [ 0.383361] pci 0000:00:17.0: reg 0x14: [mem 0xed334000-0xed3340ff] [ 0.383372] pci 0000:00:17.0: reg 0x18: [io 0xf090-0xf097] [ 0.383383] pci 0000:00:17.0: reg 0x1c: [io 0xf080-0xf083] [ 0.383394] pci 0000:00:17.0: reg 0x20: [io 0xf060-0xf07f] [ 0.383404] pci 0000:00:17.0: reg 0x24: [mem 0xed333000-0xed3337ff] [ 0.383467] pci 0000:00:17.0: PME# supported from D3hot [ 0.383647] pci 0000:00:1c.0: [8086:a110] type 01 class 0x060400 [ 0.383783] pci 0000:00:1c.0: PME# supported from D0 D3hot D3cold [ 0.384034] pci 0000:00:1c.2: [8086:a112] type 01 class 0x060400 [ 0.384168] pci 0000:00:1c.2: PME# supported from D0 D3hot D3cold [ 0.384422] pci 0000:00:1c.4: [8086:a114] type 01 class 0x060400 [ 0.384560] pci 0000:00:1c.4: PME# supported from D0 D3hot D3cold [ 0.384808] pci 0000:00:1f.0: [8086:a152] type 00 class 0x060100 [ 0.385050] pci 0000:00:1f.2: [8086:a121] type 00 class 0x058000 [ 0.385066] pci 0000:00:1f.2: reg 0x10: [mem 0xed32c000-0xed32ffff] [ 0.385269] pci 0000:00:1f.3: [8086:a171] type 00 class 0x040300 [ 0.385292] pci 0000:00:1f.3: reg 0x10: [mem 0xed328000-0xed32bfff 64bit] [ 0.385321] pci 0000:00:1f.3: reg 0x20: [mem 0xed300000-0xed30ffff 64bit] [ 0.385375] pci 0000:00:1f.3: PME# supported from D3hot D3cold [ 0.385629] pci 0000:00:1f.4: [8086:a123] type 00 class 0x0c0500 [ 0.385687] pci 0000:00:1f.4: reg 0x10: [mem 0xed332000-0xed3320ff 64bit] [ 0.385759] pci 0000:00:1f.4: reg 0x20: [io 0xf040-0xf05f] [ 0.386003] pci 0000:01:00.0: [10de:1c8c] type 00 class 0x030200 [ 0.386011] pci 0000:01:00.0: reg 0x10: [mem 0xec000000-0xecffffff] [ 0.386017] pci 0000:01:00.0: reg 0x14: [mem 0xa0000000-0xafffffff 64bit pref] [ 0.386023] pci 0000:01:00.0: reg 0x1c: [mem 0xb0000000-0xb1ffffff 64bit pref] [ 0.386027] pci 0000:01:00.0: reg 0x24: [io 0xe000-0xe07f] [ 0.386031] pci 0000:01:00.0: reg 0x30: [mem 0xed000000-0xed07ffff pref] [ 0.386042] pci 0000:01:00.0: Enabling HDA controller [ 0.386091] pci 0000:01:00.0: 63.008 Gb/s available PCIe bandwidth, limited by 8.0 GT/s PCIe x8 link at 0000:00:01.0 (capable of 126.016 Gb/s with 8.0 GT/s PCIe x16 link) [ 0.386188] pci 0000:00:01.0: PCI bridge to [bus 01] [ 0.386190] pci 0000:00:01.0: bridge window [io 0xe000-0xefff] [ 0.386191] pci 0000:00:01.0: bridge window [mem 0xec000000-0xed0fffff] [ 0.386193] pci 0000:00:01.0: bridge window [mem 0xa0000000-0xb1ffffff 64bit pref] [ 0.386931] pci 0000:02:00.0: [1aea:6621] type 00 class 0xff0000 [ 0.387493] pci 0000:02:00.0: reg 0x10: [mem 0xed200000-0xed2000ff 64bit] [ 0.391094] pci 0000:00:1c.0: PCI bridge to [bus 02] [ 0.391101] pci 0000:00:1c.0: bridge window [mem 0xed200000-0xed2fffff] [ 0.391525] pci 0000:03:00.0: [8086:24fd] type 00 class 0x028000 [ 0.391620] pci 0000:03:00.0: reg 0x10: [mem 0xed100000-0xed101fff 64bit] [ 0.391999] pci 0000:03:00.0: PME# supported from D0 D3hot D3cold [ 0.392719] pci 0000:00:1c.2: PCI bridge to [bus 03] [ 0.392726] pci 0000:00:1c.2: bridge window [mem 0xed100000-0xed1fffff] [ 0.392847] pci 0000:00:1c.4: PCI bridge to [bus 04-6e] [ 0.392854] pci 0000:00:1c.4: bridge window [mem 0xbc000000-0xea0fffff] [ 0.392860] pci 0000:00:1c.4: bridge window [mem 0x50000000-0x99ffffff 64bit pref] [ 0.394864] ACPI: PCI Interrupt Link [LNKA] (IRQs 3 4 5 6 10 *11 12 14 15) [ 0.394917] ACPI: PCI Interrupt Link [LNKB] (IRQs 3 4 5 6 *10 11 12 14 15) [ 0.394968] ACPI: PCI Interrupt Link [LNKC] (IRQs 3 4 5 6 10 *11 12 14 15) [ 0.395015] ACPI: PCI Interrupt Link [LNKD] (IRQs 3 4 5 6 10 *11 12 14 15) [ 0.395062] ACPI: PCI Interrupt Link [LNKE] (IRQs 3 4 5 6 10 *11 12 14 15) [ 0.395113] ACPI: PCI Interrupt Link [LNKF] (IRQs 3 4 5 6 10 *11 12 14 15) [ 0.395155] ACPI: PCI Interrupt Link [LNKG] (IRQs 3 4 5 6 10 *11 12 14 15) [ 0.395206] ACPI: PCI Interrupt Link [LNKH] (IRQs 3 4 5 6 10 *11 12 14 15) [ 0.395715] ACPI: EC: interrupt unblocked [ 0.395715] ACPI: EC: event unblocked [ 0.395729] ACPI: EC: EC_CMD/EC_SC=0x66, EC_DATA=0x62 [ 0.395730] ACPI: EC: GPE=0x23 [ 0.395731] ACPI: \_SB_.PCI0.LPCB.EC0_: Boot ECDT EC initialization complete [ 0.395731] ACPI: \_SB_.PCI0.LPCB.EC0_: EC: Used to handle transactions and events [ 0.395791] iommu: Default domain type: Translated [ 0.395791] pci 0000:00:02.0: vgaarb: setting as boot VGA device [ 0.395791] pci 0000:00:02.0: vgaarb: VGA device added: decodes=io+mem,owns=io+mem,locks=none [ 0.395791] pci 0000:00:02.0: vgaarb: bridge control possible [ 0.395791] vgaarb: loaded [ 0.395791] SCSI subsystem initialized [ 0.396143] libata version 3.00 loaded. [ 0.396156] ACPI: bus type USB registered [ 0.396165] usbcore: registered new interface driver usbfs [ 0.396169] usbcore: registered new interface driver hub [ 0.396183] usbcore: registered new device driver usb [ 0.396200] pps_core: LinuxPPS API ver. 1 registered [ 0.396200] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti [ 0.396202] PTP clock support registered [ 0.396214] EDAC MC: Ver: 3.0.0 [ 0.396380] Registered efivars operations [ 0.396380] NetLabel: Initializing [ 0.396380] NetLabel: domain hash size = 128 [ 0.396380] NetLabel: protocols = UNLABELED CIPSOv4 CALIPSO [ 0.396380] NetLabel: unlabeled traffic allowed by default [ 0.396380] PCI: Using ACPI for IRQ routing [ 0.403772] PCI: pci_cache_line_size set to 64 bytes [ 0.404153] e820: reserve RAM buffer [mem 0x00058000-0x0005ffff] [ 0.404154] e820: reserve RAM buffer [mem 0x0009e000-0x0009ffff] [ 0.404155] e820: reserve RAM buffer [mem 0x2eb38018-0x2fffffff] [ 0.404155] e820: reserve RAM buffer [mem 0x2eb49018-0x2fffffff] [ 0.404156] e820: reserve RAM buffer [mem 0x32794000-0x33ffffff] [ 0.404156] e820: reserve RAM buffer [mem 0x38505000-0x3bffffff] [ 0.404157] e820: reserve RAM buffer [mem 0x38a2f000-0x3bffffff] [ 0.404158] e820: reserve RAM buffer [mem 0x39c94000-0x3bffffff] [ 0.404158] e820: reserve RAM buffer [mem 0x3b3ff000-0x3bffffff] [ 0.404159] e820: reserve RAM buffer [mem 0x4bf000000-0x4bfffffff] [ 0.404230] hpet0: at MMIO 0xfed00000, IRQs 2, 8, 0, 0, 0, 0, 0, 0 [ 0.404232] hpet0: 8 comparators, 64-bit 24.000000 MHz counter [ 0.406153] clocksource: Switched to clocksource tsc-early [ 0.419825] VFS: Disk quotas dquot_6.6.0 [ 0.419838] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) [ 0.419874] pnp: PnP ACPI init [ 0.420076] system 00:00: [io 0x0680-0x069f] has been reserved [ 0.420077] system 00:00: [io 0xffff] has been reserved [ 0.420078] system 00:00: [io 0xffff] has been reserved [ 0.420079] system 00:00: [io 0xffff] has been reserved [ 0.420080] system 00:00: [io 0x1800-0x18fe] has been reserved [ 0.420080] system 00:00: [io 0x164e-0x164f] has been reserved [ 0.420084] system 00:00: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.420159] system 00:01: [io 0x0800-0x087f] has been reserved [ 0.420161] system 00:01: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.420173] pnp 00:02: Plug and Play ACPI device, IDs PNP0b00 (active) [ 0.420197] system 00:03: [io 0x1854-0x1857] has been reserved [ 0.420199] system 00:03: Plug and Play ACPI device, IDs INT3f0d PNP0c02 (active) [ 0.420317] pnp 00:04: Plug and Play ACPI device, IDs ATK3001 PNP030b (active) [ 0.420486] system 00:05: [mem 0xfed10000-0xfed17fff] has been reserved [ 0.420487] system 00:05: [mem 0xfed18000-0xfed18fff] has been reserved [ 0.420487] system 00:05: [mem 0xfed19000-0xfed19fff] has been reserved [ 0.420488] system 00:05: [mem 0xf0000000-0xf7ffffff] has been reserved [ 0.420489] system 00:05: [mem 0xfed20000-0xfed3ffff] has been reserved [ 0.420490] system 00:05: [mem 0xfed90000-0xfed93fff] could not be reserved [ 0.420491] system 00:05: [mem 0xfed45000-0xfed8ffff] has been reserved [ 0.420491] system 00:05: [mem 0xff000000-0xffffffff] has been reserved [ 0.420492] system 00:05: [mem 0xfee00000-0xfeefffff] could not be reserved [ 0.420493] system 00:05: [mem 0xeffe0000-0xefffffff] has been reserved [ 0.420495] system 00:05: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.420523] system 00:06: [mem 0xfd000000-0xfdabffff] has been reserved [ 0.420524] system 00:06: [mem 0xfdad0000-0xfdadffff] has been reserved [ 0.420524] system 00:06: [mem 0xfdb00000-0xfdffffff] has been reserved [ 0.420526] system 00:06: [mem 0xfe000000-0xfe01ffff] could not be reserved [ 0.420526] system 00:06: [mem 0xfe036000-0xfe03bfff] has been reserved [ 0.420527] system 00:06: [mem 0xfe03d000-0xfe3fffff] has been reserved [ 0.420529] system 00:06: [mem 0xfe410000-0xfe7fffff] has been reserved [ 0.420531] system 00:06: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.420770] system 00:07: [io 0xff00-0xfffe] has been reserved [ 0.420772] system 00:07: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.421686] system 00:08: [mem 0xfdaf0000-0xfdafffff] has been reserved [ 0.421687] system 00:08: [mem 0xfdae0000-0xfdaeffff] has been reserved [ 0.421688] system 00:08: [mem 0xfdac0000-0xfdacffff] has been reserved [ 0.421690] system 00:08: Plug and Play ACPI device, IDs PNP0c02 (active) [ 0.422580] pnp: PnP ACPI: found 9 devices [ 0.427862] clocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns [ 0.427900] NET: Registered protocol family 2 [ 0.427998] tcp_listen_portaddr_hash hash table entries: 8192 (order: 5, 131072 bytes, linear) [ 0.428011] TCP established hash table entries: 131072 (order: 8, 1048576 bytes, linear) [ 0.428105] TCP bind hash table entries: 65536 (order: 8, 1048576 bytes, linear) [ 0.428191] TCP: Hash tables configured (established 131072 bind 65536) [ 0.428240] MPTCP token hash table entries: 16384 (order: 6, 393216 bytes, linear) [ 0.428269] UDP hash table entries: 8192 (order: 6, 262144 bytes, linear) [ 0.428291] UDP-Lite hash table entries: 8192 (order: 6, 262144 bytes, linear) [ 0.428334] NET: Registered protocol family 1 [ 0.428337] NET: Registered protocol family 44 [ 0.428343] pci 0000:00:1c.4: bridge window [io 0x1000-0x0fff] to [bus 04-6e] add_size 1000 [ 0.428347] pci 0000:00:1c.4: BAR 13: assigned [io 0x2000-0x2fff] [ 0.428348] pci 0000:00:01.0: PCI bridge to [bus 01] [ 0.428350] pci 0000:00:01.0: bridge window [io 0xe000-0xefff] [ 0.428352] pci 0000:00:01.0: bridge window [mem 0xec000000-0xed0fffff] [ 0.428353] pci 0000:00:01.0: bridge window [mem 0xa0000000-0xb1ffffff 64bit pref] [ 0.428356] pci 0000:00:1c.0: PCI bridge to [bus 02] [ 0.428370] pci 0000:00:1c.0: bridge window [mem 0xed200000-0xed2fffff] [ 0.428380] pci 0000:00:1c.2: PCI bridge to [bus 03] [ 0.428385] pci 0000:00:1c.2: bridge window [mem 0xed100000-0xed1fffff] [ 0.428394] pci 0000:00:1c.4: PCI bridge to [bus 04-6e] [ 0.428398] pci 0000:00:1c.4: bridge window [io 0x2000-0x2fff] [ 0.428403] pci 0000:00:1c.4: bridge window [mem 0xbc000000-0xea0fffff] [ 0.428406] pci 0000:00:1c.4: bridge window [mem 0x50000000-0x99ffffff 64bit pref] [ 0.428413] pci_bus 0000:00: resource 4 [io 0x0000-0x0cf7 window] [ 0.428414] pci_bus 0000:00: resource 5 [io 0x0d00-0xffff window] [ 0.428415] pci_bus 0000:00: resource 6 [mem 0x000a0000-0x000bffff window] [ 0.428416] pci_bus 0000:00: resource 7 [mem 0x000c0000-0x000c3fff window] [ 0.428416] pci_bus 0000:00: resource 8 [mem 0x000c4000-0x000c7fff window] [ 0.428417] pci_bus 0000:00: resource 9 [mem 0x000c8000-0x000cbfff window] [ 0.428418] pci_bus 0000:00: resource 10 [mem 0x000cc000-0x000cffff window] [ 0.428418] pci_bus 0000:00: resource 11 [mem 0x000d0000-0x000d3fff window] [ 0.428419] pci_bus 0000:00: resource 12 [mem 0x000d4000-0x000d7fff window] [ 0.428419] pci_bus 0000:00: resource 13 [mem 0x000d8000-0x000dbfff window] [ 0.428420] pci_bus 0000:00: resource 14 [mem 0x000dc000-0x000dffff window] [ 0.428421] pci_bus 0000:00: resource 15 [mem 0x000e0000-0x000e3fff window] [ 0.428421] pci_bus 0000:00: resource 16 [mem 0x000e4000-0x000e7fff window] [ 0.428422] pci_bus 0000:00: resource 17 [mem 0x000e8000-0x000ebfff window] [ 0.428422] pci_bus 0000:00: resource 18 [mem 0x000ec000-0x000effff window] [ 0.428423] pci_bus 0000:00: resource 19 [mem 0x000f0000-0x000fffff window] [ 0.428424] pci_bus 0000:00: resource 20 [mem 0x40000000-0xefffffff window] [ 0.428424] pci_bus 0000:00: resource 21 [mem 0xfd000000-0xfe7fffff window] [ 0.428425] pci_bus 0000:01: resource 0 [io 0xe000-0xefff] [ 0.428426] pci_bus 0000:01: resource 1 [mem 0xec000000-0xed0fffff] [ 0.428426] pci_bus 0000:01: resource 2 [mem 0xa0000000-0xb1ffffff 64bit pref] [ 0.428427] pci_bus 0000:02: resource 1 [mem 0xed200000-0xed2fffff] [ 0.428428] pci_bus 0000:03: resource 1 [mem 0xed100000-0xed1fffff] [ 0.428428] pci_bus 0000:04: resource 0 [io 0x2000-0x2fff] [ 0.428429] pci_bus 0000:04: resource 1 [mem 0xbc000000-0xea0fffff] [ 0.428430] pci_bus 0000:04: resource 2 [mem 0x50000000-0x99ffffff 64bit pref] [ 0.428533] pci 0000:00:02.0: Video device with shadowed ROM at [mem 0x000c0000-0x000dffff] [ 0.429001] PCI: CLS 64 bytes, default 64 [ 0.429021] Trying to unpack rootfs image as initramfs... [ 0.824969] Freeing initrd memory: 35992K [ 0.825017] DMAR: ACPI device "device:7f" under DMAR at fed91000 as 00:15.0 [ 0.825019] DMAR: ACPI device "device:80" under DMAR at fed91000 as 00:15.1 [ 0.825031] PCI-DMA: Using software bounce buffering for IO (SWIOTLB) [ 0.825032] software IO TLB: mapped [mem 0x2ab38000-0x2eb38000] (64MB) [ 0.825713] Initialise system trusted keyrings [ 0.825723] Key type blacklist registered [ 0.825755] workingset: timestamp_bits=36 max_order=22 bucket_order=0 [ 0.826620] zbud: loaded [ 0.826912] integrity: Platform Keyring initialized [ 0.833532] NET: Registered protocol family 38 [ 0.833533] Key type asymmetric registered [ 0.833534] Asymmetric key parser 'x509' registered [ 0.833540] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245) [ 0.833575] io scheduler mq-deadline registered [ 0.833575] io scheduler kyber registered [ 0.833594] io scheduler bfq registered [ 0.833654] atomic64_test: passed for x86-64 platform with CX8 and with SSE [ 0.834050] pcieport 0000:00:1c.0: AER: enabled with IRQ 123 [ 0.834288] pcieport 0000:00:1c.2: AER: enabled with IRQ 124 [ 0.834525] pcieport 0000:00:1c.4: AER: enabled with IRQ 125 [ 0.834616] shpchp: Standard Hot Plug PCI Controller Driver version: 0.4 [ 0.834631] efifb: probing for efifb [ 0.834644] efifb: showing boot graphics [ 0.835179] efifb: framebuffer at 0x40000000, using 8100k, total 8100k [ 0.835179] efifb: mode is 1920x1080x32, linelength=7680, pages=1 [ 0.835179] efifb: scrolling: redraw [ 0.835180] efifb: Truecolor: size=8:8:8:8, shift=24:16:8:0 [ 0.835207] fbcon: Deferring console take-over [ 0.835208] fb0: EFI VGA frame buffer device [ 0.835212] intel_idle: MWAIT substates: 0x11142120 [ 0.835213] intel_idle: v0.5.1 model 0x9E [ 0.835528] intel_idle: Local APIC timer is reliable in all C-states [ 0.835687] ACPI: AC Adapter [AC0] (on-line) [ 0.835734] input: Lid Switch as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:17/PNP0C09:01/PNP0C0D:00/input/input0 [ 0.835744] ACPI: Lid Switch [LID] [ 0.835774] input: Sleep Button as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0E:00/input/input1 [ 0.835783] ACPI: Sleep Button [SLPB] [ 0.835797] input: Power Button as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input2 [ 0.835806] ACPI: Power Button [PWRB] [ 0.835820] input: Power Button as /devices/LNXSYSTM:00/LNXPWRBN:00/input/input3 [ 0.835837] ACPI: Power Button [PWRF] [ 0.837041] thermal LNXTHERM:00: registered as thermal_zone0 [ 0.837042] ACPI: Thermal Zone [THRM] (63 C) [ 0.837288] Serial: 8250/16550 driver, 32 ports, IRQ sharing enabled [ 0.842048] Non-volatile memory driver v1.3 [ 0.842061] Linux agpgart interface v0.103 [ 0.847537] tpm_crb MSFT0101:00: [Firmware Bug]: ACPI region does not cover the entire command/response buffer. [mem 0xfed40000-0xfed4087f flags 0x201] vs fed40080 f80 [ 0.847544] tpm_crb MSFT0101:00: [Firmware Bug]: ACPI region does not cover the entire command/response buffer. [mem 0xfed40000-0xfed4087f flags 0x201] vs fed40080 f80 [ 0.847977] battery: ACPI: Battery Slot [BAT0] (battery present) [ 0.863416] intel-lpss 0000:00:15.0: enabling device (0000 -> 0002) [ 0.867471] intel-lpss 0000:00:15.1: enabling device (0000 -> 0002) [ 0.871755] ahci 0000:00:17.0: version 3.0 [ 0.882438] ahci 0000:00:17.0: AHCI 0001.0301 32 slots 1 ports 6 Gbps 0x1 impl SATA mode [ 0.882440] ahci 0000:00:17.0: flags: 64bit ncq sntf pm led clo only pio slum part ems deso sadm sds apst [ 0.882757] scsi host0: ahci [ 0.882805] ata1: SATA max UDMA/133 abar m2048@0xed333000 port 0xed333100 irq 126 [ 0.882936] libphy: Fixed MDIO Bus: probed [ 0.883020] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver [ 0.883021] ehci-pci: EHCI PCI platform driver [ 0.883027] ohci_hcd: USB 1.1 'Open' Host Controller (OHCI) Driver [ 0.883028] ohci-pci: OHCI PCI platform driver [ 0.883033] uhci_hcd: USB Universal Host Controller Interface driver [ 0.883224] xhci_hcd 0000:00:14.0: xHCI Host Controller [ 0.883332] xhci_hcd 0000:00:14.0: new USB bus registered, assigned bus number 1 [ 0.884476] xhci_hcd 0000:00:14.0: hcc params 0x200077c1 hci version 0x100 quirks 0x0000000001109810 [ 0.884615] xhci_hcd 0000:00:14.0: cache line size of 64 is not supported [ 0.884821] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.09 [ 0.884822] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.884822] usb usb1: Product: xHCI Host Controller [ 0.884823] usb usb1: Manufacturer: Linux 5.9.8-200.fc33.x86_64 xhci-hcd [ 0.884824] usb usb1: SerialNumber: 0000:00:14.0 [ 0.884956] hub 1-0:1.0: USB hub found [ 0.884998] hub 1-0:1.0: 16 ports detected [ 0.885727] xhci_hcd 0000:00:14.0: xHCI Host Controller [ 0.885813] xhci_hcd 0000:00:14.0: new USB bus registered, assigned bus number 2 [ 0.885815] xhci_hcd 0000:00:14.0: Host supports USB 3.0 SuperSpeed [ 0.885849] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.09 [ 0.885850] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 0.885850] usb usb2: Product: xHCI Host Controller [ 0.885851] usb usb2: Manufacturer: Linux 5.9.8-200.fc33.x86_64 xhci-hcd [ 0.885851] usb usb2: SerialNumber: 0000:00:14.0 [ 0.885939] hub 2-0:1.0: USB hub found [ 0.885967] hub 2-0:1.0: 8 ports detected [ 0.886359] usbcore: registered new interface driver usbserial_generic [ 0.886361] usbserial: USB Serial support registered for generic [ 0.886374] i8042: PNP: PS/2 Controller [PNP030b:PS2K] at 0x60,0x64 irq 1 [ 0.886374] i8042: PNP: PS/2 appears to have AUX port disabled, if this is incorrect please boot with i8042.nopnp [ 0.888768] serio: i8042 KBD port at 0x60,0x64 irq 1 [ 0.888844] mousedev: PS/2 mouse device common for all mice [ 0.889066] rtc_cmos 00:02: RTC can wake from S4 [ 0.889632] rtc_cmos 00:02: registered as rtc0 [ 0.889776] rtc_cmos 00:02: setting system clock to 2020-11-21T19:07:33 UTC (1605985653) [ 0.889777] rtc_cmos 00:02: alarms up to one month, y3k, 242 bytes nvram, hpet irqs [ 0.889815] device-mapper: uevent: version 1.0.3 [ 0.889863] device-mapper: ioctl: 4.42.0-ioctl (2020-02-27) initialised: dm-devel@redhat.com [ 0.889956] intel_pstate: Intel P-state driver initializing [ 0.890422] intel_pstate: Disabling energy efficiency optimization [ 0.890423] intel_pstate: HWP enabled [ 0.890514] hid: raw HID events driver (C) Jiri Kosina [ 0.890536] usbcore: registered new interface driver usbhid [ 0.890536] usbhid: USB HID core driver [ 0.890589] resource sanity check: requesting [mem 0xfdffe800-0xfe0007ff], which spans more than pnp 00:06 [mem 0xfdb00000-0xfdffffff] [ 0.890592] caller pmc_core_probe+0x6d/0x350 mapping multiple BARs [ 0.890610] intel_pmc_core INT33A1:00: initialized [ 0.890662] drop_monitor: Initializing network drop monitor service [ 0.890703] Initializing XFRM netlink socket [ 0.890770] NET: Registered protocol family 10 [ 0.893345] Segment Routing with IPv6 [ 0.893346] RPL Segment Routing with IPv6 [ 0.893359] mip6: Mobile IPv6 [ 0.893360] NET: Registered protocol family 17 [ 0.893987] microcode: sig=0x906e9, pf=0x20, revision=0xd6 [ 0.894034] microcode: Microcode Update Driver: v2.2. [ 0.894036] IPI shorthand broadcast: enabled [ 0.894039] AVX2 version of gcm_enc/dec engaged. [ 0.894040] AES CTR mode by8 optimization enabled [ 0.908304] sched_clock: Marking stable (904805326, 3491495)->(914399964, -6103143) [ 0.908485] registered taskstats version 1 [ 0.908491] Loading compiled-in X.509 certificates [ 0.909164] Loaded X.509 cert 'Fedora kernel signing key: b59c368049a44731edbb4108d9f78e7d0b084d59' [ 0.909180] zswap: loaded using pool lzo/zbud [ 0.909402] Key type ._fscrypt registered [ 0.909403] Key type .fscrypt registered [ 0.909403] Key type fscrypt-provisioning registered [ 0.909527] Btrfs loaded, crc32c=crc32c-generic [ 0.911915] Key type encrypted registered [ 0.913277] integrity: Loading X.509 certificate: UEFI:db [ 0.931223] input: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input4 [ 0.936802] integrity: Loaded X.509 cert 'ASUSTeK Notebook SW Key Certificate: b8e581e4df77a5bb4282d5ccfc00c071' [ 0.936802] integrity: Loading X.509 certificate: UEFI:db [ 0.936950] integrity: Loaded X.509 cert 'ASUSTeK MotherBoard SW Key Certificate: da83b990422ebc8c441f8d8b039a65a2' [ 0.936950] integrity: Loading X.509 certificate: UEFI:db [ 0.936967] integrity: Loaded X.509 cert 'Microsoft Corporation UEFI CA 2011: 13adbf4309bd82709c8cd54f316ed522988a1bd4' [ 0.936968] integrity: Loading X.509 certificate: UEFI:db [ 0.936983] integrity: Loaded X.509 cert 'Microsoft Windows Production PCA 2011: a92902398e16c49778cd90f99e4f9ae17c55af53' [ 0.936984] integrity: Loading X.509 certificate: UEFI:db [ 0.937132] integrity: Loaded X.509 cert 'Canonical Ltd. Master Certificate Authority: ad91990bc22ab1f517048c23b6655a268e345a63' [ 0.938965] ima: Allocated hash algorithm: sha256 [ 0.994263] ima: No architecture policies found [ 0.996654] PM: Magic number: 12:689:142 [ 0.996726] acpi INT3472:08: hash matches [ 0.996760] acpi device:13: hash matches [ 0.996878] RAS: Correctable Errors collector initialized. [ 1.190048] ata1: SATA link up 6.0 Gbps (SStatus 133 SControl 300) [ 1.191885] ata1.00: ACPI cmd ef/10:06:00:00:00:00 (SET FEATURES) succeeded [ 1.191889] ata1.00: ACPI cmd f5/00:00:00:00:00:00 (SECURITY FREEZE LOCK) filtered out [ 1.191892] ata1.00: ACPI cmd b1/c1:00:00:00:00:00 (DEVICE CONFIGURATION OVERLAY) filtered out [ 1.193684] ata1.00: ATA-10: Micron_1100_MTFDDAV512TBN, M0MA020, max UDMA/133 [ 1.193688] ata1.00: 1000215216 sectors, multi 16: LBA48 NCQ (depth 32), AA [ 1.196366] ata1.00: ACPI cmd ef/10:06:00:00:00:00 (SET FEATURES) succeeded [ 1.196371] ata1.00: ACPI cmd f5/00:00:00:00:00:00 (SECURITY FREEZE LOCK) filtered out [ 1.196374] ata1.00: ACPI cmd b1/c1:00:00:00:00:00 (DEVICE CONFIGURATION OVERLAY) filtered out [ 1.198965] ata1.00: configured for UDMA/133 [ 1.209891] scsi 0:0:0:0: Direct-Access ATA Micron_1100_MTFD A020 PQ: 0 ANSI: 5 [ 1.210206] ata1.00: Enabling discard_zeroes_data [ 1.210259] sd 0:0:0:0: Attached scsi generic sg0 type 0 [ 1.210273] sd 0:0:0:0: [sda] 1000215216 512-byte logical blocks: (512 GB/477 GiB) [ 1.210287] sd 0:0:0:0: [sda] Write Protect is off [ 1.210289] sd 0:0:0:0: [sda] Mode Sense: 00 3a 00 00 [ 1.210308] sd 0:0:0:0: [sda] Write cache: enabled, read cache: enabled, doesn't support DPO or FUA [ 1.210641] ata1.00: Enabling discard_zeroes_data [ 1.212892] sda: sda1 sda2 sda3 [ 1.213481] ata1.00: Enabling discard_zeroes_data [ 1.213595] sd 0:0:0:0: [sda] Attached SCSI disk [ 1.215832] Freeing unused decrypted memory: 2040K [ 1.216718] Freeing unused kernel image (initmem) memory: 2512K [ 1.228250] Write protecting the kernel read-only data: 26624k [ 1.229452] Freeing unused kernel image (text/rodata gap) memory: 2044K [ 1.230178] Freeing unused kernel image (rodata/data gap) memory: 1484K [ 1.303731] x86/mm: Checked W+X mappings: passed, no W+X pages found. [ 1.303734] rodata_test: all tests were successful [ 1.303734] x86/mm: Checking user space page tables [ 1.345569] x86/mm: Checked W+X mappings: passed, no W+X pages found. [ 1.345573] Run /init as init process [ 1.345574] with arguments: [ 1.345574] /init [ 1.345574] rhgb [ 1.345575] with environment: [ 1.345575] HOME=/ [ 1.345575] TERM=linux [ 1.345576] BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 [ 1.422149] systemd[1]: systemd v246.6-3.fc33 running in system mode. (+PAM +AUDIT +SELINUX +IMA -APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +ZSTD +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=unified) [ 1.434490] systemd[1]: Detected architecture x86-64. [ 1.434491] systemd[1]: Running in initial RAM disk. [ 1.434526] systemd[1]: Set hostname to . [ 1.484028] systemd[1]: /usr/lib/systemd/system/plymouth-start.service:15: Unit configured to use KillMode=none. This is unsafe, as it disables systemd's process lifecycle management for the service. Please update your service to use a safer KillMode=, such as 'mixed' or 'control-group'. Support for KillMode=none is deprecated and will eventually be removed. [ 1.490009] systemd[1]: Queued start job for default target Initrd Default Target. [ 1.491014] systemd[1]: Created slice system-systemd\x2dhibernate\x2dresume.slice. [ 1.491072] systemd[1]: Reached target Slices. [ 1.491087] systemd[1]: Reached target Swap. [ 1.491096] systemd[1]: Reached target Timers. [ 1.491212] systemd[1]: Listening on Journal Audit Socket. [ 1.491282] systemd[1]: Listening on Journal Socket (/dev/log). [ 1.491360] systemd[1]: Listening on Journal Socket. [ 1.491440] systemd[1]: Listening on udev Control Socket. [ 1.491497] systemd[1]: Listening on udev Kernel Socket. [ 1.491508] systemd[1]: Reached target Sockets. [ 1.492031] systemd[1]: Starting Create list of static device nodes for the current kernel... [ 1.492515] systemd[1]: Started Memstrack Anylazing Service. [ 1.493037] systemd[1]: Started Hardware RNG Entropy Gatherer Daemon. [ 1.494259] systemd[1]: Starting Journal Service... [ 1.494855] systemd[1]: Starting Load Kernel Modules... [ 1.495390] systemd[1]: Starting Setup Virtual Console... [ 1.495738] systemd[1]: Finished Create list of static device nodes for the current kernel. [ 1.496331] systemd[1]: Starting Create Static Device Nodes in /dev... [ 1.503549] systemd[1]: Finished Create Static Device Nodes in /dev. [ 1.506573] systemd[1]: memstrack.service: Succeeded. [ 1.513200] fuse: init (API version 7.31) [ 1.514143] systemd[1]: Finished Load Kernel Modules. [ 1.514895] systemd[1]: Starting Apply Kernel Variables... [ 1.521011] systemd[1]: Finished Apply Kernel Variables. [ 1.560969] systemd[1]: Started Journal Service. [ 1.561041] audit: type=1130 audit(1605985654.169:2): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-journald comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1.563549] audit: type=1130 audit(1605985654.172:3): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-vconsole-setup comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1.637721] audit: type=1130 audit(1605985654.246:4): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=dracut-cmdline comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1.662055] audit: type=1130 audit(1605985654.270:5): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=dracut-pre-udev comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1.662829] audit: type=1334 audit(1605985654.271:6): prog-id=6 op=LOAD [ 1.662887] audit: type=1334 audit(1605985654.271:7): prog-id=7 op=LOAD [ 1.662919] audit: type=1334 audit(1605985654.271:8): prog-id=8 op=LOAD [ 1.698990] audit: type=1130 audit(1605985654.307:9): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-udevd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1.794924] audit: type=1130 audit(1605985654.403:10): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-udev-trigger comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 1.835841] i2c_hid i2c-ELAN1300:00: supply vdd not found, using dummy regulator [ 1.835866] i2c_hid i2c-ELAN1300:00: supply vddl not found, using dummy regulator [ 1.848025] alcor_pci 0000:02:00.0: enabling device (0000 -> 0002) [ 1.848072] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.848104] pcieport 0000:00:1c.0: PCIe Bus Error: severity=Corrected, type=Physical Layer, (Transmitter ID) [ 1.848105] pcieport 0000:00:1c.0: device [8086:a110] error status/mask=00001001/00002000 [ 1.848106] pcieport 0000:00:1c.0: [ 0] RxErr [ 1.848107] pcieport 0000:00:1c.0: [12] Timeout [ 1.848114] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.848153] pcieport 0000:00:1c.0: AER: can't find device of ID00e0 [ 1.848154] pcieport 0000:00:1c.0: AER: Corrected error received: 0000:00:1c.0 [ 1.848160] pcieport 0000:00:1c.0: PCIe Bus Error: severity=Corrected, type=Physical Layer, (Receiver ID) [ 1.848160] pcieport 0000:00:1c.0: device [8086:a110] error status/mask=00000001/00002000 [ 1.848161] pcieport 0000:00:1c.0: [ 0] RxErr [ 1.850543] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.850821] pcieport 0000:00:1c.0: PCIe Bus Error: severity=Corrected, type=Physical Layer, (Transmitter ID) [ 1.850822] pcieport 0000:00:1c.0: device [8086:a110] error status/mask=00001001/00002000 [ 1.850823] pcieport 0000:00:1c.0: [ 0] RxErr [ 1.850824] pcieport 0000:00:1c.0: [12] Timeout [ 1.850867] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.850904] pcieport 0000:00:1c.0: AER: can't find device of ID00e0 [ 1.850905] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.850946] pcieport 0000:00:1c.0: PCIe Bus Error: severity=Corrected, type=Physical Layer, (Transmitter ID) [ 1.850947] pcieport 0000:00:1c.0: device [8086:a110] error status/mask=00001001/00002000 [ 1.850948] pcieport 0000:00:1c.0: [ 0] RxErr [ 1.850949] pcieport 0000:00:1c.0: [12] Timeout [ 1.850957] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.851045] pcieport 0000:00:1c.0: PCIe Bus Error: severity=Corrected, type=Physical Layer, (Transmitter ID) [ 1.851046] pcieport 0000:00:1c.0: device [8086:a110] error status/mask=00001001/00002000 [ 1.851047] pcieport 0000:00:1c.0: [ 0] RxErr [ 1.851048] pcieport 0000:00:1c.0: [12] Timeout [ 1.851054] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.851060] pcieport 0000:00:1c.0: AER: can't find device of ID00e0 [ 1.851062] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.851069] pcieport 0000:00:1c.0: AER: can't find device of ID00e0 [ 1.851071] pcieport 0000:00:1c.0: AER: Multiple Corrected error received: 0000:00:1c.0 [ 1.851076] pcieport 0000:00:1c.0: AER: can't find device of ID00e0 [ 1.851079] pcieport 0000:00:1c.0: AER: Corrected error received: 0000:00:1c.0 [ 1.851088] pcieport 0000:00:1c.0: AER: can't find device of ID00e0 [ 1.863164] tsc: Refined TSC clocksource calibration: 2808.011 MHz [ 1.863170] clocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x2879d056a67, max_idle_ns: 440795290248 ns [ 1.867340] clocksource: Switched to clocksource tsc [ 1.954255] usb 2-1: new SuperSpeed Gen 1 USB device number 2 using xhci_hcd [ 1.967611] usb 2-1: New USB device found, idVendor=0bda, idProduct=8153, bcdDevice=30.00 [ 1.967613] usb 2-1: New USB device strings: Mfr=1, Product=2, SerialNumber=6 [ 1.967614] usb 2-1: Product: USB 10/100/1000 LAN [ 1.967616] usb 2-1: Manufacturer: Realtek [ 1.967617] usb 2-1: SerialNumber: 000001000000 [ 1.980801] input: ELAN1300:00 04F3:3059 Mouse as /devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input5 [ 1.981063] input: ELAN1300:00 04F3:3059 Touchpad as /devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input6 [ 1.981439] hid-generic 0018:04F3:3059.0001: input,hidraw0: I2C HID v1.00 Mouse [ELAN1300:00 04F3:3059] on i2c-ELAN1300:00 [ 1.986682] usbcore: registered new interface driver r8152 [ 2.080135] usb 1-4: new high-speed USB device number 3 using xhci_hcd [ 2.108610] checking generic (40000000 7e9000) vs hw (eb000000 1000000) [ 2.108611] checking generic (40000000 7e9000) vs hw (40000000 10000000) [ 2.108612] fb0: switching to inteldrmfb from EFI VGA [ 2.108658] i915 0000:00:02.0: vgaarb: deactivate vga console [ 2.110160] i915 0000:00:02.0: vgaarb: changed VGA decodes: olddecodes=io+mem,decodes=io+mem:owns=io+mem [ 2.110501] i915 0000:00:02.0: [drm] Finished loading DMC firmware i915/kbl_dmc_ver1_04.bin (v1.4) [ 2.120096] [drm] Initialized i915 1.6.0 20200715 for 0000:00:02.0 on minor 0 [ 2.122144] ACPI: Video Device [GFX0] (multi-head: yes rom: no post: no) [ 2.122469] input: Video Bus as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input7 [ 2.124219] ACPI: Video Device [PEGP] (multi-head: no rom: yes post: no) [ 2.124246] input: Video Bus as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:12/LNXVIDEO:01/input/input8 [ 2.150757] fbcon: i915drmfb (fb0) is primary device [ 2.150758] fbcon: Deferring console take-over [ 2.150760] i915 0000:00:02.0: [drm] fb0: i915drmfb frame buffer device [ 2.155627] input: ELAN1300:00 04F3:3059 Mouse as /devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input9 [ 2.155694] input: ELAN1300:00 04F3:3059 Touchpad as /devices/pci0000:00/0000:00:15.1/i2c_designware.1/i2c-1/i2c-ELAN1300:00/0018:04F3:3059.0001/input/input10 [ 2.155748] hid-multitouch 0018:04F3:3059.0001: input,hidraw0: I2C HID v1.00 Mouse [ELAN1300:00 04F3:3059] on i2c-ELAN1300:00 [ 2.219475] usb 1-4: New USB device found, idVendor=13d3, idProduct=5755, bcdDevice=16.12 [ 2.219477] usb 1-4: New USB device strings: Mfr=3, Product=1, SerialNumber=2 [ 2.219478] usb 1-4: Product: USB2.0 VGA UVC WebCam [ 2.219478] usb 1-4: Manufacturer: AzureWave [ 2.219479] usb 1-4: SerialNumber: 0001 [ 2.320436] usb 2-1: reset SuperSpeed Gen 1 USB device number 2 using xhci_hcd [ 2.356738] r8152 2-1:1.0: load rtl8153a-3 v2 02/07/20 successfully [ 2.379490] r8152 2-1:1.0 eth0: v1.11.11 [ 2.385173] r8152 2-1:1.0 enp0s20f0u1: renamed from eth0 [ 2.446133] usb 1-5: new full-speed USB device number 4 using xhci_hcd [ 7.751395] usb 1-5: unable to read config index 0 descriptor/all [ 7.751400] usb 1-5: can't read configurations, error -110 [ 7.865252] usb 1-5: new full-speed USB device number 5 using xhci_hcd [ 7.994685] usb 1-5: New USB device found, idVendor=258a, idProduct=1007, bcdDevice= 1.00 [ 7.994690] usb 1-5: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 7.994693] usb 1-5: Product: Game Mouse [ 7.994695] usb 1-5: Manufacturer: SINOWEALTH [ 7.998323] input: SINOWEALTH Game Mouse as /devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.0/0003:258A:1007.0002/input/input11 [ 7.998800] hid-generic 0003:258A:1007.0002: input,hidraw1: USB HID v1.11 Mouse [SINOWEALTH Game Mouse] on usb-0000:00:14.0-5/input0 [ 8.002502] input: SINOWEALTH Game Mouse Keyboard as /devices/pci0000:00/0000:00:14.0/usb1/1-5/1-5:1.1/0003:258A:1007.0003/input/input12 [ 8.054853] hid-generic 0003:258A:1007.0003: input,hiddev96,hidraw2: USB HID v1.11 Keyboard [SINOWEALTH Game Mouse] on usb-0000:00:14.0-5/input1 [ 8.168243] usb 1-9: new full-speed USB device number 6 using xhci_hcd [ 8.296765] usb 1-9: New USB device found, idVendor=8087, idProduct=0a2b, bcdDevice= 0.10 [ 8.296769] usb 1-9: New USB device strings: Mfr=0, Product=0, SerialNumber=0 [ 8.413237] usb 1-10: new full-speed USB device number 7 using xhci_hcd [ 8.541707] usb 1-10: New USB device found, idVendor=04f3, idProduct=0903, bcdDevice= 1.35 [ 8.541711] usb 1-10: New USB device strings: Mfr=1, Product=2, SerialNumber=0 [ 8.541715] usb 1-10: Product: ELAN:Fingerprint [ 8.541717] usb 1-10: Manufacturer: ELAN [ 8.659225] usb 1-11: new full-speed USB device number 8 using xhci_hcd [ 8.788843] usb 1-11: New USB device found, idVendor=04f3, idProduct=2544, bcdDevice=57.13 [ 8.788848] usb 1-11: New USB device strings: Mfr=4, Product=14, SerialNumber=0 [ 8.788852] usb 1-11: Product: Touchscreen [ 8.788854] usb 1-11: Manufacturer: ELAN [ 8.800050] input: ELAN Touchscreen as /devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input14 [ 8.800400] input: ELAN Touchscreen as /devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input15 [ 8.800787] input: ELAN Touchscreen UNKNOWN as /devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input16 [ 8.800946] input: ELAN Touchscreen UNKNOWN as /devices/pci0000:00/0000:00:14.0/usb1/1-11/1-11:1.0/0003:04F3:2544.0004/input/input17 [ 8.801264] hid-multitouch 0003:04F3:2544.0004: input,hiddev97,hidraw3: USB HID v1.10 Device [ELAN Touchscreen] on usb-0000:00:14.0-11/input0 [ 9.146805] PM: Image not found (code -22) [ 9.147987] kauditd_printk_skb: 1 callbacks suppressed [ 9.147989] audit: type=1130 audit(1605985661.756:12): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-hibernate-resume@dev-mapper-fedora_localhost\x2d\x2dlive\x2dswap comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 9.147992] audit: type=1131 audit(1605985661.756:13): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-hibernate-resume@dev-mapper-fedora_localhost\x2d\x2dlive\x2dswap comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 9.160556] audit: type=1130 audit(1605985661.769:14): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-tmpfiles-setup comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 9.188957] audit: type=1130 audit(1605985661.797:15): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=dracut-initqueue comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 9.217857] audit: type=1130 audit(1605985661.826:16): pid=1 uid=0 auid=4294967295 ses=4294967295 subj=kernel msg='unit=systemd-fsck-root comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success' [ 9.227568] EXT4-fs (dm-0): mounted filesystem with ordered data mode. Opts: (null) [ 9.238889] audit: type=1334 audit(1605985661.847:17): prog-id=5 op=UNLOAD [ 9.238910] audit: type=1334 audit(1605985661.847:18): prog-id=4 op=UNLOAD [ 9.238911] audit: type=1334 audit(1605985661.847:19): prog-id=3 op=UNLOAD [ 9.239781] audit: type=1334 audit(1605985661.848:20): prog-id=8 op=UNLOAD [ 9.239782] audit: type=1334 audit(1605985661.848:21): prog-id=7 op=UNLOAD [ 9.778120] SELinux: Permission watch in class filesystem not defined in policy. [ 9.778123] SELinux: Permission watch in class file not defined in policy. [ 9.778124] SELinux: Permission watch_mount in class file not defined in policy. [ 9.778124] SELinux: Permission watch_sb in class file not defined in policy. [ 9.778126] SELinux: Permission watch_with_perm in class file not defined in policy. [ 9.778127] SELinux: Permission watch_reads in class file not defined in policy. [ 9.778128] SELinux: Permission watch in class dir not defined in policy. [ 9.778129] SELinux: Permission watch_mount in class dir not defined in policy. [ 9.778129] SELinux: Permission watch_sb in class dir not defined in policy. [ 9.778129] SELinux: Permission watch_with_perm in class dir not defined in policy. [ 9.778130] SELinux: Permission watch_reads in class dir not defined in policy. [ 9.778132] SELinux: Permission watch in class lnk_file not defined in policy. [ 9.778132] SELinux: Permission watch_mount in class lnk_file not defined in policy. [ 9.778133] SELinux: Permission watch_sb in class lnk_file not defined in policy. [ 9.778133] SELinux: Permission watch_with_perm in class lnk_file not defined in policy. [ 9.778133] SELinux: Permission watch_reads in class lnk_file not defined in policy. [ 9.778134] SELinux: Permission watch in class chr_file not defined in policy. [ 9.778135] SELinux: Permission watch_mount in class chr_file not defined in policy. [ 9.778135] SELinux: Permission watch_sb in class chr_file not defined in policy. [ 9.778135] SELinux: Permission watch_with_perm in class chr_file not defined in policy. [ 9.778136] SELinux: Permission watch_reads in class chr_file not defined in policy. [ 9.778136] SELinux: Permission watch in class blk_file not defined in policy. [ 9.778137] SELinux: Permission watch_mount in class blk_file not defined in policy. [ 9.778137] SELinux: Permission watch_sb in class blk_file not defined in policy. [ 9.778137] SELinux: Permission watch_with_perm in class blk_file not defined in policy. [ 9.778138] SELinux: Permission watch_reads in class blk_file not defined in policy. [ 9.778138] SELinux: Permission watch in class sock_file not defined in policy. [ 9.778139] SELinux: Permission watch_mount in class sock_file not defined in policy. [ 9.778139] SELinux: Permission watch_sb in class sock_file not defined in policy. [ 9.778139] SELinux: Permission watch_with_perm in class sock_file not defined in policy. [ 9.778140] SELinux: Permission watch_reads in class sock_file not defined in policy. [ 9.778141] SELinux: Permission watch in class fifo_file not defined in policy. [ 9.778141] SELinux: Permission watch_mount in class fifo_file not defined in policy. [ 9.778141] SELinux: Permission watch_sb in class fifo_file not defined in policy. [ 9.778141] SELinux: Permission watch_with_perm in class fifo_file not defined in policy. [ 9.778142] SELinux: Permission watch_reads in class fifo_file not defined in policy. [ 9.778163] SELinux: Permission perfmon in class capability2 not defined in policy. [ 9.778163] SELinux: Permission bpf in class capability2 not defined in policy. [ 9.778164] SELinux: Permission checkpoint_restore in class capability2 not defined in policy. [ 9.778167] SELinux: Permission perfmon in class cap2_userns not defined in policy. [ 9.778167] SELinux: Permission bpf in class cap2_userns not defined in policy. [ 9.778168] SELinux: Permission checkpoint_restore in class cap2_userns not defined in policy. [ 9.778183] SELinux: Class perf_event not defined in policy. [ 9.778183] SELinux: Class lockdown not defined in policy. [ 9.778184] SELinux: the above unknown classes and permissions will be allowed [ 9.778187] SELinux: policy capability network_peer_controls=1 [ 9.778187] SELinux: policy capability open_perms=1 [ 9.778188] SELinux: policy capability extended_socket_class=1 [ 9.778188] SELinux: policy capability always_check_network=0 [ 9.778188] SELinux: policy capability cgroup_seclabel=1 [ 9.778189] SELinux: policy capability nnp_nosuid_transition=1 [ 9.778189] SELinux: policy capability genfs_seclabel_symlinks=0 [ 9.800701] systemd[1]: Successfully loaded SELinux policy in 135.746ms. [ 9.869865] systemd[1]: Relabelled /dev, /dev/shm, /run, /sys/fs/cgroup in 31.977ms. [ 9.878985] systemd[1]: systemd v246.6-3.fc33 running in system mode. (+PAM +AUDIT +SELINUX +IMA -APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +ZSTD +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=unified) [ 9.891414] systemd[1]: Detected architecture x86-64. [ 9.891661] systemd[1]: Set hostname to . [ 9.933869] zram_generator::generator[594]: Creating dev-zram0.swap for /dev/zram0 (4096MB) [ 9.946825] zram: Added device: zram0 [ 10.015551] systemd[1]: /usr/lib/systemd/system/plymouth-start.service:15: Unit configured to use KillMode=none. This is unsafe, as it disables systemd's process lifecycle management for the service. Please update your service to use a safer KillMode=, such as 'mixed' or 'control-group'. Support for KillMode=none is deprecated and will eventually be removed. [ 10.075443] systemd[1]: /usr/lib/systemd/system/alsa-restore.service:15: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether. [ 10.091522] systemd[1]: /usr/lib/systemd/system/mcelog.service:8: Standard output type syslog is obsolete, automatically updating to journal. Please update your unit file, and consider removing the setting altogether. [ 10.163458] systemd[1]: initrd-switch-root.service: Succeeded. [ 10.163582] systemd[1]: Stopped Switch Root. [ 10.163939] systemd[1]: systemd-journald.service: Scheduled restart job, restart counter is at 1. [ 10.164138] systemd[1]: Created slice Virtual Machine and Container Slice. [ 10.164347] systemd[1]: Created slice system-getty.slice. [ 10.164527] systemd[1]: Created slice system-modprobe.slice. [ 10.164707] systemd[1]: Created slice system-swap\x2dcreate.slice. [ 10.164882] systemd[1]: Created slice system-systemd\x2dfsck.slice. [ 10.165081] systemd[1]: Created slice User and Session Slice. [ 10.165120] systemd[1]: Condition check resulted in Dispatch Password Requests to Console Directory Watch being skipped. [ 10.165240] systemd[1]: Started Forward Password Requests to Wall Directory Watch. [ 10.165597] systemd[1]: Set up automount Arbitrary Executable File Formats File System Automount Point. [ 10.165664] systemd[1]: Reached target Local Encrypted Volumes. [ 10.165699] systemd[1]: Reached target Login Prompts. [ 10.165754] systemd[1]: Stopped target Switch Root. [ 10.165787] systemd[1]: Stopped target Initrd File Systems. [ 10.165812] systemd[1]: Stopped target Initrd Root File System. [ 10.165901] systemd[1]: Reached target Slices. [ 10.166307] systemd[1]: Listening on Device-mapper event daemon FIFOs. [ 10.166953] systemd[1]: Listening on LVM2 poll daemon socket. [ 10.167069] systemd[1]: Listening on multipathd control socket. [ 10.168062] systemd[1]: Listening on Process Core Dump Socket. [ 10.168643] systemd[1]: Listening on initctl Compatibility Named Pipe. [ 10.169048] systemd[1]: Listening on udev Control Socket. [ 10.169327] systemd[1]: Listening on udev Kernel Socket. [ 10.169899] systemd[1]: Activating swap /dev/mapper/fedora_localhost--live-swap... [ 10.170729] systemd[1]: Mounting Huge Pages File System... [ 10.171535] systemd[1]: Mounting POSIX Message Queue File System... [ 10.172412] systemd[1]: Mounting Kernel Debug File System... [ 10.172562] systemd[1]: Condition check resulted in Kernel Module supporting RPCSEC_GSS being skipped. [ 10.173302] systemd[1]: Starting Create list of static device nodes for the current kernel... [ 10.174204] systemd[1]: Starting Monitoring of LVM2 mirrors, snapshots etc. using dmeventd or progress polling... [ 10.174316] systemd[1]: Condition check resulted in Load Kernel Module drm being skipped. [ 10.175335] systemd[1]: Starting Preprocess NFS configuration convertion... [ 10.175607] systemd[1]: plymouth-switch-root.service: Succeeded. [ 10.175813] systemd[1]: Stopped Plymouth switch root service. [ 10.177421] systemd[1]: Condition check resulted in Set Up Additional Binary Formats being skipped. [ 10.177480] systemd[1]: Stopped Journal Service. [ 10.178911] systemd[1]: Starting Journal Service... [ 10.180124] systemd[1]: Starting Load Kernel Modules... [ 10.180841] systemd[1]: Starting Remount Root and Kernel File Systems... [ 10.181075] systemd[1]: Condition check resulted in Repartition Root Disk being skipped. [ 10.181149] Adding 8208380k swap on /dev/mapper/fedora_localhost--live-swap. Priority:-2 extents:1 across:8208380k SSFS [ 10.181744] systemd[1]: Starting Coldplug All udev Devices... [ 10.182837] systemd[1]: sysroot.mount: Succeeded. [ 10.185641] systemd[1]: Activated swap /dev/mapper/fedora_localhost--live-swap. [ 10.186466] systemd[1]: Mounted Huge Pages File System. [ 10.186673] systemd[1]: Mounted POSIX Message Queue File System. [ 10.186866] systemd[1]: Mounted Kernel Debug File System. [ 10.187489] systemd[1]: Finished Create list of static device nodes for the current kernel. [ 10.188013] systemd[1]: nfs-convert.service: Succeeded. [ 10.188436] systemd[1]: Finished Preprocess NFS configuration convertion. [ 10.192111] EXT4-fs (dm-0): re-mounted. Opts: (null) [ 10.192937] systemd[1]: Finished Remount Root and Kernel File Systems. [ 10.193134] systemd[1]: Condition check resulted in OSTree Remount OS/ Bind Mounts being skipped. [ 10.193195] systemd[1]: Condition check resulted in First Boot Wizard being skipped. [ 10.193914] systemd[1]: Condition check resulted in Rebuild Hardware Database being skipped. [ 10.194563] systemd[1]: Starting Load/Save Random Seed... [ 10.194655] systemd[1]: Condition check resulted in Create System Users being skipped. [ 10.195246] systemd[1]: Starting Create Static Device Nodes in /dev... [ 10.196412] systemd[1]: Finished Load Kernel Modules. [ 10.197350] systemd[1]: Mounting FUSE Control File System... [ 10.198211] systemd[1]: Starting Apply Kernel Variables... [ 10.199905] systemd[1]: Mounted FUSE Control File System. [ 10.209720] systemd[1]: Finished Load/Save Random Seed. [ 10.215441] systemd[1]: Finished Apply Kernel Variables. [ 10.217293] systemd[1]: Started Journal Service. [ 10.497841] input: Asus Wireless Radio Control as /devices/LNXSYSTM:00/LNXSYBUS:00/ATK4002:00/input/input19 [ 10.602011] idma64 idma64.0: Found Intel integrated DMA 64-bit [ 10.602448] idma64 idma64.1: Found Intel integrated DMA 64-bit [ 10.636415] mei_me 0000:00:16.0: enabling device (0000 -> 0002) [ 10.636999] proc_thermal 0000:00:04.0: enabling device (0000 -> 0002) [ 10.637846] intel_rapl_common: Found RAPL domain package [ 10.637847] intel_rapl_common: Found RAPL domain dram [ 10.637944] proc_thermal 0000:00:04.0: Creating sysfs group for PROC_THERMAL_PCI [ 10.689708] i801_smbus 0000:00:1f.4: SPD Write Disable is set [ 10.689739] i801_smbus 0000:00:1f.4: SMBus using PCI interrupt [ 10.694513] i2c i2c-8: 2/4 memory slots populated (from DMI) [ 10.713310] input: PC Speaker as /devices/platform/pcspkr/input/input20 [ 10.723553] mc: Linux media interface: v0.10 [ 10.724302] asus_wmi: ASUS WMI generic driver loaded [ 10.733816] nvidia: loading out-of-tree module taints kernel. [ 10.733831] nvidia: module license 'NVIDIA' taints kernel. [ 10.733831] Disabling lock debugging due to kernel taint [ 10.744027] nvidia: module verification failed: signature and/or required key missing - tainting kernel [ 10.746968] asus_wmi: Initialization: 0x1 [ 10.747013] asus_wmi: BIOS WMI version: 9.0 [ 10.747042] asus_wmi: SFUN value: 0x21 [ 10.747045] asus-nb-wmi asus-nb-wmi: Detected ATK, not ASUSWMI, use DSTS [ 10.747046] asus-nb-wmi asus-nb-wmi: Detected ATK, enable event queue [ 10.748904] input: Asus WMI hotkeys as /devices/platform/asus-nb-wmi/input/input21 [ 10.755190] battery: new extension: ASUS Battery Extension [ 10.767385] zram0: detected capacity change from 0 to 4294967296 [ 10.801981] RAPL PMU: API unit is 2^-32 Joules, 5 fixed counters, 655360 ms ovfl timer [ 10.801983] RAPL PMU: hw unit of domain pp0-core 2^-14 Joules [ 10.801984] RAPL PMU: hw unit of domain package 2^-14 Joules [ 10.801984] RAPL PMU: hw unit of domain dram 2^-14 Joules [ 10.801985] RAPL PMU: hw unit of domain pp1-gpu 2^-14 Joules [ 10.801986] RAPL PMU: hw unit of domain psys 2^-14 Joules [ 10.805159] Adding 4194300k swap on /dev/zram0. Priority:100 extents:1 across:4194300k SSFS [ 10.840418] nvidia-nvlink: Nvlink Core is being initialized, major device number 236 [ 10.845146] mei_hdcp 0000:00:16.0-b638ab7e-94e2-4ea2-a552-d1c54b627f04: bound 0000:00:02.0 (ops i915_hdcp_component_ops [i915]) [ 10.845146] videodev: Linux video capture interface: v2.00 [ 10.845417] cfg80211: Loading compiled-in X.509 certificates for regulatory database [ 10.845994] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7' [ 10.849073] intel_rapl_common: Found RAPL domain package [ 10.849074] intel_rapl_common: Found RAPL domain core [ 10.849075] intel_rapl_common: Found RAPL domain uncore [ 10.849075] intel_rapl_common: Found RAPL domain dram [ 10.856632] nvidia 0000:01:00.0: enabling device (0006 -> 0007) [ 10.876331] iTCO_vendor_support: vendor-support=0 [ 10.878657] Bluetooth: Core ver 2.22 [ 10.878672] NET: Registered protocol family 31 [ 10.878673] Bluetooth: HCI device and connection manager initialized [ 10.878675] Bluetooth: HCI socket layer initialized [ 10.878677] Bluetooth: L2CAP socket layer initialized [ 10.878680] Bluetooth: SCO socket layer initialized [ 10.892708] iTCO_wdt: Intel TCO WatchDog Timer Driver v1.11 [ 10.892780] iTCO_wdt: Found a Intel PCH TCO device (Version=4, TCOBASE=0x0400) [ 10.892960] iTCO_wdt: initialized. heartbeat=30 sec (nowayout=0) [ 10.915260] usbcore: registered new interface driver btusb [ 10.918906] Bluetooth: hci0: Firmware revision 0.1 build 50 week 12 2019 [ 10.931726] Intel(R) Wireless WiFi driver for Linux [ 10.931951] iwlwifi 0000:03:00.0: enabling device (0000 -> 0002) [ 10.940677] snd_hda_intel 0000:00:1f.3: enabling device (0000 -> 0002) [ 10.940899] snd_hda_intel 0000:00:1f.3: bound 0000:00:02.0 (ops i915_audio_component_bind_ops [i915]) [ 10.941678] iwlwifi 0000:03:00.0: loaded firmware version 36.ad812ee0.0 8265-36.ucode op_mode iwlmvm [ 10.962609] uvcvideo: Found UVC 1.00 device USB2.0 VGA UVC WebCam (13d3:5755) [ 10.967626] uvcvideo: Failed to initialize entity for entity 6 [ 10.967627] uvcvideo: Failed to register entities (-22). [ 10.967670] input: USB2.0 VGA UVC WebCam: USB2.0 V as /devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/input/input22 [ 10.967731] usbcore: registered new interface driver uvcvideo [ 10.967731] USB Video Class driver (1.1.1) [ 10.988948] snd_hda_codec_realtek hdaudioC0D0: autoconfig for ALC295: line_outs=2 (0x17/0x14/0x0/0x0/0x0) type:speaker [ 10.988950] snd_hda_codec_realtek hdaudioC0D0: speaker_outs=0 (0x0/0x0/0x0/0x0/0x0) [ 10.988952] snd_hda_codec_realtek hdaudioC0D0: hp_outs=1 (0x21/0x0/0x0/0x0/0x0) [ 10.988953] snd_hda_codec_realtek hdaudioC0D0: mono: mono_out=0x0 [ 10.988953] snd_hda_codec_realtek hdaudioC0D0: inputs: [ 10.988955] snd_hda_codec_realtek hdaudioC0D0: Mic=0x12 [ 11.031878] iwlwifi 0000:03:00.0: Detected Intel(R) Dual Band Wireless AC 8265, REV=0x230 [ 11.043158] input: HDA Intel PCH Front Headphone as /devices/pci0000:00/0000:00:1f.3/sound/card0/input23 [ 11.043213] input: HDA Intel PCH HDMI/DP,pcm=3 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input24 [ 11.043257] input: HDA Intel PCH HDMI/DP,pcm=7 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input25 [ 11.043305] input: HDA Intel PCH HDMI/DP,pcm=8 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input26 [ 11.043343] input: HDA Intel PCH HDMI/DP,pcm=9 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input27 [ 11.043397] input: HDA Intel PCH HDMI/DP,pcm=10 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input28 [ 11.089240] NVRM: loading NVIDIA UNIX x86_64 Kernel Module 455.45.01 Thu Nov 5 23:03:56 UTC 2020 [ 11.094426] iwlwifi 0000:03:00.0: base HW address: f8:34:41:82:e7:e0 [ 11.103642] nvidia_uvm: module uses symbols from proprietary module nvidia, inheriting taint. [ 11.117961] nvidia-uvm: Loaded the UVM driver, major device number 234. [ 11.124250] nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 455.45.01 Thu Nov 5 22:55:44 UTC 2020 [ 11.126924] [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver [ 11.147280] ACPI Warning: \_SB.PCI0.PEG0.PEGP._DSM: Argument #4 type mismatch - Found [Buffer], ACPI requires [Package] (20200717/nsarguments-59) [ 11.169427] ieee80211 phy0: Selected rate control algorithm 'iwl-mvm-rs' [ 11.169718] thermal thermal_zone9: failed to read out thermal zone (-61) [ 11.174627] iwlwifi 0000:03:00.0 wlp3s0: renamed from wlan0 [ 11.911549] [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1 [ 11.986995] EXT4-fs (dm-2): mounted filesystem with ordered data mode. Opts: (null) [ 12.004658] EXT4-fs (sda2): mounted filesystem with ordered data mode. Opts: (null) [ 12.170495] RPC: Registered named UNIX socket transport module. [ 12.170496] RPC: Registered udp transport module. [ 12.170496] RPC: Registered tcp transport module. [ 12.170497] RPC: Registered tcp NFSv4.1 backchannel transport module. [ 12.371865] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 [ 12.371867] Bluetooth: BNEP filters: protocol multicast [ 12.371869] Bluetooth: BNEP socket layer initialized [ 12.987401] IPv6: ADDRCONF(NETDEV_CHANGE): enp0s20f0u1: link becomes ready [ 12.987756] r8152 2-1:1.0 enp0s20f0u1: carrier on [ 13.681465] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this. [ 13.691113] tun: Universal TUN/TAP device driver, 1.6 [ 13.691958] virbr0: port 1(virbr0-nic) entered blocking state [ 13.691959] virbr0: port 1(virbr0-nic) entered disabled state [ 13.691999] device virbr0-nic entered promiscuous mode [ 13.804943] nf_conntrack: default automatic helper assignment has been turned off for security reasons and CT-based firewall rule not found. Use the iptables CT target to attach helpers instead. [ 14.003965] virbr0: port 1(virbr0-nic) entered blocking state [ 14.003966] virbr0: port 1(virbr0-nic) entered listening state [ 14.042662] virbr0: port 1(virbr0-nic) entered disabled state [ 16.824878] rfkill: input handler disabled [ 22.642568] rfkill: input handler enabled [ 24.303207] Bluetooth: RFCOMM TTY layer initialized [ 24.303211] Bluetooth: RFCOMM socket layer initialized [ 24.303254] Bluetooth: RFCOMM ver 1.11 [ 25.396622] rfkill: input handler disabled [ 27.339457] show_signal_msg: 85 callbacks suppressed [ 27.339459] packagekitd[1392]: segfault at 8 ip 00005591ea96e3ea sp 00007ffda2ba7100 error 4 in packagekitd[5591ea96a000+28000] [ 27.339465] Code: ff ff 41 bc f4 01 00 00 eb 80 66 0f 1f 44 00 00 48 8b 45 d0 4c 89 e1 48 8d 15 6e 3d 02 00 be 10 00 00 00 48 8d 3d 2f 3d 02 00 <4c> 8b 40 08 31 c0 e8 6b d3 ff ff eb a3 66 0f 1f 84 00 00 00 00 00 [ 46.242234] rfkill: input handler enabled [ 54.118705] rfkill: input handler disabled [ 61.970841] rfkill: input handler enabled [ 75.325047] rfkill: input handler disabled [ 91.144381] rfkill: input handler enabled [ 117.727912] fbcon: Taking over console [ 117.736513] Console: switching to colour frame buffer device 240x67 [ 169.270957] rfkill: input handler disabled ____________________________________________ Using built-in specs. COLLECT_GCC=gcc COLLECT_LTO_WRAPPER=/usr/libexec/gcc/x86_64-redhat-linux/10/lto-wrapper OFFLOAD_TARGET_NAMES=nvptx-none OFFLOAD_TARGET_DEFAULT=1 Target: x86_64-redhat-linux Configured with: ../configure --enable-bootstrap --enable-languages=c,c++,fortran,objc,obj-c++,ada,go,d,lto --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=http://bugzilla.redhat.com/bugzilla --enable-shared --enable-threads=posix --enable-checking=release --enable-multilib --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-linker-build-id --with-gcc-major-version-only --with-linker-hash-style=gnu --enable-plugin --enable-initfini-array --with-isl --enable-offload-targets=nvptx-none --without-cuda-driver --enable-gnu-indirect-function --enable-cet --with-tune=generic --with-arch_32=i686 --build=x86_64-redhat-linux Thread model: posix Supported LTO compression algorithms: zlib zstd gcc version 10.2.1 20201016 (Red Hat 10.2.1-6) (GCC) ____________________________________________ Using built-in specs. COLLECT_GCC=g++ COLLECT_LTO_WRAPPER=/usr/libexec/gcc/x86_64-redhat-linux/10/lto-wrapper OFFLOAD_TARGET_NAMES=nvptx-none OFFLOAD_TARGET_DEFAULT=1 Target: x86_64-redhat-linux Configured with: ../configure --enable-bootstrap --enable-languages=c,c++,fortran,objc,obj-c++,ada,go,d,lto --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=http://bugzilla.redhat.com/bugzilla --enable-shared --enable-threads=posix --enable-checking=release --enable-multilib --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-linker-build-id --with-gcc-major-version-only --with-linker-hash-style=gnu --enable-plugin --enable-initfini-array --with-isl --enable-offload-targets=nvptx-none --without-cuda-driver --enable-gnu-indirect-function --enable-cet --with-tune=generic --with-arch_32=i686 --build=x86_64-redhat-linux Thread model: posix Supported LTO compression algorithms: zlib zstd gcc version 10.2.1 20201016 (Red Hat 10.2.1-6) (GCC) ____________________________________________ xset -q: Keyboard Control: auto repeat: on key click percent: 0 LED mask: 00000000 XKB indicators: 00: Caps Lock: off 01: Num Lock: off 02: Scroll Lock: off 03: Compose: off 04: Kana: off 05: Sleep: off 06: Suspend: off 07: Mute: off 08: Misc: off 09: Mail: off 10: Charging: off 11: Shift Lock: off 12: Group 2: off 13: Mouse Keys: off auto repeat delay: 500 repeat rate: 33 auto repeating keys: 00ffffffdffffbbf fadfffefffedffff 9fffffffffffffff fff7ffffffffffff bell percent: 50 bell pitch: 400 bell duration: 100 Pointer Control: acceleration: 2/1 threshold: 4 Screen Saver: prefer blanking: yes allow exposures: yes timeout: 600 cycle: 600 Colors: default colormap: 0x20 BlackPixel: 0x0 WhitePixel: 0xffffff Font Path: catalogue:/etc/X11/fontpath.d,built-ins DPMS (Energy Star): Standby: 0 Suspend: 0 Off: 0 DPMS is Enabled Monitor is On ____________________________________________ nvidia-settings -q all: Unable to init server: Nu s-a putut conecta: Connection refused Attributes queryable via mylaptop:0[gpu:0]: Attribute 'OperatingSystem' (mylaptop:0[gpu:0]): 0. The valid values for 'OperatingSystem' are in the range 0 - 2 (inclusive). 'OperatingSystem' is a read-only attribute. 'OperatingSystem' can use the following target types: X Screen, GPU. Attribute 'NvidiaDriverVersion' (mylaptop:0[gpu:0]): 455.45.01 'NvidiaDriverVersion' is a string attribute. 'NvidiaDriverVersion' is a read-only attribute. 'NvidiaDriverVersion' can use the following target types: X Screen, GPU. Attribute 'ConnectedDisplays' (mylaptop:0[gpu:0]): 0x00000000. 'ConnectedDisplays' is a bitmask attribute. 'ConnectedDisplays' is a read-only attribute. 'ConnectedDisplays' can use the following target types: X Screen, GPU. Attribute 'EnabledDisplays' (mylaptop:0[gpu:0]): 0x00000000. 'EnabledDisplays' is a bitmask attribute. 'EnabledDisplays' is a read-only attribute. 'EnabledDisplays' can use the following target types: X Screen, GPU. Attribute 'Depth30Allowed' (mylaptop:0[gpu:0]): 1. 'Depth30Allowed' is a boolean attribute; valid values are: 1 (on/true) and 0 (off/false). 'Depth30Allowed' is a read-only attribute. 'Depth30Allowed' can use the following target types: X Screen, GPU. Attribute 'NoScanout' (mylaptop:0[gpu:0]): 1. 'NoScanout' is a boolean attribute; valid values are: 1 (on/true) and 0 (off/false). 'NoScanout' is a read-only attribute. 'NoScanout' can use the following target types: X Screen, GPU. Attribute 'SliMosaicModeAvailable' (mylaptop:0[gpu:0]): 0. 'SliMosaicModeAvailable' is a boolean attribute; valid values are: 1 (on/true) and 0 (off/false). 'SliMosaicModeAvailable' is a read-only attribute. 'SliMosaicModeAvailable' can use the following target types: X Screen, GPU. Attribute 'BusType' (mylaptop:0[gpu:0]): 2. The valid values for 'BusType' are in the range 0 - 3 (inclusive). 'BusType' is a read-only attribute. 'BusType' can use the following target types: X Screen, GPU. Attribute 'PCIEMaxLinkSpeed' (mylaptop:0[gpu:0]): 8000. 'PCIEMaxLinkSpeed' is an integer attribute. 'PCIEMaxLinkSpeed' is a read-only attribute. 'PCIEMaxLinkSpeed' can use the following target types: X Screen, GPU. Attribute 'PCIEMaxLinkWidth' (mylaptop:0[gpu:0]): 16. The valid values for 'PCIEMaxLinkWidth' are in the range 1 - 16 (inclusive). 'PCIEMaxLinkWidth' is a read-only attribute. 'PCIEMaxLinkWidth' can use the following target types: X Screen, GPU. Attribute 'PCIECurrentLinkSpeed' (mylaptop:0[gpu:0]): 8000. 'PCIECurrentLinkSpeed' is an integer attribute. 'PCIECurrentLinkSpeed' is a read-only attribute. 'PCIECurrentLinkSpeed' can use the following target types: X Screen, GPU. Attribute 'PCIECurrentLinkWidth' (mylaptop:0[gpu:0]): 8. 'PCIECurrentLinkWidth' is an integer attribute. 'PCIECurrentLinkWidth' is a read-only attribute. 'PCIECurrentLinkWidth' can use the following target types: X Screen, GPU. Attribute 'VideoRam' (mylaptop:0[gpu:0]): 4194304. 'VideoRam' is an integer attribute. 'VideoRam' is a read-only attribute. 'VideoRam' can use the following target types: X Screen, GPU. Attribute 'TotalDedicatedGPUMemory' (mylaptop:0[gpu:0]): 4042. 'TotalDedicatedGPUMemory' is an integer attribute. 'TotalDedicatedGPUMemory' is a read-only attribute. 'TotalDedicatedGPUMemory' can use the following target types: GPU. Attribute 'UsedDedicatedGPUMemory' (mylaptop:0[gpu:0]): 9. 'UsedDedicatedGPUMemory' is an integer attribute. 'UsedDedicatedGPUMemory' is a read-only attribute. 'UsedDedicatedGPUMemory' can use the following target types: GPU. Attribute 'Irq' (mylaptop:0[gpu:0]): 132. 'Irq' is an integer attribute. 'Irq' is a read-only attribute. 'Irq' can use the following target types: X Screen, GPU. Attribute 'CUDACores' (mylaptop:0[gpu:0]): 768. 'CUDACores' is an integer attribute. 'CUDACores' is a read-only attribute. 'CUDACores' can use the following target types: X Screen, GPU. Attribute 'GPUMemoryInterface' (mylaptop:0[gpu:0]): 128. 'GPUMemoryInterface' is an integer attribute. 'GPUMemoryInterface' is a read-only attribute. 'GPUMemoryInterface' can use the following target types: X Screen, GPU. Attribute 'GPUCoreTemp' (mylaptop:0[gpu:0]): 40. 'GPUCoreTemp' is an integer attribute. 'GPUCoreTemp' is a read-only attribute. 'GPUCoreTemp' can use the following target types: X Screen, GPU. Attribute 'GPUCurrentClockFreqs' (mylaptop:0[gpu:0]): 1493,3504. 'GPUCurrentClockFreqs' is a packed integer attribute. 'GPUCurrentClockFreqs' is a read-only attribute. 'GPUCurrentClockFreqs' can use the following target types: X Screen, GPU. Attribute 'BusRate' (mylaptop:0[gpu:0]): 16. The valid values for 'BusRate' are in the range 1 - 16 (inclusive). 'BusRate' is a read-only attribute. 'BusRate' can use the following target types: X Screen, GPU. Attribute 'PCIDomain' (mylaptop:0[gpu:0]): 0. 'PCIDomain' is an integer attribute. 'PCIDomain' is a read-only attribute. 'PCIDomain' can use the following target types: GPU. Attribute 'PCIBus' (mylaptop:0[gpu:0]): 1. 'PCIBus' is an integer attribute. 'PCIBus' is a read-only attribute. 'PCIBus' can use the following target types: GPU. Attribute 'PCIDevice' (mylaptop:0[gpu:0]): 0. 'PCIDevice' is an integer attribute. 'PCIDevice' is a read-only attribute. 'PCIDevice' can use the following target types: GPU. Attribute 'PCIFunc' (mylaptop:0[gpu:0]): 0. 'PCIFunc' is an integer attribute. 'PCIFunc' is a read-only attribute. 'PCIFunc' can use the following target types: GPU. Attribute 'PCIID' (mylaptop:0[gpu:0]): 4318,7308. 'PCIID' is a packed integer attribute. 'PCIID' is a read-only attribute. 'PCIID' can use the following target types: GPU. Attribute 'PCIEGen' (mylaptop:0[gpu:0]): 3. 'PCIEGen' is an integer attribute. 'PCIEGen' is a read-only attribute. 'PCIEGen' can use the following target types: X Screen, GPU. Attribute 'GPUPowerSource' (mylaptop:0[gpu:0]): 0. 'GPUPowerSource' is an integer attribute. 'GPUPowerSource' is a read-only attribute. 'GPUPowerSource' can use the following target types: X Screen, GPU. Attribute 'GPUCurrentPerfLevel' (mylaptop:0[gpu:0]): 3. 'GPUCurrentPerfLevel' is an integer attribute. 'GPUCurrentPerfLevel' is a read-only attribute. 'GPUCurrentPerfLevel' can use the following target types: X Screen, GPU. Attribute 'GPUAdaptiveClockState' (mylaptop:0[gpu:0]): 1. 'GPUAdaptiveClockState' is a boolean attribute; valid values are: 1 (on/true) and 0 (off/false). 'GPUAdaptiveClockState' is a read-only attribute. 'GPUAdaptiveClockState' can use the following target types: X Screen, GPU. Attribute 'GPUPowerMizerMode' (mylaptop:0[gpu:0]): 2. Valid values for 'GPUPowerMizerMode' are: 0, 1 and 2. 'GPUPowerMizerMode' can use the following target types: GPU. Attribute 'GPUPowerMizerDefaultMode' (mylaptop:0[gpu:0]): 0. 'GPUPowerMizerDefaultMode' is an integer attribute. 'GPUPowerMizerDefaultMode' is a read-only attribute. 'GPUPowerMizerDefaultMode' can use the following target types: GPU. Attribute 'ECCSupported' (mylaptop:0[gpu:0]): 0. 'ECCSupported' is a boolean attribute; valid values are: 1 (on/true) and 0 (off/false). 'ECCSupported' is a read-only attribute. 'ECCSupported' can use the following target types: GPU. Attribute 'ECCConfigurationSupported' (mylaptop:0[gpu:0]): 0. 'ECCConfigurationSupported' is a boolean attribute; valid values are: 1 (on/true) and 0 (off/false). 'ECCConfigurationSupported' is a read-only attribute. 'ECCConfigurationSupported' can use the following target types: X Screen, GPU. Attribute 'BaseMosaic' (mylaptop:0[gpu:0]): 0. Valid values for 'BaseMosaic' are: 0. 'BaseMosaic' is a read-only attribute. 'BaseMosaic' can use the following target types: GPU. Attribute 'MultiGpuMasterPossible' (mylaptop:0[gpu:0]): 0. 'MultiGpuMasterPossible' is a boolean attribute; valid values are: 1 (on/true) and 0 (off/false). 'MultiGpuMasterPossible' is a read-only attribute. 'MultiGpuMasterPossible' can use the following target types: GPU. Attribute 'VideoEncoderUtilization' (mylaptop:0[gpu:0]): 0. 'VideoEncoderUtilization' is an integer attribute. 'VideoEncoderUtilization' is a read-only attribute. 'VideoEncoderUtilization' can use the following target types: GPU. Attribute 'VideoDecoderUtilization' (mylaptop:0[gpu:0]): 0. 'VideoDecoderUtilization' is an integer attribute. 'VideoDecoderUtilization' is a read-only attribute. 'VideoDecoderUtilization' can use the following target types: GPU. Attribute 'GPUCurrentClockFreqsString' (mylaptop:0[gpu:0]): nvclock=1493, nvclockmin=139, nvclockmax=1911, nvclockeditable=1, memclock=3504, memclockmin=3504, memclockmax=3504, memclockeditable=1, memTransferRate=7008, memTransferRatemin=7008, memTransferRatemax=7008, memTransferRateeditable=1 'GPUCurrentClockFreqsString' is a string attribute. 'GPUCurrentClockFreqsString' can use the following target types: X Screen, GPU. Attribute 'GPUPerfModes' (mylaptop:0[gpu:0]): perf=0, nvclock=139, nvclockmin=139, nvclockmax=607, nvclockeditable=1, memclock=405, memclockmin=405, memclockmax=405, memclockeditable=1, memTransferRate=810, memTransferRatemin=810, memTransferRatemax=810, memTransferRateeditable=1 ; perf=1, nvclock=139, nvclockmin=139, nvclockmax=1911, nvclockeditable=1, memclock=810, memclockmin=810, memclockmax=810, memclockeditable=1, memTransferRate=1620, memTransferRatemin=1620, memTransferRatemax=1620, memTransferRateeditable=1 ; perf=2, nvclock=139, nvclockmin=139, nvclockmax=1911, nvclockeditable=1, memclock=2505, memclockmin=2505, memclockmax=2505, memclockeditable=1, memTransferRate=5010, memTransferRatemin=5010, memTransferRatemax=5010, memTransferRateeditable=1 ; perf=3, nvclock=139, nvclockmin=139, nvclockmax=1911, nvclockeditable=1, memclock=3504, memclockmin=3504, memclockmax=3504, memclockeditable=1, memTransferRate=7008, memTransferRatemin=7008, memTransferRatemax=7008, memTransferRateeditable=1 'GPUPerfModes' is a string attribute. 'GPUPerfModes' is a read-only attribute. 'GPUPerfModes' can use the following target types: X Screen, GPU. Attribute 'GpuUUID' (mylaptop:0[gpu:0]): GPU-e0cafdc4-5715-d16d-60c1-415fca499790 'GpuUUID' is a string attribute. 'GpuUUID' is a read-only attribute. 'GpuUUID' can use the following target types: GPU. Attribute 'GPUUtilization' (mylaptop:0[gpu:0]): graphics=0, memory=0, video=0, PCIe=0 'GPUUtilization' is a string attribute. 'GPUUtilization' is a read-only attribute. 'GPUUtilization' can use the following target types: GPU. Attribute 'GPUSlowdownTempThreshold' (mylaptop:0[gpu:0]): 97. 'GPUSlowdownTempThreshold' is an integer attribute. 'GPUSlowdownTempThreshold' is a read-only attribute. 'GPUSlowdownTempThreshold' can use the following target types: X Screen, GPU. Attribute 'GPUShutdownTempThreshold' (mylaptop:0[gpu:0]): 102. 'GPUShutdownTempThreshold' is an integer attribute. 'GPUShutdownTempThreshold' is a read-only attribute. 'GPUShutdownTempThreshold' can use the following target types: X Screen, GPU. Attribute 'GPUMaxOperatingTempThreshold' (mylaptop:0[gpu:0]): 94. 'GPUMaxOperatingTempThreshold' is an integer attribute. 'GPUMaxOperatingTempThreshold' is a read-only attribute. 'GPUMaxOperatingTempThreshold' can use the following target types: X Screen, GPU. Attributes queryable via mylaptop:0[thermalsensor:0]: Attribute 'ThermalSensorReading' (mylaptop:0[thermalsensor:0]): 40. The valid values for 'ThermalSensorReading' are in the range 0 - 127 (inclusive). 'ThermalSensorReading' is a read-only attribute. 'ThermalSensorReading' can use the following target types: Thermal Sensor. Attribute 'ThermalSensorProvider' (mylaptop:0[thermalsensor:0]): 1. 'ThermalSensorProvider' is an integer attribute. 'ThermalSensorProvider' is a read-only attribute. 'ThermalSensorProvider' can use the following target types: Thermal Sensor. Attribute 'ThermalSensorTarget' (mylaptop:0[thermalsensor:0]): 1. 'ThermalSensorTarget' is an integer attribute. 'ThermalSensorTarget' is a read-only attribute. 'ThermalSensorTarget' can use the following target types: Thermal Sensor. ____________________________________________ xrandr --verbose: Screen 0: minimum 320 x 200, current 1920 x 1080, maximum 16384 x 16384 eDP-1 connected primary 1920x1080+0+0 (0x47) normal (normal left inverted right x axis y axis) 344mm x 193mm Identifier: 0x42 Timestamp: 166788 Subpixel: unknown Gamma: 0.74:1.0:1.3 Brightness: 0.83 Clones: CRTC: 0 CRTCs: 0 1 2 Transform: 1.000000 0.000000 0.000000 0.000000 1.000000 0.000000 0.000000 0.000000 1.000000 filter: EDID: 00ffffffffffff000daee81500000000 211a0104a5221378022675a656509927 0c505400000001010101010101010101 010101010101b43b804a713834405036 680058c110000018000000fe004e3135 364843452d454e310a20000000fe0043 4d4e0a202020202020202020000000fe 004e3135364843452d454e310a2000a2 scaling mode: Full aspect supported: Full, Center, Full aspect Colorspace: Default supported: Default, RGB_Wide_Gamut_Fixed_Point, RGB_Wide_Gamut_Floating_Point, opRGB, DCI-P3_RGB_D65, BT2020_RGB, BT601_YCC, BT709_YCC, XVYCC_601, XVYCC_709, SYCC_601, opYCC_601, BT2020_CYCC, BT2020_YCC max bpc: 12 range: (6, 12) Broadcast RGB: Automatic supported: Automatic, Full, Limited 16:235 panel orientation: Normal supported: Normal, Upside Down, Left Side Up, Right Side Up link-status: Good supported: Good, Bad CONNECTOR_ID: 95 supported: 95 non-desktop: 0 range: (0, 1) 1920x1080 (0x47) 152.840MHz -HSync -VSync *current +preferred h: width 1920 start 2000 end 2054 total 2250 skew 0 clock 67.93KHz v: height 1080 start 1086 end 1094 total 1132 clock 60.01Hz 1920x1080 (0x48) 356.375MHz -HSync +VSync DoubleScan h: width 1920 start 2080 end 2288 total 2656 skew 0 clock 134.18KHz v: height 1080 start 1081 end 1084 total 1118 clock 60.01Hz 1920x1080 (0x49) 266.500MHz +HSync -VSync DoubleScan h: width 1920 start 1944 end 1960 total 2000 skew 0 clock 133.25KHz v: height 1080 start 1081 end 1084 total 1111 clock 59.97Hz 1920x1080 (0x4a) 173.000MHz -HSync +VSync h: width 1920 start 2048 end 2248 total 2576 skew 0 clock 67.16KHz v: height 1080 start 1083 end 1088 total 1120 clock 59.96Hz 1920x1080 (0x4b) 138.500MHz +HSync -VSync h: width 1920 start 1968 end 2000 total 2080 skew 0 clock 66.59KHz v: height 1080 start 1083 end 1088 total 1111 clock 59.93Hz 1680x1050 (0x4c) 146.250MHz -HSync +VSync h: width 1680 start 1784 end 1960 total 2240 skew 0 clock 65.29KHz v: height 1050 start 1053 end 1059 total 1089 clock 59.95Hz 1680x1050 (0x4d) 119.000MHz +HSync -VSync h: width 1680 start 1728 end 1760 total 1840 skew 0 clock 64.67KHz v: height 1050 start 1053 end 1059 total 1080 clock 59.88Hz 1400x1050 (0x4e) 122.000MHz +HSync +VSync h: width 1400 start 1488 end 1640 total 1880 skew 0 clock 64.89KHz v: height 1050 start 1052 end 1064 total 1082 clock 59.98Hz 1600x900 (0x4f) 246.000MHz -HSync +VSync DoubleScan h: width 1600 start 1728 end 1900 total 2200 skew 0 clock 111.82KHz v: height 900 start 901 end 904 total 932 clock 59.99Hz 1600x900 (0x50) 186.500MHz +HSync -VSync DoubleScan h: width 1600 start 1624 end 1640 total 1680 skew 0 clock 111.01KHz v: height 900 start 901 end 904 total 926 clock 59.94Hz 1600x900 (0x51) 118.250MHz -HSync +VSync h: width 1600 start 1696 end 1856 total 2112 skew 0 clock 55.99KHz v: height 900 start 903 end 908 total 934 clock 59.95Hz 1600x900 (0x52) 97.500MHz +HSync -VSync h: width 1600 start 1648 end 1680 total 1760 skew 0 clock 55.40KHz v: height 900 start 903 end 908 total 926 clock 59.82Hz 1280x1024 (0x53) 108.000MHz +HSync +VSync h: width 1280 start 1328 end 1440 total 1688 skew 0 clock 63.98KHz v: height 1024 start 1025 end 1028 total 1066 clock 60.02Hz 1400x900 (0x54) 103.500MHz -HSync +VSync h: width 1400 start 1480 end 1624 total 1848 skew 0 clock 56.01KHz v: height 900 start 903 end 913 total 934 clock 59.96Hz 1400x900 (0x55) 86.500MHz +HSync -VSync h: width 1400 start 1448 end 1480 total 1560 skew 0 clock 55.45KHz v: height 900 start 903 end 913 total 926 clock 59.88Hz 1280x960 (0x56) 108.000MHz +HSync +VSync h: width 1280 start 1376 end 1488 total 1800 skew 0 clock 60.00KHz v: height 960 start 961 end 964 total 1000 clock 60.00Hz 1440x810 (0x57) 198.125MHz -HSync +VSync DoubleScan h: width 1440 start 1548 end 1704 total 1968 skew 0 clock 100.67KHz v: height 810 start 811 end 814 total 839 clock 60.00Hz 1440x810 (0x58) 151.875MHz +HSync -VSync DoubleScan h: width 1440 start 1464 end 1480 total 1520 skew 0 clock 99.92KHz v: height 810 start 811 end 814 total 833 clock 59.97Hz 1368x768 (0x59) 85.250MHz -HSync +VSync h: width 1368 start 1440 end 1576 total 1784 skew 0 clock 47.79KHz v: height 768 start 771 end 781 total 798 clock 59.88Hz 1368x768 (0x5a) 72.250MHz +HSync -VSync h: width 1368 start 1416 end 1448 total 1528 skew 0 clock 47.28KHz v: height 768 start 771 end 781 total 790 clock 59.85Hz 1280x800 (0x5b) 174.250MHz -HSync +VSync DoubleScan h: width 1280 start 1380 end 1516 total 1752 skew 0 clock 99.46KHz v: height 800 start 801 end 804 total 829 clock 59.99Hz 1280x800 (0x5c) 134.250MHz +HSync -VSync DoubleScan h: width 1280 start 1304 end 1320 total 1360 skew 0 clock 98.71KHz v: height 800 start 801 end 804 total 823 clock 59.97Hz 1280x800 (0x5d) 83.500MHz -HSync +VSync h: width 1280 start 1352 end 1480 total 1680 skew 0 clock 49.70KHz v: height 800 start 803 end 809 total 831 clock 59.81Hz 1280x800 (0x5e) 71.000MHz +HSync -VSync h: width 1280 start 1328 end 1360 total 1440 skew 0 clock 49.31KHz v: height 800 start 803 end 809 total 823 clock 59.91Hz 1280x720 (0x5f) 156.125MHz -HSync +VSync DoubleScan h: width 1280 start 1376 end 1512 total 1744 skew 0 clock 89.52KHz v: height 720 start 721 end 724 total 746 clock 60.00Hz 1280x720 (0x60) 120.750MHz +HSync -VSync DoubleScan h: width 1280 start 1304 end 1320 total 1360 skew 0 clock 88.79KHz v: height 720 start 721 end 724 total 740 clock 59.99Hz 1280x720 (0x61) 74.500MHz -HSync +VSync h: width 1280 start 1344 end 1472 total 1664 skew 0 clock 44.77KHz v: height 720 start 723 end 728 total 748 clock 59.86Hz 1280x720 (0x62) 63.750MHz +HSync -VSync h: width 1280 start 1328 end 1360 total 1440 skew 0 clock 44.27KHz v: height 720 start 723 end 728 total 741 clock 59.74Hz 1024x768 (0x63) 133.475MHz -HSync +VSync DoubleScan h: width 1024 start 1100 end 1212 total 1400 skew 0 clock 95.34KHz v: height 768 start 768 end 770 total 794 clock 60.04Hz 1024x768 (0x64) 65.000MHz -HSync -VSync h: width 1024 start 1048 end 1184 total 1344 skew 0 clock 48.36KHz v: height 768 start 771 end 777 total 806 clock 60.00Hz 960x720 (0x65) 117.000MHz -HSync +VSync DoubleScan h: width 960 start 1024 end 1128 total 1300 skew 0 clock 90.00KHz v: height 720 start 720 end 722 total 750 clock 60.00Hz 928x696 (0x66) 109.150MHz -HSync +VSync DoubleScan h: width 928 start 976 end 1088 total 1264 skew 0 clock 86.35KHz v: height 696 start 696 end 698 total 719 clock 60.05Hz 896x672 (0x67) 102.400MHz -HSync +VSync DoubleScan h: width 896 start 960 end 1060 total 1224 skew 0 clock 83.66KHz v: height 672 start 672 end 674 total 697 clock 60.01Hz 1024x576 (0x68) 98.500MHz -HSync +VSync DoubleScan h: width 1024 start 1092 end 1200 total 1376 skew 0 clock 71.58KHz v: height 576 start 577 end 580 total 597 clock 59.95Hz 1024x576 (0x69) 78.375MHz +HSync -VSync DoubleScan h: width 1024 start 1048 end 1064 total 1104 skew 0 clock 70.99KHz v: height 576 start 577 end 580 total 592 clock 59.96Hz 1024x576 (0x6a) 46.500MHz -HSync +VSync h: width 1024 start 1064 end 1160 total 1296 skew 0 clock 35.88KHz v: height 576 start 579 end 584 total 599 clock 59.90Hz 1024x576 (0x6b) 42.000MHz +HSync -VSync h: width 1024 start 1072 end 1104 total 1184 skew 0 clock 35.47KHz v: height 576 start 579 end 584 total 593 clock 59.82Hz 960x600 (0x6c) 96.625MHz -HSync +VSync DoubleScan h: width 960 start 1028 end 1128 total 1296 skew 0 clock 74.56KHz v: height 600 start 601 end 604 total 622 clock 59.93Hz 960x600 (0x6d) 77.000MHz +HSync -VSync DoubleScan h: width 960 start 984 end 1000 total 1040 skew 0 clock 74.04KHz v: height 600 start 601 end 604 total 617 clock 60.00Hz 960x540 (0x6e) 86.500MHz -HSync +VSync DoubleScan h: width 960 start 1024 end 1124 total 1288 skew 0 clock 67.16KHz v: height 540 start 541 end 544 total 560 clock 59.96Hz 960x540 (0x6f) 69.250MHz +HSync -VSync DoubleScan h: width 960 start 984 end 1000 total 1040 skew 0 clock 66.59KHz v: height 540 start 541 end 544 total 555 clock 59.99Hz 960x540 (0x70) 40.750MHz -HSync +VSync h: width 960 start 992 end 1088 total 1216 skew 0 clock 33.51KHz v: height 540 start 543 end 548 total 562 clock 59.63Hz 960x540 (0x71) 37.250MHz +HSync -VSync h: width 960 start 1008 end 1040 total 1120 skew 0 clock 33.26KHz v: height 540 start 543 end 548 total 556 clock 59.82Hz 800x600 (0x72) 81.000MHz +HSync +VSync DoubleScan h: width 800 start 832 end 928 total 1080 skew 0 clock 75.00KHz v: height 600 start 600 end 602 total 625 clock 60.00Hz 800x600 (0x73) 40.000MHz +HSync +VSync h: width 800 start 840 end 968 total 1056 skew 0 clock 37.88KHz v: height 600 start 601 end 605 total 628 clock 60.32Hz 800x600 (0x74) 36.000MHz +HSync +VSync h: width 800 start 824 end 896 total 1024 skew 0 clock 35.16KHz v: height 600 start 601 end 603 total 625 clock 56.25Hz 840x525 (0x75) 73.125MHz -HSync +VSync DoubleScan h: width 840 start 892 end 980 total 1120 skew 0 clock 65.29KHz v: height 525 start 526 end 529 total 544 clock 60.01Hz 840x525 (0x76) 59.500MHz +HSync -VSync DoubleScan h: width 840 start 864 end 880 total 920 skew 0 clock 64.67KHz v: height 525 start 526 end 529 total 540 clock 59.88Hz 864x486 (0x77) 32.500MHz -HSync +VSync h: width 864 start 888 end 968 total 1072 skew 0 clock 30.32KHz v: height 486 start 489 end 494 total 506 clock 59.92Hz 864x486 (0x78) 30.500MHz +HSync -VSync h: width 864 start 912 end 944 total 1024 skew 0 clock 29.79KHz v: height 486 start 489 end 494 total 500 clock 59.57Hz 700x525 (0x79) 61.000MHz +HSync +VSync DoubleScan h: width 700 start 744 end 820 total 940 skew 0 clock 64.89KHz v: height 525 start 526 end 532 total 541 clock 59.98Hz 800x450 (0x7a) 59.125MHz -HSync +VSync DoubleScan h: width 800 start 848 end 928 total 1056 skew 0 clock 55.99KHz v: height 450 start 451 end 454 total 467 clock 59.95Hz 800x450 (0x7b) 48.750MHz +HSync -VSync DoubleScan h: width 800 start 824 end 840 total 880 skew 0 clock 55.40KHz v: height 450 start 451 end 454 total 463 clock 59.82Hz 640x512 (0x7c) 54.000MHz +HSync +VSync DoubleScan h: width 640 start 664 end 720 total 844 skew 0 clock 63.98KHz v: height 512 start 512 end 514 total 533 clock 60.02Hz 700x450 (0x7d) 51.750MHz -HSync +VSync DoubleScan h: width 700 start 740 end 812 total 924 skew 0 clock 56.01KHz v: height 450 start 451 end 456 total 467 clock 59.96Hz 700x450 (0x7e) 43.250MHz +HSync -VSync DoubleScan h: width 700 start 724 end 740 total 780 skew 0 clock 55.45KHz v: height 450 start 451 end 456 total 463 clock 59.88Hz 640x480 (0x7f) 54.000MHz +HSync +VSync DoubleScan h: width 640 start 688 end 744 total 900 skew 0 clock 60.00KHz v: height 480 start 480 end 482 total 500 clock 60.00Hz 640x480 (0x80) 25.175MHz -HSync -VSync h: width 640 start 656 end 752 total 800 skew 0 clock 31.47KHz v: height 480 start 490 end 492 total 525 clock 59.94Hz 720x405 (0x81) 22.500MHz -HSync +VSync h: width 720 start 744 end 808 total 896 skew 0 clock 25.11KHz v: height 405 start 408 end 413 total 422 clock 59.51Hz 720x405 (0x82) 21.750MHz +HSync -VSync h: width 720 start 768 end 800 total 880 skew 0 clock 24.72KHz v: height 405 start 408 end 413 total 419 clock 58.99Hz 684x384 (0x83) 42.625MHz -HSync +VSync DoubleScan h: width 684 start 720 end 788 total 892 skew 0 clock 47.79KHz v: height 384 start 385 end 390 total 399 clock 59.88Hz 684x384 (0x84) 36.125MHz +HSync -VSync DoubleScan h: width 684 start 708 end 724 total 764 skew 0 clock 47.28KHz v: height 384 start 385 end 390 total 395 clock 59.85Hz 640x400 (0x85) 41.750MHz -HSync +VSync DoubleScan h: width 640 start 676 end 740 total 840 skew 0 clock 49.70KHz v: height 400 start 401 end 404 total 415 clock 59.88Hz 640x400 (0x86) 35.500MHz +HSync -VSync DoubleScan h: width 640 start 664 end 680 total 720 skew 0 clock 49.31KHz v: height 400 start 401 end 404 total 411 clock 59.98Hz 640x360 (0x87) 37.250MHz -HSync +VSync DoubleScan h: width 640 start 672 end 736 total 832 skew 0 clock 44.77KHz v: height 360 start 361 end 364 total 374 clock 59.86Hz 640x360 (0x88) 31.875MHz +HSync -VSync DoubleScan h: width 640 start 664 end 680 total 720 skew 0 clock 44.27KHz v: height 360 start 361 end 364 total 370 clock 59.83Hz 640x360 (0x89) 18.000MHz -HSync +VSync h: width 640 start 664 end 720 total 800 skew 0 clock 22.50KHz v: height 360 start 363 end 368 total 376 clock 59.84Hz 640x360 (0x8a) 17.750MHz +HSync -VSync h: width 640 start 688 end 720 total 800 skew 0 clock 22.19KHz v: height 360 start 363 end 368 total 374 clock 59.32Hz 512x384 (0x8b) 32.500MHz -HSync -VSync DoubleScan h: width 512 start 524 end 592 total 672 skew 0 clock 48.36KHz v: height 384 start 385 end 388 total 403 clock 60.00Hz 512x288 (0x8c) 23.250MHz -HSync +VSync DoubleScan h: width 512 start 532 end 580 total 648 skew 0 clock 35.88KHz v: height 288 start 289 end 292 total 299 clock 60.00Hz 512x288 (0x8d) 21.000MHz +HSync -VSync DoubleScan h: width 512 start 536 end 552 total 592 skew 0 clock 35.47KHz v: height 288 start 289 end 292 total 296 clock 59.92Hz 480x270 (0x8e) 20.375MHz -HSync +VSync DoubleScan h: width 480 start 496 end 544 total 608 skew 0 clock 33.51KHz v: height 270 start 271 end 274 total 281 clock 59.63Hz 480x270 (0x8f) 18.625MHz +HSync -VSync DoubleScan h: width 480 start 504 end 520 total 560 skew 0 clock 33.26KHz v: height 270 start 271 end 274 total 278 clock 59.82Hz 400x300 (0x90) 20.000MHz +HSync +VSync DoubleScan h: width 400 start 420 end 484 total 528 skew 0 clock 37.88KHz v: height 300 start 300 end 302 total 314 clock 60.32Hz 400x300 (0x91) 18.000MHz +HSync +VSync DoubleScan h: width 400 start 412 end 448 total 512 skew 0 clock 35.16KHz v: height 300 start 300 end 301 total 312 clock 56.34Hz 432x243 (0x92) 16.250MHz -HSync +VSync DoubleScan h: width 432 start 444 end 484 total 536 skew 0 clock 30.32KHz v: height 243 start 244 end 247 total 253 clock 59.92Hz 432x243 (0x93) 15.250MHz +HSync -VSync DoubleScan h: width 432 start 456 end 472 total 512 skew 0 clock 29.79KHz v: height 243 start 244 end 247 total 250 clock 59.57Hz 320x240 (0x94) 12.587MHz -HSync -VSync DoubleScan h: width 320 start 328 end 376 total 400 skew 0 clock 31.47KHz v: height 240 start 245 end 246 total 262 clock 60.05Hz 360x202 (0x95) 11.250MHz -HSync +VSync DoubleScan h: width 360 start 372 end 404 total 448 skew 0 clock 25.11KHz v: height 202 start 204 end 206 total 211 clock 59.51Hz 360x202 (0x96) 10.875MHz +HSync -VSync DoubleScan h: width 360 start 384 end 400 total 440 skew 0 clock 24.72KHz v: height 202 start 204 end 206 total 209 clock 59.13Hz 320x180 (0x97) 9.000MHz -HSync +VSync DoubleScan h: width 320 start 332 end 360 total 400 skew 0 clock 22.50KHz v: height 180 start 181 end 184 total 188 clock 59.84Hz 320x180 (0x98) 8.875MHz +HSync -VSync DoubleScan h: width 320 start 344 end 360 total 400 skew 0 clock 22.19KHz v: height 180 start 181 end 184 total 187 clock 59.32Hz DP-1 disconnected (normal left inverted right x axis y axis) Identifier: 0x43 Timestamp: 166788 Subpixel: unknown Clones: HDMI-1 CRTCs: 0 1 2 Transform: 1.000000 0.000000 0.000000 0.000000 1.000000 0.000000 0.000000 0.000000 1.000000 filter: HDCP Content Type: HDCP Type0 supported: HDCP Type0, HDCP Type1 Content Protection: Undesired supported: Undesired, Desired, Enabled Colorspace: Default supported: Default, RGB_Wide_Gamut_Fixed_Point, RGB_Wide_Gamut_Floating_Point, opRGB, DCI-P3_RGB_D65, BT2020_RGB, BT601_YCC, BT709_YCC, XVYCC_601, XVYCC_709, SYCC_601, opYCC_601, BT2020_CYCC, BT2020_YCC max bpc: 12 range: (6, 12) Broadcast RGB: Automatic supported: Automatic, Full, Limited 16:235 audio: auto supported: force-dvi, off, auto, on link-status: Good supported: Good, Bad CONNECTOR_ID: 103 supported: 103 non-desktop: 0 range: (0, 1) HDMI-1 disconnected (normal left inverted right x axis y axis) Identifier: 0x44 Timestamp: 166788 Subpixel: unknown Clones: DP-1 CRTCs: 0 1 2 Transform: 1.000000 0.000000 0.000000 0.000000 1.000000 0.000000 0.000000 0.000000 1.000000 filter: HDCP Content Type: HDCP Type0 supported: HDCP Type0, HDCP Type1 Content Protection: Undesired supported: Undesired, Desired, Enabled max bpc: 12 range: (8, 12) content type: No Data supported: No Data, Graphics, Photo, Cinema, Game Colorspace: Default supported: Default, SMPTE_170M_YCC, BT709_YCC, XVYCC_601, XVYCC_709, SYCC_601, opYCC_601, opRGB, BT2020_CYCC, BT2020_RGB, BT2020_YCC, DCI-P3_RGB_D65, DCI-P3_RGB_Theater aspect ratio: Automatic supported: Automatic, 4:3, 16:9 Broadcast RGB: Automatic supported: Automatic, Full, Limited 16:235 audio: auto supported: force-dvi, off, auto, on link-status: Good supported: Good, Bad CONNECTOR_ID: 112 supported: 112 non-desktop: 0 range: (0, 1) DP-2 disconnected (normal left inverted right x axis y axis) Identifier: 0x45 Timestamp: 166788 Subpixel: unknown Clones: CRTCs: 0 1 2 Transform: 1.000000 0.000000 0.000000 0.000000 1.000000 0.000000 0.000000 0.000000 1.000000 filter: HDCP Content Type: HDCP Type0 supported: HDCP Type0, HDCP Type1 Content Protection: Undesired supported: Undesired, Desired, Enabled Colorspace: Default supported: Default, RGB_Wide_Gamut_Fixed_Point, RGB_Wide_Gamut_Floating_Point, opRGB, DCI-P3_RGB_D65, BT2020_RGB, BT601_YCC, BT709_YCC, XVYCC_601, XVYCC_709, SYCC_601, opYCC_601, BT2020_CYCC, BT2020_YCC max bpc: 12 range: (6, 12) Broadcast RGB: Automatic supported: Automatic, Full, Limited 16:235 audio: auto supported: force-dvi, off, auto, on link-status: Good supported: Good, Bad CONNECTOR_ID: 118 supported: 118 non-desktop: 0 range: (0, 1) ____________________________________________ Running window manager properties: _NET_SUPPORTING_WM_CHECK(WINDOW): window id # 0xa00006 _MUTTER_VERSION(UTF8_STRING) = "3.38.1" _GNOME_WM_KEYBINDINGS(UTF8_STRING) = "Mutter,GNOME Shell" _NET_WM_NAME(UTF8_STRING) = "GNOME Shell" ____________________________________________ *** /proc/cmdline *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:07:33.958999994 +0200 /proc/cmdline BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.9.8-200.fc33.x86_64 root=/dev/mapper/fedora_localhost--live-root ro resume=/dev/mapper/fedora_localhost--live-swap rd.lvm.lv=fedora_localhost-live/root rd.lvm.lv=fedora_localhost-live/swap rhgb quiet rd.driver.blacklist=nouveau modprobe.blacklist=nouveau nvidia-drm.modeset=1 ____________________________________________ *** /proc/cpuinfo *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:07:34.031999993 +0200 /proc/cpuinfo processor : 0 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 900.257 cache size : 6144 KB physical id : 0 siblings : 8 core id : 0 cpu cores : 4 apicid : 0 initial apicid : 0 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: processor : 1 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 896.427 cache size : 6144 KB physical id : 0 siblings : 8 core id : 1 cpu cores : 4 apicid : 2 initial apicid : 2 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: processor : 2 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 900.049 cache size : 6144 KB physical id : 0 siblings : 8 core id : 2 cpu cores : 4 apicid : 4 initial apicid : 4 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: processor : 3 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 900.061 cache size : 6144 KB physical id : 0 siblings : 8 core id : 3 cpu cores : 4 apicid : 6 initial apicid : 6 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: processor : 4 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 900.260 cache size : 6144 KB physical id : 0 siblings : 8 core id : 0 cpu cores : 4 apicid : 1 initial apicid : 1 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: processor : 5 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 900.010 cache size : 6144 KB physical id : 0 siblings : 8 core id : 1 cpu cores : 4 apicid : 3 initial apicid : 3 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: processor : 6 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 900.065 cache size : 6144 KB physical id : 0 siblings : 8 core id : 2 cpu cores : 4 apicid : 5 initial apicid : 5 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: processor : 7 vendor_id : GenuineIntel cpu family : 6 model : 158 model name : Intel(R) Core(TM) i7-7700HQ CPU @ 2.80GHz stepping : 9 microcode : 0xd6 cpu MHz : 900.025 cache size : 6144 KB physical id : 0 siblings : 8 core id : 3 cpu cores : 4 apicid : 7 initial apicid : 7 fpu : yes fpu_exception : yes cpuid level : 22 wp : yes flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d vmx flags : vnmi preemption_timer invvpid ept_x_only ept_ad ept_1gb flexpriority tsc_offset vtpr mtf vapic ept vpid unrestricted_guest ple pml ept_mode_based_exec bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs itlb_multihit srbds bogomips : 5599.85 clflush size : 64 cache_alignment : 64 address sizes : 39 bits physical, 48 bits virtual power management: ____________________________________________ *** /proc/interrupts *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:07:49.210916289 +0200 /proc/interrupts CPU0 CPU1 CPU2 CPU3 CPU4 CPU5 CPU6 CPU7 0: 13 0 0 0 0 0 0 0 IR-IO-APIC 2-edge timer 1: 0 0 0 1086 0 0 0 0 IR-IO-APIC 1-edge i8042 8: 0 0 0 0 1 0 0 0 IR-IO-APIC 8-edge rtc0 9: 0 10 0 0 0 0 0 0 IR-IO-APIC 9-fasteoi acpi 16: 0 0 0 0 0 0 4 0 IR-IO-APIC 16-fasteoi i2c_designware.0, alcor_sdmmc, idma64.0, i801_smbus 17: 0 0 0 0 0 0 0 720 IR-IO-APIC 17-fasteoi i2c_designware.1, idma64.1 95: 0 0 0 0 0 1 0 0 IR-IO-APIC 95-fasteoi ELAN1300:00 120: 0 0 0 0 0 0 0 0 DMAR-MSI 0-edge dmar0 121: 0 0 0 0 0 0 0 0 DMAR-MSI 1-edge dmar1 123: 0 0 0 11 0 0 0 0 IR-PCI-MSI 458752-edge aerdrv 124: 0 0 0 0 0 0 0 0 IR-PCI-MSI 462848-edge aerdrv 125: 0 0 0 0 0 0 0 0 IR-PCI-MSI 466944-edge aerdrv 126: 0 67487 0 0 0 0 0 0 IR-PCI-MSI 376832-edge ahci[0000:00:17.0] 127: 0 0 18026 0 0 0 0 0 IR-PCI-MSI 327680-edge xhci_hcd 128: 0 0 0 0 0 0 22725 0 IR-PCI-MSI 32768-edge i915 129: 0 0 0 0 0 0 0 42 IR-PCI-MSI 360448-edge mei_me 130: 0 46 0 0 0 0 0 0 IR-PCI-MSI 1572864-edge iwlwifi 131: 0 0 1634 0 0 0 0 0 IR-PCI-MSI 514048-edge snd_hda_intel:card0 132: 0 0 0 607 0 0 0 0 IR-PCI-MSI 524288-edge nvidia NMI: 3 3 2 2 2 2 1 2 Non-maskable interrupts LOC: 89870 81275 78988 73937 77024 78410 92492 86652 Local timer interrupts SPU: 0 0 0 0 0 0 0 0 Spurious interrupts PMI: 3 3 2 2 2 2 1 2 Performance monitoring interrupts IWI: 2 0 2 5 2 4 9146 6 IRQ work interrupts RTR: 0 0 0 0 0 0 0 0 APIC ICR read retries RES: 1911 2168 2120 2211 2483 2039 2810 1966 Rescheduling interrupts CAL: 35472 13723 22872 16612 41541 26027 26303 28627 Function call interrupts TLB: 31680 72591 23650 29004 38876 33860 26893 25596 TLB shootdowns TRM: 0 0 0 0 0 0 0 0 Thermal event interrupts THR: 0 0 0 0 0 0 0 0 Threshold APIC interrupts DFR: 0 0 0 0 0 0 0 0 Deferred Error APIC interrupts MCE: 0 0 0 0 0 0 0 0 Machine check exceptions MCP: 1 2 2 2 2 2 2 2 Machine check polls ERR: 0 MIS: 0 PIN: 0 0 0 0 0 0 0 0 Posted-interrupt notification event NPI: 0 0 0 0 0 0 0 0 Nested posted-interrupt event PIW: 0 0 0 0 0 0 0 0 Posted-interrupt wakeup event ____________________________________________ *** /proc/meminfo *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:07:34.131999991 +0200 /proc/meminfo MemTotal: 16271088 kB MemFree: 9485920 kB MemAvailable: 13011600 kB Buffers: 141388 kB Cached: 4264140 kB SwapCached: 0 kB Active: 1413064 kB Inactive: 4484732 kB Active(anon): 5568 kB Inactive(anon): 2169356 kB Active(file): 1407496 kB Inactive(file): 2315376 kB Unevictable: 423856 kB Mlocked: 4580 kB SwapTotal: 12402680 kB SwapFree: 12402680 kB Dirty: 112 kB Writeback: 136 kB AnonPages: 1915936 kB Mapped: 990932 kB Shmem: 680024 kB KReclaimable: 129064 kB Slab: 253808 kB SReclaimable: 129064 kB SUnreclaim: 124744 kB KernelStack: 20304 kB PageTables: 39840 kB NFS_Unstable: 0 kB Bounce: 0 kB WritebackTmp: 0 kB CommitLimit: 20538224 kB Committed_AS: 11593700 kB VmallocTotal: 34359738367 kB VmallocUsed: 94968 kB VmallocChunk: 0 kB Percpu: 7776 kB HardwareCorrupted: 0 kB AnonHugePages: 0 kB ShmemHugePages: 0 kB ShmemPmdMapped: 0 kB FileHugePages: 0 kB FilePmdMapped: 0 kB CmaTotal: 0 kB CmaFree: 0 kB HugePages_Total: 0 HugePages_Free: 0 HugePages_Rsvd: 0 HugePages_Surp: 0 Hugepagesize: 2048 kB Hugetlb: 0 kB DirectMap4k: 519240 kB DirectMap2M: 6703104 kB DirectMap1G: 9437184 kB ____________________________________________ *** /proc/modules *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:07:46.611900506 +0200 /proc/modules snd_seq_dummy 16384 0 - Live 0xffffffffc30d8000 snd_hrtimer 16384 1 - Live 0xffffffffc30d0000 rfcomm 90112 4 - Live 0xffffffffc308e000 xt_CHECKSUM 16384 1 - Live 0xffffffffc2f9f000 xt_MASQUERADE 20480 3 - Live 0xffffffffc2f99000 xt_conntrack 16384 1 - Live 0xffffffffc2f94000 ipt_REJECT 16384 2 - Live 0xffffffffc2f8f000 nf_nat_tftp 16384 0 - Live 0xffffffffc2f77000 nf_conntrack_tftp 20480 3 nf_nat_tftp, Live 0xffffffffc2f71000 tun 57344 1 - Live 0xffffffffc2f80000 bridge 245760 0 - Live 0xffffffffc2f34000 stp 16384 1 bridge, Live 0xffffffffc2f2f000 llc 16384 2 bridge,stp, Live 0xffffffffc2f26000 nft_objref 16384 2 - Live 0xffffffffc2f21000 nf_conntrack_netbios_ns 16384 1 - Live 0xffffffffc2f1c000 nf_conntrack_broadcast 16384 1 nf_conntrack_netbios_ns, Live 0xffffffffc2f17000 nft_fib_inet 16384 1 - Live 0xffffffffc2f0f000 nft_fib_ipv4 16384 1 nft_fib_inet, Live 0xffffffffc2f07000 nft_fib_ipv6 16384 1 nft_fib_inet, Live 0xffffffffc2eff000 nft_fib 16384 3 nft_fib_inet,nft_fib_ipv4,nft_fib_ipv6, Live 0xffffffffc2efa000 nft_reject_inet 16384 5 - Live 0xffffffffc2ef5000 nf_reject_ipv4 16384 2 ipt_REJECT,nft_reject_inet, Live 0xffffffffc2ef0000 nf_reject_ipv6 20480 1 nft_reject_inet, Live 0xffffffffc2eea000 nft_reject 16384 1 nft_reject_inet, Live 0xffffffffc2ee5000 nft_ct 20480 26 - Live 0xffffffffc2edf000 nft_chain_nat 16384 4 - Live 0xffffffffc2eda000 nf_tables 237568 399 nft_objref,nft_fib_inet,nft_fib_ipv4,nft_fib_ipv6,nft_fib,nft_reject_inet,nft_reject,nft_ct,nft_chain_nat, Live 0xffffffffc2e9f000 ebtable_nat 16384 0 - Live 0xffffffffc2e9a000 ebtable_broute 16384 0 - Live 0xffffffffc2e95000 ip6table_nat 16384 1 - Live 0xffffffffc2e8d000 ip6table_mangle 16384 1 - Live 0xffffffffc2e82000 ip6table_raw 16384 0 - Live 0xffffffffc2e6d000 ip6table_security 16384 0 - Live 0xffffffffc2e68000 iptable_nat 16384 1 - Live 0xffffffffc2e88000 nf_nat 49152 5 xt_MASQUERADE,nf_nat_tftp,nft_chain_nat,ip6table_nat,iptable_nat, Live 0xffffffffc2e75000 nf_conntrack 163840 8 xt_MASQUERADE,xt_conntrack,nf_nat_tftp,nf_conntrack_tftp,nf_conntrack_netbios_ns,nf_conntrack_broadcast,nft_ct,nf_nat, Live 0xffffffffc2e3f000 nf_defrag_ipv6 24576 1 nf_conntrack, Live 0xffffffffc2e38000 nf_defrag_ipv4 16384 1 nf_conntrack, Live 0xffffffffc2e33000 iptable_mangle 16384 1 - Live 0xffffffffc2e2b000 iptable_raw 16384 0 - Live 0xffffffffc2e23000 iptable_security 16384 0 - Live 0xffffffffc2e1e000 ip_set 57344 0 - Live 0xffffffffc2e0f000 nfnetlink 16384 3 nf_tables,ip_set, Live 0xffffffffc2e06000 ebtable_filter 16384 0 - Live 0xffffffffc2dfe000 ebtables 40960 3 ebtable_nat,ebtable_broute,ebtable_filter, Live 0xffffffffc2def000 ip6table_filter 16384 1 - Live 0xffffffffc2de5000 ip6_tables 32768 5 ip6table_nat,ip6table_mangle,ip6table_raw,ip6table_security,ip6table_filter, Live 0xffffffffc2dd8000 iptable_filter 16384 1 - Live 0xffffffffc2dd3000 cmac 16384 9 - Live 0xffffffffc28d9000 bnep 28672 2 - Live 0xffffffffc28b2000 sunrpc 565248 1 - Live 0xffffffffc2cff000 vfat 20480 1 - Live 0xffffffffc09c5000 fat 81920 1 vfat, Live 0xffffffffc09b0000 nvidia_drm 61440 4 - Live 0xffffffffc097a000 (POE) nvidia_modeset 1220608 3 nvidia_drm, Live 0xffffffffc253c000 (POE) nvidia_uvm 1150976 0 - Live 0xffffffffc2be5000 (POE) snd_hda_codec_hdmi 73728 1 - Live 0xffffffffc29ee000 iwlmvm 458752 0 - Live 0xffffffffc2b74000 mac80211 1019904 1 iwlmvm, Live 0xffffffffc2a7a000 snd_hda_codec_realtek 143360 1 - Live 0xffffffffc29be000 snd_hda_codec_generic 98304 1 snd_hda_codec_realtek, Live 0xffffffffc28be000 ledtrig_audio 16384 1 snd_hda_codec_generic, Live 0xffffffffc099d000 uvcvideo 114688 0 - Live 0xffffffffc2889000 x86_pkg_temp_thermal 20480 0 - Live 0xffffffffc2883000 libarc4 16384 1 mac80211, Live 0xffffffffc2790000 intel_powerclamp 20480 0 - Live 0xffffffffc27df000 snd_hda_intel 57344 5 - Live 0xffffffffc09d6000 coretemp 20480 0 - Live 0xffffffffc0974000 snd_intel_dspcfg 24576 1 snd_hda_intel, Live 0xffffffffc09cf000 videobuf2_vmalloc 20480 1 uvcvideo, Live 0xffffffffc0997000 snd_hda_codec 163840 4 snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel, Live 0xffffffffc27fe000 iwlwifi 327680 1 iwlmvm, Live 0xffffffffc2a05000 videobuf2_memops 20480 1 videobuf2_vmalloc, Live 0xffffffffc080d000 videobuf2_v4l2 32768 1 uvcvideo, Live 0xffffffffc2787000 kvm_intel 319488 0 - Live 0xffffffffc282e000 btusb 61440 0 - Live 0xffffffffc0a02000 snd_hda_core 110592 5 snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel,snd_hda_codec, Live 0xffffffffc09e6000 btrtl 24576 1 btusb, Live 0xffffffffc09a9000 snd_hwdep 16384 1 snd_hda_codec, Live 0xffffffffc09a4000 btbcm 20480 1 btusb, Live 0xffffffffc084a000 iTCO_wdt 16384 0 - Live 0xffffffffc0822000 intel_pmc_bxt 16384 1 iTCO_wdt, Live 0xffffffffc0763000 btintel 32768 1 btusb, Live 0xffffffffc0841000 videobuf2_common 57344 2 uvcvideo,videobuf2_v4l2, Live 0xffffffffc0832000 kvm 831488 1 kvm_intel, Live 0xffffffffc28ea000 snd_seq 86016 7 snd_seq_dummy, Live 0xffffffffc07f7000 iTCO_vendor_support 16384 1 iTCO_wdt, Live 0xffffffffc07bf000 intel_rapl_msr 20480 0 - Live 0xffffffffc0422000 bluetooth 684032 33 rfcomm,bnep,btusb,btrtl,btbcm,btintel, Live 0xffffffffc26c5000 snd_seq_device 16384 1 snd_seq, Live 0xffffffffc0379000 mei_hdcp 24576 0 - Live 0xffffffffc031a000 snd_pcm 131072 4 snd_hda_codec_hdmi,snd_hda_intel,snd_hda_codec,snd_hda_core, Live 0xffffffffc079e000 irqbypass 16384 1 kvm, Live 0xffffffffc07f2000 rapl 20480 0 - Live 0xffffffffc02eb000 intel_cstate 20480 0 - Live 0xffffffffc07dd000 snd_timer 49152 3 snd_hrtimer,snd_seq,snd_pcm, Live 0xffffffffc27f1000 asus_nb_wmi 32768 0 - Live 0xffffffffc042d000 videodev 274432 3 uvcvideo,videobuf2_v4l2,videobuf2_common, Live 0xffffffffc279b000 nvidia 28286976 129 nvidia_modeset,nvidia_uvm, Live 0xffffffffc0a16000 (POE) intel_uncore 172032 0 - Live 0xffffffffc2511000 cfg80211 876544 3 iwlmvm,mac80211,iwlwifi, Live 0xffffffffc089d000 asus_wmi 40960 1 asus_nb_wmi, Live 0xffffffffc07e7000 snd 110592 22 snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel,snd_hda_codec,snd_hwdep,snd_seq,snd_seq_device,snd_pcm,snd_timer, Live 0xffffffffc0881000 mc 61440 4 uvcvideo,videobuf2_v4l2,videobuf2_common,videodev, Live 0xffffffffc078e000 pcspkr 16384 0 - Live 0xffffffffc0717000 i2c_i801 32768 0 - Live 0xffffffffc0780000 ecdh_generic 16384 2 bluetooth, Live 0xffffffffc081d000 soundcore 16384 1 snd, Live 0xffffffffc0365000 sparse_keymap 16384 1 asus_wmi, Live 0xffffffffc035e000 i2c_smbus 20480 1 i2c_i801, Live 0xffffffffc0817000 processor_thermal_device 24576 0 - Live 0xffffffffc087a000 ecc 36864 1 ecdh_generic, Live 0xffffffffc07d3000 rfkill 28672 8 bluetooth,cfg80211,asus_wmi, Live 0xffffffffc082a000 joydev 28672 0 - Live 0xffffffffc07cb000 mei_me 45056 1 - Live 0xffffffffc0774000 wmi_bmof 16384 0 - Live 0xffffffffc076f000 intel_wmi_thunderbolt 20480 0 - Live 0xffffffffc0769000 intel_rapl_common 32768 2 intel_rapl_msr,processor_thermal_device, Live 0xffffffffc02e2000 idma64 20480 0 - Live 0xffffffffc0711000 mei 122880 3 mei_hdcp,mei_me, Live 0xffffffffc085b000 intel_pch_thermal 16384 0 - Live 0xffffffffc0440000 intel_soc_dts_iosf 20480 1 processor_thermal_device, Live 0xffffffffc043a000 int3403_thermal 20480 0 - Live 0xffffffffc0855000 int340x_thermal_zone 16384 2 processor_thermal_device,int3403_thermal, Live 0xffffffffc07c6000 int3400_thermal 20480 0 - Live 0xffffffffc075d000 asus_wireless 20480 0 - Live 0xffffffffc0754000 acpi_pad 184320 0 - Live 0xffffffffc0722000 acpi_thermal_rel 16384 1 int3400_thermal, Live 0xffffffffc071d000 zram 28672 1 - Live 0xffffffffc0242000 ip_tables 28672 5 iptable_nat,iptable_mangle,iptable_raw,iptable_security,iptable_filter, Live 0xffffffffc01e8000 i915 2646016 29 - Live 0xffffffffc048a000 hid_multitouch 32768 0 - Live 0xffffffffc02a9000 r8152 90112 0 - Live 0xffffffffc0303000 mii 16384 1 r8152, Live 0xffffffffc029e000 alcor 28672 0 - Live 0xffffffffc0292000 mmc_core 184320 1 alcor, Live 0xffffffffc0330000 mxm_wmi 16384 0 - Live 0xffffffffc02ce000 i2c_algo_bit 16384 1 i915, Live 0xffffffffc02c9000 drm_kms_helper 266240 2 nvidia_drm,i915, Live 0xffffffffc0448000 crct10dif_pclmul 16384 1 - Live 0xffffffffc032b000 crc32_pclmul 16384 0 - Live 0xffffffffc02d8000 crc32c_intel 24576 6 - Live 0xffffffffc02c2000 cec 61440 2 i915,drm_kms_helper, Live 0xffffffffc02f3000 ghash_clmulni_intel 16384 0 - Live 0xffffffffc02bd000 drm 626688 19 nvidia_drm,i915,drm_kms_helper, Live 0xffffffffc0388000 serio_raw 20480 0 - Live 0xffffffffc0325000 alcor_pci 20480 1 alcor, Live 0xffffffffc0382000 i2c_hid 32768 0 - Live 0xffffffffc0370000 wmi 36864 4 asus_wmi,wmi_bmof,intel_wmi_thunderbolt,mxm_wmi, Live 0xffffffffc02b3000 video 53248 2 asus_wmi,i915, Live 0xffffffffc027d000 fuse 139264 5 - Live 0xffffffffc021f000 ____________________________________________ *** /proc/version *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:10:49.493408714 +0200 /proc/version Linux version 5.9.8-200.fc33.x86_64 (mockbuild@bkernel01.iad2.fedoraproject.org) (gcc (GCC) 10.2.1 20201016 (Red Hat 10.2.1-6), GNU ld version 2.35-14.fc33) #1 SMP Tue Nov 10 21:58:19 UTC 2020 ____________________________________________ *** /proc/pci does not exist ____________________________________________ *** /proc/iomem *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.709800877 +0200 /proc/iomem 00000000-00000fff : Reserved 00001000-00057fff : System RAM 00058000-00058fff : Reserved 00059000-0009dfff : System RAM 0009e000-000fffff : Reserved 000a0000-000bffff : PCI Bus 0000:00 000c0000-000c3fff : PCI Bus 0000:00 000c4000-000c7fff : PCI Bus 0000:00 000c8000-000cbfff : PCI Bus 0000:00 000cc000-000cffff : PCI Bus 0000:00 000d0000-000d3fff : PCI Bus 0000:00 000d4000-000d7fff : PCI Bus 0000:00 000d8000-000dbfff : PCI Bus 0000:00 000dc000-000dffff : PCI Bus 0000:00 000e0000-000e3fff : PCI Bus 0000:00 000e4000-000e7fff : PCI Bus 0000:00 000e8000-000ebfff : PCI Bus 0000:00 000ec000-000effff : PCI Bus 0000:00 000f0000-000fffff : PCI Bus 0000:00 000f0000-000fffff : System ROM 00100000-2eb38017 : System RAM 2eb38018-2eb48057 : System RAM 2eb48058-2eb49017 : System RAM 2eb49018-2eb72257 : System RAM 2eb72258-32793fff : System RAM 32794000-32794fff : ACPI Non-volatile Storage 32795000-32795fff : Reserved 32796000-38504fff : System RAM 38505000-38533fff : Reserved 38534000-38a2efff : System RAM 38a2f000-38a2ffff : Reserved 38a30000-39c93fff : System RAM 39c94000-3aa05fff : Reserved 3aa06000-3aa52fff : ACPI Tables 3aa53000-3aea9fff : ACPI Non-volatile Storage 3aeaa000-3b37efff : Reserved 3b37f000-3b3fefff : System RAM 3b3ff000-3fffffff : Reserved 3c000000-3fffffff : Graphics Stolen Memory 40000000-efffffff : PCI Bus 0000:00 40000000-4fffffff : 0000:00:02.0 50000000-99ffffff : PCI Bus 0000:04 a0000000-b1ffffff : PCI Bus 0000:01 a0000000-afffffff : 0000:01:00.0 b0000000-b1ffffff : 0000:01:00.0 bc000000-ea0fffff : PCI Bus 0000:04 eb000000-ebffffff : 0000:00:02.0 ec000000-ed0fffff : PCI Bus 0000:01 ec000000-ecffffff : 0000:01:00.0 ec000000-ecffffff : nvidia ed000000-ed07ffff : 0000:01:00.0 ed100000-ed1fffff : PCI Bus 0000:03 ed100000-ed101fff : 0000:03:00.0 ed100000-ed101fff : iwlwifi ed200000-ed2fffff : PCI Bus 0000:02 ed200000-ed2000ff : 0000:02:00.0 ed200000-ed2000ff : alcor_pci ed300000-ed30ffff : 0000:00:1f.3 ed300000-ed30ffff : ICH HD audio ed310000-ed31ffff : 0000:00:14.0 ed310000-ed31ffff : xhci-hcd ed320000-ed327fff : 0000:00:04.0 ed320000-ed327fff : proc_thermal ed328000-ed32bfff : 0000:00:1f.3 ed328000-ed32bfff : ICH HD audio ed32c000-ed32ffff : 0000:00:1f.2 ed330000-ed331fff : 0000:00:17.0 ed330000-ed331fff : ahci ed332000-ed3320ff : 0000:00:1f.4 ed333000-ed3337ff : 0000:00:17.0 ed333000-ed3337ff : ahci ed334000-ed3340ff : 0000:00:17.0 ed334000-ed3340ff : ahci ed335000-ed335fff : 0000:00:16.0 ed335000-ed335fff : mei_me ed336000-ed336fff : 0000:00:15.1 ed336000-ed3361ff : lpss_dev ed336000-ed3361ff : i2c_designware.1 lpss_dev ed336200-ed3362ff : lpss_priv ed336800-ed336fff : idma64.1 ed336800-ed336fff : idma64.1 idma64.1 ed337000-ed337fff : 0000:00:15.0 ed337000-ed3371ff : lpss_dev ed337000-ed3371ff : i2c_designware.0 lpss_dev ed337200-ed3372ff : lpss_priv ed337800-ed337fff : idma64.0 ed337800-ed337fff : idma64.0 idma64.0 ed338000-ed338fff : 0000:00:14.2 ed338000-ed338fff : Intel PCH thermal driver effe0000-efffffff : pnp 00:05 f0000000-f7ffffff : PCI MMCONFIG 0000 [bus 00-7f] f0000000-f7ffffff : Reserved f0000000-f7ffffff : pnp 00:05 fd000000-fe7fffff : PCI Bus 0000:00 fd000000-fdabffff : pnp 00:06 fdac0000-fdacffff : pnp 00:08 fdad0000-fdadffff : pnp 00:06 fdae0000-fdaeffff : pnp 00:08 fdaf0000-fdafffff : pnp 00:08 fdb00000-fdffffff : pnp 00:06 fdc6000c-fdc6000f : iTCO_wdt fdc6000c-fdc6000f : iTCO_wdt iTCO_wdt fe000000-fe010fff : Reserved fe036000-fe03bfff : pnp 00:06 fe03d000-fe3fffff : pnp 00:06 fe410000-fe7fffff : pnp 00:06 fec00000-fec00fff : Reserved fec00000-fec003ff : IOAPIC 0 fed00000-fed00fff : Reserved fed00000-fed003ff : HPET 0 fed00000-fed003ff : PNP0103:00 fed10000-fed17fff : pnp 00:05 fed18000-fed18fff : pnp 00:05 fed19000-fed19fff : pnp 00:05 fed20000-fed3ffff : pnp 00:05 fed40000-fed4087f : MSFT0101:00 fed40000-fed4087f : MSFT0101:00 fed45000-fed8ffff : pnp 00:05 fed90000-fed90fff : dmar0 fed91000-fed91fff : dmar1 fee00000-fee00fff : Local APIC fee00000-fee00fff : Reserved ff000000-ffffffff : Reserved ff000000-ffffffff : INT0800:00 ff000000-ffffffff : pnp 00:05 100000000-4beffffff : System RAM 3d3000000-3d3e00d16 : Kernel code 3d4000000-3d488cfff : Kernel rodata 3d4a00000-3d4c7583f : Kernel data 3d5386000-3d57fffff : Kernel bss 4bf000000-4bfffffff : RAM buffer ____________________________________________ *** /proc/mtrr *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:07:44.750612716 +0200 /proc/mtrr reg00: base=0x080000000 ( 2048MB), size= 2048MB, count=1: uncachable reg01: base=0x040000000 ( 1024MB), size= 1024MB, count=1: uncachable reg02: base=0x03c000000 ( 960MB), size= 64MB, count=1: uncachable reg03: base=0x03b800000 ( 952MB), size= 8MB, count=1: uncachable ____________________________________________ *** /proc/driver/nvidia/./version *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:37.171699659 +0200 /proc/driver/nvidia/./version NVRM version: NVIDIA UNIX x86_64 Kernel Module 455.45.01 Thu Nov 5 23:03:56 UTC 2020 GCC version: gcc version 10.2.1 20201016 (Red Hat 10.2.1-6) (GCC) ____________________________________________ *** /proc/driver/nvidia/./gpus/0000:01:00.0/information *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:07:43.535612638 +0200 /proc/driver/nvidia/./gpus/0000:01:00.0/information Model: GeForce GTX 1050 Ti IRQ: 132 GPU UUID: GPU-e0cafdc4-5715-d16d-60c1-415fca499790 Video BIOS: 86.07.3c.00.65 Bus Type: PCIe DMA Size: 47 bits DMA Mask: 0x7fffffffffff Bus Location: 0000:01:00.0 Device Minor: 0 Blacklisted: No ____________________________________________ *** /proc/driver/nvidia/./gpus/0000:01:00.0/registry *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.726800981 +0200 /proc/driver/nvidia/./gpus/0000:01:00.0/registry Binary: "" ____________________________________________ *** /proc/driver/nvidia/./warnings/README *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.730801006 +0200 /proc/driver/nvidia/./warnings/README The NVIDIA graphics driver tries to detect potential problems with the host system and warns about them using the system's logging mechanisms. Important warning message are also logged to dedicated text files in this directory. ____________________________________________ *** /proc/driver/nvidia/./params *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:07:46.612900512 +0200 /proc/driver/nvidia/./params ResmanDebugLevel: 4294967295 RmLogonRC: 1 ModifyDeviceFiles: 1 DeviceFileUID: 0 DeviceFileGID: 0 DeviceFileMode: 438 InitializeSystemMemoryAllocations: 1 UsePageAttributeTable: 4294967295 EnableMSI: 1 RegisterForACPIEvents: 1 EnablePCIeGen3: 0 MemoryPoolSize: 0 KMallocHeapMaxSize: 0 VMallocHeapMaxSize: 0 IgnoreMMIOCheck: 0 TCEBypassMode: 0 EnableStreamMemOPs: 0 EnableBacklightHandler: 1 EnableUserNUMAManagement: 1 NvLinkDisable: 0 RmProfilingAdminOnly: 1 PreserveVideoMemoryAllocations: 0 DynamicPowerManagement: 0 DynamicPowerManagementVideoMemoryThreshold: 200 RegisterPCIDriver: 1 EnablePCIERelaxedOrderingMode: 0 RegistryDwords: "" RegistryDwordsPerDevice: "" RmMsg: "" AssignGpus: "" GpuBlacklist: "" TemporaryFilePath: "" ____________________________________________ *** /proc/driver/nvidia/./registry *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.737801048 +0200 /proc/driver/nvidia/./registry Binary: "" ____________________________________________ *** /proc/asound/cards *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.741801073 +0200 /proc/asound/cards 0 [PCH ]: HDA-Intel - HDA Intel PCH HDA Intel PCH at 0xed328000 irq 131 ____________________________________________ *** /proc/asound/pcm *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.744801091 +0200 /proc/asound/pcm 00-00: ALC295 Analog : ALC295 Analog : playback 1 : capture 1 00-03: HDMI 0 : HDMI 0 : playback 1 00-07: HDMI 1 : HDMI 1 : playback 1 00-08: HDMI 2 : HDMI 2 : playback 1 00-09: HDMI 3 : HDMI 3 : playback 1 00-10: HDMI 4 : HDMI 4 : playback 1 ____________________________________________ *** /proc/asound/modules *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.746801103 +0200 /proc/asound/modules 0 snd_hda_intel ____________________________________________ *** /proc/asound/devices *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.749801122 +0200 /proc/asound/devices 1: : sequencer 2: [ 0- 0]: digital audio playback 3: [ 0- 0]: digital audio capture 4: [ 0- 3]: digital audio playback 5: [ 0- 7]: digital audio playback 6: [ 0- 8]: digital audio playback 7: [ 0- 9]: digital audio playback 8: [ 0-10]: digital audio playback 9: [ 0- 0]: hardware dependent 10: [ 0- 2]: hardware dependent 11: [ 0] : control 33: : timer ____________________________________________ *** /proc/asound/version *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.753801146 +0200 /proc/asound/version Advanced Linux Sound Architecture Driver Version k5.9.8-200.fc33.x86_64. ____________________________________________ *** /proc/asound/timers *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.755801159 +0200 /proc/asound/timers G0: system timer : 1000.000us (10000000 ticks) G3: HR timer : 0.001us (1000000000 ticks) Client sequencer queue 0 : stopped P0-0-0: PCM playback 0-0-0 : SLAVE P0-0-1: PCM capture 0-0-1 : SLAVE P0-3-0: PCM playback 0-3-0 : SLAVE P0-7-0: PCM playback 0-7-0 : SLAVE P0-8-0: PCM playback 0-8-0 : SLAVE P0-9-0: PCM playback 0-9-0 : SLAVE P0-10-0: PCM playback 0-10-0 : SLAVE ____________________________________________ *** /proc/asound/hwdep *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.758801177 +0200 /proc/asound/hwdep 00-00: HDA Codec 0 00-02: HDA Codec 2 ____________________________________________ *** /proc/asound/card0/codec#0 *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.761801195 +0200 /proc/asound/card0/codec#0 Codec: Realtek ALC295 Address: 0 AFG Function Id: 0x1 (unsol 1) Vendor Id: 0x10ec0295 Subsystem Id: 0x10431970 Revision Id: 0x100002 No Modem Function Group found Default PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Default Amp-In caps: N/A Default Amp-Out caps: N/A State of AFG node 0x01: Power states: D0 D1 D2 D3 D3cold CLKSTOP EPSS Power: setting=D0, actual=D0 GPIO: io=3, o=0, i=0, unsolicited=1, wake=0 IO[0]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0 IO[1]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0 IO[2]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0 Node 0x02 [Audio Output] wcaps 0x41d: Stereo Amp-Out Control: name="Bass Speaker Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0 Amp-Out vals: [0x57 0x57] Converter: stream=0, channel=0 PCM: rates [0x40]: 48000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x03 [Audio Output] wcaps 0x41d: Stereo Amp-Out Control: name="Headphone Playback Volume", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0 Amp-Out vals: [0x00 0x00] Converter: stream=0, channel=0 PCM: rates [0x40]: 48000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x04 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x05 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x06 [Audio Output] wcaps 0x411: Stereo Device: name="ALC295 Analog", type="Audio", device=0 Converter: stream=0, channel=0 PCM: rates [0x40]: 48000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x07 [Audio Input] wcaps 0x10051b: Stereo Amp-In Control: name="Capture Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Control: name="Capture Switch", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Device: name="ALC295 Analog", type="Audio", device=0 Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1 Amp-In vals: [0x3a 0x3a] Converter: stream=0, channel=0 SDI-Select: 0 PCM: rates [0x40]: 48000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x24 Node 0x08 [Audio Input] wcaps 0x10051b: Stereo Amp-In Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1 Amp-In vals: [0x97 0x97] Converter: stream=0, channel=0 SDI-Select: 0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x23 Node 0x09 [Audio Input] wcaps 0x10051b: Stereo Amp-In Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1 Amp-In vals: [0x97 0x97] Converter: stream=0, channel=0 SDI-Select: 0 PCM: rates [0x560]: 44100 48000 96000 192000 bits [0xe]: 16 20 24 formats [0x1]: PCM Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x22 Node 0x0a [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x0b [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x0c [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x0d [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x0e [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x0f [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x10 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x11 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x12 [Pin Complex] wcaps 0x40040b: Stereo Amp-In Control: name="Mic Boost Volume", index=0, device=0 ControlAmp: chs=3, dir=In, idx=0, ofs=0 Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Pincap 0x00000020: IN Pin Default 0x90a60140: [Fixed] Mic at Int N/A Conn = Digital, Color = Unknown DefAssociation = 0x4, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x20: IN Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x13 [Pin Complex] wcaps 0x40040b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Pincap 0x00000020: IN Pin Default 0x40000000: [N/A] Line Out at Ext N/A Conn = Unknown, Color = Unknown DefAssociation = 0x0, Sequence = 0x0 Pin-ctls: 0x00: Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x14 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Control: name="Bass Speaker Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x00010014: OUT EAPD Detect EAPD 0x2: EAPD Pin Default 0x90170120: [Fixed] Speaker at Int N/A Conn = Analog, Color = Unknown DefAssociation = 0x2, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x40: OUT Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x02 Node 0x15 [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x16 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x0000001c: OUT HP Detect Pin Default 0x411111f0: [N/A] Speaker at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0xf, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x00: Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 2 0x02* 0x03 Node 0x17 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Control: name="Speaker Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x0000001c: OUT HP Detect Pin Default 0x90170110: [Fixed] Speaker at Int N/A Conn = Analog, Color = Unknown DefAssociation = 0x1, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x40: OUT Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 3 0x02 0x03 0x06* Node 0x18 [Pin Complex] wcaps 0x40048b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Pincap 0x00000024: IN Detect Pin Default 0x411111f0: [N/A] Speaker at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0xf, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x00: Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x19 [Pin Complex] wcaps 0x40048b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Pincap 0x00003724: IN Detect Vref caps: HIZ 50 GRD 80 100 Pin Default 0x411111f0: [N/A] Speaker at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0xf, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x20: IN VREF_HIZ Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x1a [Pin Complex] wcaps 0x40048b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Pincap 0x00003724: IN Detect Vref caps: HIZ 50 GRD 80 100 Pin Default 0x411111f0: [N/A] Speaker at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0xf, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x00: VREF_HIZ Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x1b [Pin Complex] wcaps 0x40058f: Stereo Amp-In Amp-Out Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0 Amp-In vals: [0x00 0x00] Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x00013734: IN OUT EAPD Detect Vref caps: HIZ 50 GRD 80 100 EAPD 0x2: EAPD Pin Default 0x411111f0: [N/A] Speaker at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0xf, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x00: VREF_HIZ Unsolicited: tag=00, enabled=0 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 2 0x02* 0x03 Node 0x1c [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x1d [Pin Complex] wcaps 0x400400: Mono Pincap 0x00000020: IN Pin Default 0x4067ba45: [N/A] Modem Line at Ext N/A Conn = Analog, Color = UNKNOWN DefAssociation = 0x4, Sequence = 0x5 Pin-ctls: 0x20: IN Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Node 0x1e [Pin Complex] wcaps 0x400501: Stereo Pincap 0x00000010: OUT Pin Default 0x411111f0: [N/A] Speaker at Ext Rear Conn = 1/8, Color = Black DefAssociation = 0xf, Sequence = 0x0 Misc = NO_PRESENCE Pin-ctls: 0x40: OUT Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 1 0x06 Node 0x1f [Vendor Defined Widget] wcaps 0xf00000: Mono Node 0x20 [Vendor Defined Widget] wcaps 0xf00040: Mono Processing caps: benign=0, ncoeff=104 Node 0x21 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out Control: name="Headphone Playback Switch", index=0, device=0 ControlAmp: chs=3, dir=Out, idx=0, ofs=0 Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x80 0x80] Pincap 0x0001001c: OUT HP EAPD Detect EAPD 0x2: EAPD Pin Default 0x02211030: [Jack] HP Out at Ext Front Conn = 1/8, Color = Black DefAssociation = 0x3, Sequence = 0x0 Pin-ctls: 0xc0: OUT HP Unsolicited: tag=01, enabled=1 Power states: D0 D1 D2 D3 EPSS Power: setting=D0, actual=D0 Connection: 2 0x02 0x03* Node 0x22 [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] Connection: 5 0x19 0x1a 0x1b 0x1d 0x13 Node 0x23 [Audio Mixer] wcaps 0x20010b: Stereo Amp-In Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-In vals: [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] Connection: 5 0x19 0x1a 0x1b 0x1d 0x12 Node 0x24 [Audio Selector] wcaps 0x300101: Stereo Connection: 3 0x12* 0x13 0x18 ____________________________________________ *** /proc/asound/card0/codec#2 *** ls: -r--r--r--. 1 root root 0 2020-11-21 21:11:53.833801636 +0200 /proc/asound/card0/codec#2 Codec: Intel Kabylake HDMI Address: 2 AFG Function Id: 0x1 (unsol 0) Vendor Id: 0x8086280b Subsystem Id: 0x80860101 Revision Id: 0x100000 No Modem Function Group found Default PCM: rates [0x0]: bits [0x0]: formats [0x0]: Default Amp-In caps: N/A Default Amp-Out caps: N/A State of AFG node 0x01: Power states: D0 D3 CLKSTOP EPSS Power: setting=D0, actual=D0, Clock-stop-OK GPIO: io=0, o=0, i=0, unsolicited=0, wake=0 Node 0x02 [Audio Output] wcaps 0x6611: 8-Channels Digital Converter: stream=0, channel=0 Digital: Enabled KAE Digital category: 0x0 IEC Coding Type: 0x0 PCM: rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000 bits [0x1a]: 16 24 32 formats [0x5]: PCM AC3 Power states: D0 D3 EPSS Power: setting=D0, actual=D0 Node 0x03 [Audio Output] wcaps 0x6611: 8-Channels Digital Converter: stream=0, channel=0 Digital: Enabled KAE Digital category: 0x0 IEC Coding Type: 0x0 PCM: rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000 bits [0x1a]: 16 24 32 formats [0x5]: PCM AC3 Power states: D0 D3 EPSS Power: setting=D0, actual=D0 Node 0x04 [Audio Output] wcaps 0x6611: 8-Channels Digital Converter: stream=0, channel=0 Digital: Enabled KAE Digital category: 0x0 IEC Coding Type: 0x0 PCM: rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000 bits [0x1a]: 16 24 32 formats [0x5]: PCM AC3 Power states: D0 D3 EPSS Power: setting=D0, actual=D0 Node 0x05 [Pin Complex] wcaps 0x40778d: 8-Channels Digital Amp-Out CP Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x00 0x00] Pincap 0x0b000094: OUT Detect HBR HDMI DP Pin Default 0x18560010: [Jack] Digital Out at Int HDMI Conn = Digital, Color = Unknown DefAssociation = 0x1, Sequence = 0x0 Pin-ctls: 0x00: Unsolicited: tag=00, enabled=0 Power states: D0 D3 EPSS Power: setting=D0, actual=D0 Devices: 0 Connection: 0 Node 0x06 [Pin Complex] wcaps 0x40778d: 8-Channels Digital Amp-Out CP Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x00 0x00] Pincap 0x0b000094: OUT Detect HBR HDMI DP Pin Default 0x18560010: [Jack] Digital Out at Int HDMI Conn = Digital, Color = Unknown DefAssociation = 0x1, Sequence = 0x0 Pin-ctls: 0x00: Unsolicited: tag=00, enabled=0 Power states: D0 D3 EPSS Power: setting=D0, actual=D0 Devices: 0 Connection: 0 Node 0x07 [Pin Complex] wcaps 0x40778d: 8-Channels Digital Amp-Out CP Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1 Amp-Out vals: [0x00 0x00] Pincap 0x0b000094: OUT Detect HBR HDMI DP Pin Default 0x18560010: [Jack] Digital Out at Int HDMI Conn = Digital, Color = Unknown DefAssociation = 0x1, Sequence = 0x0 Pin-ctls: 0x00: Unsolicited: tag=00, enabled=0 Power states: D0 D3 EPSS Power: setting=D0, actual=D0 Devices: 0 Connection: 0 Node 0x08 [Vendor Defined Widget] wcaps 0xf00000: Mono ____________________________________________ *** /proc/asound/card0/eld#2.0 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.846801716 +0200 /proc/asound/card0/eld#2.0 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.1 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.849801734 +0200 /proc/asound/card0/eld#2.1 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.2 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.851801746 +0200 /proc/asound/card0/eld#2.2 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.3 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.854801764 +0200 /proc/asound/card0/eld#2.3 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.4 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.857801783 +0200 /proc/asound/card0/eld#2.4 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.5 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.860801801 +0200 /proc/asound/card0/eld#2.5 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.6 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.863801820 +0200 /proc/asound/card0/eld#2.6 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.7 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.866801838 +0200 /proc/asound/card0/eld#2.7 monitor_present 0 eld_valid 0 ____________________________________________ *** /proc/asound/card0/eld#2.8 *** ls: -rw-r--r--. 1 root root 0 2020-11-21 21:11:53.868801850 +0200 /proc/asound/card0/eld#2.8 monitor_present 0 eld_valid 0 ____________________________________________ *** ls: lrwxrwxrwx. 1 root root 0 2020-11-21 21:07:34.781612078 +0200 /sys/class/drm/card0/device/driver -> ../../../bus/pci/drivers/i915 *** ls: lrwxrwxrwx. 1 root root 0 2020-11-21 21:07:44.530612702 +0200 /sys/class/drm/card1/device/driver -> ../../../../bus/pci/drivers/nvidia *** ls: lrwxrwxrwx. 1 root root 0 2020-11-21 21:07:34.781612078 +0200 /sys/class/drm/renderD128/device/driver -> ../../../bus/pci/drivers/i915 *** ls: lrwxrwxrwx. 1 root root 0 2020-11-21 21:07:44.530612702 +0200 /sys/class/drm/renderD129/device/driver -> ../../../../bus/pci/drivers/nvidia ____________________________________________ /usr/bin/vulkaninfo ========== VULKANINFO ========== Vulkan Instance Version: 1.2.148 Instance Extensions: count = 18 =============================== VK_EXT_acquire_xlib_display : extension revision 1 VK_EXT_debug_report : extension revision 8 VK_EXT_debug_utils : extension revision 2 VK_EXT_direct_mode_display : extension revision 1 VK_EXT_display_surface_counter : extension revision 1 VK_KHR_device_group_creation : extension revision 1 VK_KHR_display : extension revision 23 VK_KHR_external_fence_capabilities : extension revision 1 VK_KHR_external_memory_capabilities : extension revision 1 VK_KHR_external_semaphore_capabilities : extension revision 1 VK_KHR_get_display_properties2 : extension revision 1 VK_KHR_get_physical_device_properties2 : extension revision 1 VK_KHR_get_surface_capabilities2 : extension revision 1 VK_KHR_surface : extension revision 25 VK_KHR_surface_protected_capabilities : extension revision 1 VK_KHR_wayland_surface : extension revision 6 VK_KHR_xcb_surface : extension revision 6 VK_KHR_xlib_surface : extension revision 6 Layers: count = 2 ================= VK_LAYER_MESA_device_select (Linux device selection layer) Vulkan version 1.1.73, layer version 1: Layer Extensions: count = 0 Devices: count = 2 GPU id = 0 (GeForce GTX 1050 Ti) Layer-Device Extensions: count = 0 GPU id = 1 (Intel(R) HD Graphics 630 (KBL GT2)) Layer-Device Extensions: count = 0 VK_LAYER_NV_optimus (NVIDIA Optimus layer) Vulkan version 1.2.142, layer version 1: Layer Extensions: count = 0 Devices: count = 2 GPU id = 0 (GeForce GTX 1050 Ti) Layer-Device Extensions: count = 0 GPU id = 1 (Intel(R) HD Graphics 630 (KBL GT2)) Layer-Device Extensions: count = 0 Presentable Surfaces: ===================== GPU id : 0 (GeForce GTX 1050 Ti): Surface types: count = 2 VK_KHR_xcb_surface VK_KHR_xlib_surface Formats: count = 2 SurfaceFormat[0]: format = FORMAT_B8G8R8A8_UNORM colorSpace = COLOR_SPACE_SRGB_NONLINEAR_KHR SurfaceFormat[1]: format = FORMAT_B8G8R8A8_SRGB colorSpace = COLOR_SPACE_SRGB_NONLINEAR_KHR Present Modes: count = 3 PRESENT_MODE_FIFO_KHR PRESENT_MODE_FIFO_RELAXED_KHR PRESENT_MODE_IMMEDIATE_KHR VkSurfaceCapabilitiesKHR: ------------------------- minImageCount = 2 maxImageCount = 8 currentExtent: width = 256 height = 256 minImageExtent: width = 256 height = 256 maxImageExtent: width = 256 height = 256 maxImageArrayLayers = 1 supportedTransforms: count = 1 SURFACE_TRANSFORM_IDENTITY_BIT_KHR currentTransform = SURFACE_TRANSFORM_IDENTITY_BIT_KHR supportedCompositeAlpha: count = 1 COMPOSITE_ALPHA_OPAQUE_BIT_KHR supportedUsageFlags: count = 6 IMAGE_USAGE_TRANSFER_SRC_BIT IMAGE_USAGE_TRANSFER_DST_BIT IMAGE_USAGE_SAMPLED_BIT IMAGE_USAGE_STORAGE_BIT IMAGE_USAGE_COLOR_ATTACHMENT_BIT IMAGE_USAGE_INPUT_ATTACHMENT_BIT VkSurfaceCapabilities2EXT: -------------------------- supportedSurfaceCounters: count = 0 None VkSurfaceProtectedCapabilitiesKHR: ---------------------------------- supportsProtected = false GPU id : 1 (Intel(R) HD Graphics 630 (KBL GT2)): Surface types: count = 2 VK_KHR_xcb_surface VK_KHR_xlib_surface Formats: count = 2 SurfaceFormat[0]: format = FORMAT_B8G8R8A8_SRGB colorSpace = COLOR_SPACE_SRGB_NONLINEAR_KHR SurfaceFormat[1]: format = FORMAT_B8G8R8A8_UNORM colorSpace = COLOR_SPACE_SRGB_NONLINEAR_KHR Present Modes: count = 3 PRESENT_MODE_IMMEDIATE_KHR PRESENT_MODE_MAILBOX_KHR PRESENT_MODE_FIFO_KHR VkSurfaceCapabilitiesKHR: ------------------------- minImageCount = 3 maxImageCount = 0 currentExtent: width = 256 height = 256 minImageExtent: width = 256 height = 256 maxImageExtent: width = 256 height = 256 maxImageArrayLayers = 1 supportedTransforms: count = 1 SURFACE_TRANSFORM_IDENTITY_BIT_KHR currentTransform = SURFACE_TRANSFORM_IDENTITY_BIT_KHR supportedCompositeAlpha: count = 2 COMPOSITE_ALPHA_OPAQUE_BIT_KHR COMPOSITE_ALPHA_INHERIT_BIT_KHR supportedUsageFlags: count = 5 IMAGE_USAGE_TRANSFER_SRC_BIT IMAGE_USAGE_TRANSFER_DST_BIT IMAGE_USAGE_SAMPLED_BIT IMAGE_USAGE_STORAGE_BIT IMAGE_USAGE_COLOR_ATTACHMENT_BIT VkSurfaceCapabilities2EXT: -------------------------- supportedSurfaceCounters: count = 0 None VkSurfaceProtectedCapabilitiesKHR: ---------------------------------- supportsProtected = false Device Groups: ============== Group 0: Properties: physicalDevices: count = 1 GeForce GTX 1050 Ti (ID: 0) subsetAllocation = 0 Present Capabilities: GeForce GTX 1050 Ti (ID: 0): Can present images from the following devices: count = 1 GeForce GTX 1050 Ti (ID: 0) Present modes: count = 1 DEVICE_GROUP_PRESENT_MODE_LOCAL_BIT_KHR Group 1: Properties: physicalDevices: count = 1 Intel(R) HD Graphics 630 (KBL GT2) (ID: 0) subsetAllocation = 0 Present Capabilities: Intel(R) HD Graphics 630 (KBL GT2) (ID: 0): Can present images from the following devices: count = 1 Intel(R) HD Graphics 630 (KBL GT2) (ID: 0) Present modes: count = 1 DEVICE_GROUP_PRESENT_MODE_LOCAL_BIT_KHR Device Properties and Extensions: ================================= GPU0: VkPhysicalDeviceProperties: --------------------------- apiVersion = 4202638 (1.2.142) driverVersion = 1909145664 (0x71cb4040) vendorID = 0x10de deviceID = 0x1c8c deviceType = PHYSICAL_DEVICE_TYPE_DISCRETE_GPU deviceName = GeForce GTX 1050 Ti VkPhysicalDeviceLimits: ----------------------- maxImageDimension1D = 32768 maxImageDimension2D = 32768 maxImageDimension3D = 16384 maxImageDimensionCube = 32768 maxImageArrayLayers = 2048 maxTexelBufferElements = 134217728 maxUniformBufferRange = 65536 maxStorageBufferRange = 4294967295 maxPushConstantsSize = 256 maxMemoryAllocationCount = 4294967295 maxSamplerAllocationCount = 4000 bufferImageGranularity = 0x00000400 sparseAddressSpaceSize = 0xffffffffff maxBoundDescriptorSets = 32 maxPerStageDescriptorSamplers = 1048576 maxPerStageDescriptorUniformBuffers = 15 maxPerStageDescriptorStorageBuffers = 1048576 maxPerStageDescriptorSampledImages = 1048576 maxPerStageDescriptorStorageImages = 1048576 maxPerStageDescriptorInputAttachments = 1048576 maxPerStageResources = 4294967295 maxDescriptorSetSamplers = 1048576 maxDescriptorSetUniformBuffers = 90 maxDescriptorSetUniformBuffersDynamic = 15 maxDescriptorSetStorageBuffers = 1048576 maxDescriptorSetStorageBuffersDynamic = 16 maxDescriptorSetSampledImages = 1048576 maxDescriptorSetStorageImages = 1048576 maxDescriptorSetInputAttachments = 1048576 maxVertexInputAttributes = 32 maxVertexInputBindings = 32 maxVertexInputAttributeOffset = 2047 maxVertexInputBindingStride = 2048 maxVertexOutputComponents = 128 maxTessellationGenerationLevel = 64 maxTessellationPatchSize = 32 maxTessellationControlPerVertexInputComponents = 128 maxTessellationControlPerVertexOutputComponents = 128 maxTessellationControlPerPatchOutputComponents = 120 maxTessellationControlTotalOutputComponents = 4216 maxTessellationEvaluationInputComponents = 128 maxTessellationEvaluationOutputComponents = 128 maxGeometryShaderInvocations = 32 maxGeometryInputComponents = 128 maxGeometryOutputComponents = 128 maxGeometryOutputVertices = 1024 maxGeometryTotalOutputComponents = 1024 maxFragmentInputComponents = 128 maxFragmentOutputAttachments = 8 maxFragmentDualSrcAttachments = 1 maxFragmentCombinedOutputResources = 16 maxComputeSharedMemorySize = 49152 maxComputeWorkGroupCount: count = 3 2147483647 65535 65535 maxComputeWorkGroupInvocations = 1536 maxComputeWorkGroupSize: count = 3 1536 1024 64 subPixelPrecisionBits = 8 subTexelPrecisionBits = 8 mipmapPrecisionBits = 8 maxDrawIndexedIndexValue = 4294967295 maxDrawIndirectCount = 4294967295 maxSamplerLodBias = 15 maxSamplerAnisotropy = 16 maxViewports = 16 maxViewportDimensions: count = 2 32768 32768 viewportBoundsRange: count = 2 -65536 65536 viewportSubPixelBits = 8 minMemoryMapAlignment = 64 minTexelBufferOffsetAlignment = 0x00000010 minUniformBufferOffsetAlignment = 0x00000100 minStorageBufferOffsetAlignment = 0x00000010 minTexelOffset = -8 maxTexelOffset = 7 minTexelGatherOffset = -32 maxTexelGatherOffset = 31 minInterpolationOffset = -0.5 maxInterpolationOffset = 0.4375 subPixelInterpolationOffsetBits = 4 maxFramebufferWidth = 32768 maxFramebufferHeight = 32768 maxFramebufferLayers = 2048 framebufferColorSampleCounts: count = 4 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT framebufferDepthSampleCounts: count = 4 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT framebufferStencilSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT framebufferNoAttachmentsSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT maxColorAttachments = 8 sampledImageColorSampleCounts: count = 4 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT sampledImageIntegerSampleCounts: count = 4 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT sampledImageDepthSampleCounts: count = 4 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT sampledImageStencilSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT storageImageSampleCounts: count = 4 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT maxSampleMaskWords = 1 timestampComputeAndGraphics = true timestampPeriod = 1 maxClipDistances = 8 maxCullDistances = 8 maxCombinedClipAndCullDistances = 8 discreteQueuePriorities = 2 pointSizeRange: count = 2 1 2047.94 lineWidthRange: count = 2 1 64 pointSizeGranularity = 0.0625 lineWidthGranularity = 0.0625 strictLines = true standardSampleLocations = true optimalBufferCopyOffsetAlignment = 0x00000001 optimalBufferCopyRowPitchAlignment = 0x00000001 nonCoherentAtomSize = 0x00000040 VkPhysicalDeviceSparseProperties: --------------------------------- residencyStandard2DBlockShape = true residencyStandard2DMultisampleBlockShape = true residencyStandard3DBlockShape = true residencyAlignedMipSize = false residencyNonResidentStrict = true VkPhysicalDeviceBlendOperationAdvancedPropertiesEXT: ---------------------------------------------------- advancedBlendMaxColorAttachments = 8 advancedBlendIndependentBlend = false advancedBlendNonPremultipliedSrcColor = true advancedBlendNonPremultipliedDstColor = true advancedBlendCorrelatedOverlap = true advancedBlendAllOperations = true VkPhysicalDeviceConservativeRasterizationPropertiesEXT: ------------------------------------------------------- primitiveOverestimationSize = 0 maxExtraPrimitiveOverestimationSize = 0.75 extraPrimitiveOverestimationSizeGranularity = 0.25 primitiveUnderestimation = false conservativePointAndLineRasterization = true degenerateTrianglesRasterized = true degenerateLinesRasterized = false fullyCoveredFragmentShaderInputVariable = false conservativeRasterizationPostDepthCoverage = true VkPhysicalDeviceCustomBorderColorPropertiesEXT: ----------------------------------------------- maxCustomBorderColorSamplers = 4000 VkPhysicalDeviceDepthStencilResolveProperties: ---------------------------------------------- supportedDepthResolveModes: count = 4 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_AVERAGE_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT supportedStencilResolveModes: count = 3 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT independentResolveNone = true independentResolve = true VkPhysicalDeviceDescriptorIndexingProperties: --------------------------------------------- maxUpdateAfterBindDescriptorsInAllPools = 4294967295 shaderUniformBufferArrayNonUniformIndexingNative = true shaderSampledImageArrayNonUniformIndexingNative = true shaderStorageBufferArrayNonUniformIndexingNative = true shaderStorageImageArrayNonUniformIndexingNative = true shaderInputAttachmentArrayNonUniformIndexingNative = true robustBufferAccessUpdateAfterBind = true quadDivergentImplicitLod = true maxPerStageDescriptorUpdateAfterBindSamplers = 1048576 maxPerStageDescriptorUpdateAfterBindUniformBuffers = 15 maxPerStageDescriptorUpdateAfterBindStorageBuffers = 1048576 maxPerStageDescriptorUpdateAfterBindSampledImages = 1048576 maxPerStageDescriptorUpdateAfterBindStorageImages = 1048576 maxPerStageDescriptorUpdateAfterBindInputAttachments = 1048576 maxPerStageUpdateAfterBindResources = 4294967295 maxDescriptorSetUpdateAfterBindSamplers = 1048576 maxDescriptorSetUpdateAfterBindUniformBuffers = 90 maxDescriptorSetUpdateAfterBindUniformBuffersDynamic = 15 maxDescriptorSetUpdateAfterBindStorageBuffers = 1048576 maxDescriptorSetUpdateAfterBindStorageBuffersDynamic = 16 maxDescriptorSetUpdateAfterBindSampledImages = 1048576 maxDescriptorSetUpdateAfterBindStorageImages = 1048576 maxDescriptorSetUpdateAfterBindInputAttachments = 1048576 VkPhysicalDeviceDiscardRectanglePropertiesEXT: ---------------------------------------------- maxDiscardRectangles = 8 VkPhysicalDeviceDriverProperties: --------------------------------- driverID = DRIVER_ID_NVIDIA_PROPRIETARY driverName = NVIDIA driverInfo = 455.45.01 conformanceVersion = 1.2.2.1 VkPhysicalDeviceExternalMemoryHostPropertiesEXT: ------------------------------------------------ minImportedHostPointerAlignment = 0x00001000 VkPhysicalDeviceFloatControlsProperties: ---------------------------------------- denormBehaviorIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_ALL roundingModeIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_ALL shaderSignedZeroInfNanPreserveFloat16 = true shaderSignedZeroInfNanPreserveFloat32 = true shaderSignedZeroInfNanPreserveFloat64 = true shaderDenormPreserveFloat16 = true shaderDenormPreserveFloat32 = false shaderDenormPreserveFloat64 = false shaderDenormFlushToZeroFloat16 = false shaderDenormFlushToZeroFloat32 = false shaderDenormFlushToZeroFloat64 = false shaderRoundingModeRTEFloat16 = true shaderRoundingModeRTEFloat32 = true shaderRoundingModeRTEFloat64 = true shaderRoundingModeRTZFloat16 = false shaderRoundingModeRTZFloat32 = true shaderRoundingModeRTZFloat64 = true VkPhysicalDeviceIDProperties: ----------------------------- deviceUUID = e0cafdc4-5715-d16d-60c1-415fca499790 driverUUID = d3d36742-97f2-a288-70a7-d3cdac63e278 deviceNodeMask = 1 deviceLUIDValid = false VkPhysicalDeviceInlineUniformBlockPropertiesEXT: ------------------------------------------------ maxInlineUniformBlockSize = 256 maxPerStageDescriptorInlineUniformBlocks = 32 maxPerStageDescriptorUpdateAfterBindInlineUniformBlocks = 32 maxDescriptorSetInlineUniformBlocks = 32 maxDescriptorSetUpdateAfterBindInlineUniformBlocks = 32 VkPhysicalDeviceLineRasterizationPropertiesEXT: ----------------------------------------------- lineSubPixelPrecisionBits = 8 VkPhysicalDeviceMaintenance3Properties: --------------------------------------- maxPerSetDescriptors = 4294967295 maxMemoryAllocationSize = 0xffe00000 VkPhysicalDeviceMultiviewProperties: ------------------------------------ maxMultiviewViewCount = 32 maxMultiviewInstanceIndex = 134217727 VkPhysicalDevicePCIBusInfoPropertiesEXT: ---------------------------------------- pciDomain = 0 pciBus = 1 pciDevice = 0 pciFunction = 0 VkPhysicalDevicePointClippingProperties: ---------------------------------------- pointClippingBehavior = POINT_CLIPPING_BEHAVIOR_USER_CLIP_PLANES_ONLY VkPhysicalDeviceProtectedMemoryProperties: ------------------------------------------ protectedNoFault = false VkPhysicalDevicePushDescriptorPropertiesKHR: -------------------------------------------- maxPushDescriptors = 32 VkPhysicalDeviceRobustness2PropertiesEXT: ----------------------------------------- robustStorageBufferAccessSizeAlignment = 0x00000001 robustUniformBufferAccessSizeAlignment = 0x00000100 VkPhysicalDeviceSampleLocationsPropertiesEXT: --------------------------------------------- sampleLocationSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT maxSampleLocationGridSize: width = 1 height = 1 sampleLocationCoordinateRange: count = 2 0 0.9375 sampleLocationSubPixelBits = 4 variableSampleLocations = true VkPhysicalDeviceSamplerFilterMinmaxProperties: ---------------------------------------------- filterMinmaxSingleComponentFormats = true filterMinmaxImageComponentMapping = true VkPhysicalDeviceSubgroupProperties: ----------------------------------- subgroupSize = 32 supportedStages: count = 8 SHADER_STAGE_VERTEX_BIT SHADER_STAGE_TESSELLATION_CONTROL_BIT SHADER_STAGE_TESSELLATION_EVALUATION_BIT SHADER_STAGE_GEOMETRY_BIT SHADER_STAGE_FRAGMENT_BIT SHADER_STAGE_COMPUTE_BIT SHADER_STAGE_ALL_GRAPHICS SHADER_STAGE_ALL supportedOperations: count = 9 SUBGROUP_FEATURE_BASIC_BIT SUBGROUP_FEATURE_VOTE_BIT SUBGROUP_FEATURE_ARITHMETIC_BIT SUBGROUP_FEATURE_BALLOT_BIT SUBGROUP_FEATURE_SHUFFLE_BIT SUBGROUP_FEATURE_SHUFFLE_RELATIVE_BIT SUBGROUP_FEATURE_CLUSTERED_BIT SUBGROUP_FEATURE_QUAD_BIT SUBGROUP_FEATURE_PARTITIONED_BIT_NV quadOperationsInAllStages = true VkPhysicalDeviceSubgroupSizeControlPropertiesEXT: ------------------------------------------------- minSubgroupSize = 32 maxSubgroupSize = 32 maxComputeWorkgroupSubgroups = 3145728 requiredSubgroupSizeStages: count = 8 SHADER_STAGE_VERTEX_BIT SHADER_STAGE_TESSELLATION_CONTROL_BIT SHADER_STAGE_TESSELLATION_EVALUATION_BIT SHADER_STAGE_GEOMETRY_BIT SHADER_STAGE_FRAGMENT_BIT SHADER_STAGE_COMPUTE_BIT SHADER_STAGE_ALL_GRAPHICS SHADER_STAGE_ALL VkPhysicalDeviceTexelBufferAlignmentPropertiesEXT: -------------------------------------------------- storageTexelBufferOffsetAlignmentBytes = 0x00000010 storageTexelBufferOffsetSingleTexelAlignment = true uniformTexelBufferOffsetAlignmentBytes = 0x00000010 uniformTexelBufferOffsetSingleTexelAlignment = true VkPhysicalDeviceTimelineSemaphoreProperties: -------------------------------------------- maxTimelineSemaphoreValueDifference = 2147483647 VkPhysicalDeviceTransformFeedbackPropertiesEXT: ----------------------------------------------- maxTransformFeedbackStreams = 4 maxTransformFeedbackBuffers = 4 maxTransformFeedbackBufferSize = 0xffffffffffffffff maxTransformFeedbackStreamDataSize = 2048 maxTransformFeedbackBufferDataSize = 512 maxTransformFeedbackBufferDataStride = 2048 transformFeedbackQueries = true transformFeedbackStreamsLinesTriangles = false transformFeedbackRasterizationStreamSelect = true transformFeedbackDraw = true VkPhysicalDeviceVertexAttributeDivisorPropertiesEXT: ---------------------------------------------------- maxVertexAttribDivisor = 4294967295 VkPhysicalDeviceVulkan11Properties: ----------------------------------- deviceUUID = e0cafdc4-5715-d16d-60c1-415fca499790 driverUUID = d3d36742-97f2-a288-70a7-d3cdac63e278 deviceNodeMask = 1 deviceLUIDValid = false subgroupSize = 32 subgroupSupportedStages: count = 8 SHADER_STAGE_VERTEX_BIT SHADER_STAGE_TESSELLATION_CONTROL_BIT SHADER_STAGE_TESSELLATION_EVALUATION_BIT SHADER_STAGE_GEOMETRY_BIT SHADER_STAGE_FRAGMENT_BIT SHADER_STAGE_COMPUTE_BIT SHADER_STAGE_ALL_GRAPHICS SHADER_STAGE_ALL subgroupSupportedOperations: count = 9 SUBGROUP_FEATURE_BASIC_BIT SUBGROUP_FEATURE_VOTE_BIT SUBGROUP_FEATURE_ARITHMETIC_BIT SUBGROUP_FEATURE_BALLOT_BIT SUBGROUP_FEATURE_SHUFFLE_BIT SUBGROUP_FEATURE_SHUFFLE_RELATIVE_BIT SUBGROUP_FEATURE_CLUSTERED_BIT SUBGROUP_FEATURE_QUAD_BIT SUBGROUP_FEATURE_PARTITIONED_BIT_NV subgroupQuadOperationsInAllStages = true pointClippingBehavior = POINT_CLIPPING_BEHAVIOR_USER_CLIP_PLANES_ONLY maxMultiviewViewCount = 32 maxMultiviewInstanceIndex = 134217727 protectedNoFault = false maxPerSetDescriptors = 4294967295 maxMemoryAllocationSize = 0xffe00000 VkPhysicalDeviceVulkan12Properties: ----------------------------------- driverID = DRIVER_ID_NVIDIA_PROPRIETARY driverName = NVIDIA driverInfo = 455.45.01 conformanceVersion = 1.2.2.1 denormBehaviorIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_ALL roundingModeIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_ALL shaderSignedZeroInfNanPreserveFloat16 = true shaderSignedZeroInfNanPreserveFloat32 = true shaderSignedZeroInfNanPreserveFloat64 = true shaderDenormPreserveFloat16 = true shaderDenormPreserveFloat32 = false shaderDenormPreserveFloat64 = false shaderDenormFlushToZeroFloat16 = false shaderDenormFlushToZeroFloat32 = false shaderDenormFlushToZeroFloat64 = false shaderRoundingModeRTEFloat16 = true shaderRoundingModeRTEFloat32 = true shaderRoundingModeRTEFloat64 = true shaderRoundingModeRTZFloat16 = false shaderRoundingModeRTZFloat32 = true shaderRoundingModeRTZFloat64 = true maxUpdateAfterBindDescriptorsInAllPools = 4294967295 shaderUniformBufferArrayNonUniformIndexingNative = true shaderSampledImageArrayNonUniformIndexingNative = true shaderStorageBufferArrayNonUniformIndexingNative = true shaderStorageImageArrayNonUniformIndexingNative = true shaderInputAttachmentArrayNonUniformIndexingNative = true robustBufferAccessUpdateAfterBind = true quadDivergentImplicitLod = true maxPerStageDescriptorUpdateAfterBindSamplers = 1048576 maxPerStageDescriptorUpdateAfterBindUniformBuffers = 15 maxPerStageDescriptorUpdateAfterBindStorageBuffers = 1048576 maxPerStageDescriptorUpdateAfterBindSampledImages = 1048576 maxPerStageDescriptorUpdateAfterBindStorageImages = 1048576 maxPerStageDescriptorUpdateAfterBindInputAttachments = 1048576 maxPerStageUpdateAfterBindResources = 4294967295 maxDescriptorSetUpdateAfterBindSamplers = 1048576 maxDescriptorSetUpdateAfterBindUniformBuffers = 90 maxDescriptorSetUpdateAfterBindUniformBuffersDynamic = 15 maxDescriptorSetUpdateAfterBindStorageBuffers = 1048576 maxDescriptorSetUpdateAfterBindStorageBuffersDynamic = 16 maxDescriptorSetUpdateAfterBindSampledImages = 1048576 maxDescriptorSetUpdateAfterBindStorageImages = 1048576 maxDescriptorSetUpdateAfterBindInputAttachments = 1048576 supportedDepthResolveModes: count = 4 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_AVERAGE_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT supportedStencilResolveModes: count = 3 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT independentResolveNone = true independentResolve = true filterMinmaxSingleComponentFormats = true filterMinmaxImageComponentMapping = true maxTimelineSemaphoreValueDifference = 2147483647 framebufferIntegerColorSampleCounts: count = 4 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT Device Extensions: count = 101 VK_EXT_blend_operation_advanced : extension revision 2 VK_EXT_buffer_device_address : extension revision 2 VK_EXT_calibrated_timestamps : extension revision 1 VK_EXT_conditional_rendering : extension revision 2 VK_EXT_conservative_rasterization : extension revision 1 VK_EXT_custom_border_color : extension revision 12 VK_EXT_depth_clip_enable : extension revision 1 VK_EXT_depth_range_unrestricted : extension revision 1 VK_EXT_descriptor_indexing : extension revision 2 VK_EXT_discard_rectangles : extension revision 1 VK_EXT_display_control : extension revision 1 VK_EXT_external_memory_host : extension revision 1 VK_EXT_fragment_shader_interlock : extension revision 1 VK_EXT_global_priority : extension revision 2 VK_EXT_host_query_reset : extension revision 1 VK_EXT_index_type_uint8 : extension revision 1 VK_EXT_inline_uniform_block : extension revision 1 VK_EXT_line_rasterization : extension revision 1 VK_EXT_memory_budget : extension revision 1 VK_EXT_pci_bus_info : extension revision 2 VK_EXT_pipeline_creation_cache_control : extension revision 3 VK_EXT_pipeline_creation_feedback : extension revision 1 VK_EXT_post_depth_coverage : extension revision 1 VK_EXT_private_data : extension revision 1 VK_EXT_robustness2 : extension revision 1 VK_EXT_sample_locations : extension revision 1 VK_EXT_sampler_filter_minmax : extension revision 2 VK_EXT_scalar_block_layout : extension revision 1 VK_EXT_separate_stencil_usage : extension revision 1 VK_EXT_shader_demote_to_helper_invocation : extension revision 1 VK_EXT_shader_subgroup_ballot : extension revision 1 VK_EXT_shader_subgroup_vote : extension revision 1 VK_EXT_shader_viewport_index_layer : extension revision 1 VK_EXT_subgroup_size_control : extension revision 2 VK_EXT_texel_buffer_alignment : extension revision 1 VK_EXT_tooling_info : extension revision 1 VK_EXT_transform_feedback : extension revision 1 VK_EXT_vertex_attribute_divisor : extension revision 3 VK_EXT_ycbcr_image_arrays : extension revision 1 VK_KHR_16bit_storage : extension revision 1 VK_KHR_8bit_storage : extension revision 1 VK_KHR_bind_memory2 : extension revision 1 VK_KHR_buffer_device_address : extension revision 1 VK_KHR_create_renderpass2 : extension revision 1 VK_KHR_dedicated_allocation : extension revision 3 VK_KHR_depth_stencil_resolve : extension revision 1 VK_KHR_descriptor_update_template : extension revision 1 VK_KHR_device_group : extension revision 4 VK_KHR_draw_indirect_count : extension revision 1 VK_KHR_driver_properties : extension revision 1 VK_KHR_external_fence : extension revision 1 VK_KHR_external_fence_fd : extension revision 1 VK_KHR_external_memory : extension revision 1 VK_KHR_external_memory_fd : extension revision 1 VK_KHR_external_semaphore : extension revision 1 VK_KHR_external_semaphore_fd : extension revision 1 VK_KHR_get_memory_requirements2 : extension revision 1 VK_KHR_image_format_list : extension revision 1 VK_KHR_imageless_framebuffer : extension revision 1 VK_KHR_maintenance1 : extension revision 2 VK_KHR_maintenance2 : extension revision 1 VK_KHR_maintenance3 : extension revision 1 VK_KHR_multiview : extension revision 1 VK_KHR_pipeline_executable_properties : extension revision 1 VK_KHR_push_descriptor : extension revision 2 VK_KHR_relaxed_block_layout : extension revision 1 VK_KHR_sampler_mirror_clamp_to_edge : extension revision 3 VK_KHR_sampler_ycbcr_conversion : extension revision 14 VK_KHR_separate_depth_stencil_layouts : extension revision 1 VK_KHR_shader_atomic_int64 : extension revision 1 VK_KHR_shader_clock : extension revision 1 VK_KHR_shader_draw_parameters : extension revision 1 VK_KHR_shader_float16_int8 : extension revision 1 VK_KHR_shader_float_controls : extension revision 4 VK_KHR_shader_non_semantic_info : extension revision 1 VK_KHR_shader_subgroup_extended_types : extension revision 1 VK_KHR_spirv_1_4 : extension revision 1 VK_KHR_storage_buffer_storage_class : extension revision 1 VK_KHR_swapchain : extension revision 70 VK_KHR_swapchain_mutable_format : extension revision 1 VK_KHR_timeline_semaphore : extension revision 2 VK_KHR_uniform_buffer_standard_layout : extension revision 1 VK_KHR_variable_pointers : extension revision 1 VK_KHR_vulkan_memory_model : extension revision 3 VK_NVX_multiview_per_view_attributes : extension revision 1 VK_NV_clip_space_w_scaling : extension revision 1 VK_NV_coverage_reduction_mode : extension revision 1 VK_NV_dedicated_allocation : extension revision 1 VK_NV_dedicated_allocation_image_aliasing : extension revision 1 VK_NV_device_diagnostic_checkpoints : extension revision 2 VK_NV_device_diagnostics_config : extension revision 1 VK_NV_device_generated_commands : extension revision 3 VK_NV_fill_rectangle : extension revision 1 VK_NV_fragment_coverage_to_color : extension revision 1 VK_NV_framebuffer_mixed_samples : extension revision 1 VK_NV_geometry_shader_passthrough : extension revision 1 VK_NV_sample_mask_override_coverage : extension revision 1 VK_NV_shader_sm_builtins : extension revision 1 VK_NV_shader_subgroup_partitioned : extension revision 1 VK_NV_viewport_array2 : extension revision 1 VK_NV_viewport_swizzle : extension revision 1 VkQueueFamilyProperties: ======================== queueProperties[0]: ------------------- minImageTransferGranularity = (1,1,1) queueCount = 16 queueFlags = QUEUE_GRAPHICS | QUEUE_COMPUTE | QUEUE_TRANSFER | QUEUE_SPARSE_BINDING timestampValidBits = 64 present support = true queueProperties[1]: ------------------- minImageTransferGranularity = (1,1,1) queueCount = 2 queueFlags = QUEUE_TRANSFER | QUEUE_SPARSE_BINDING timestampValidBits = 64 present support = false queueProperties[2]: ------------------- minImageTransferGranularity = (1,1,1) queueCount = 8 queueFlags = QUEUE_COMPUTE | QUEUE_TRANSFER | QUEUE_SPARSE_BINDING timestampValidBits = 64 present support = true VkPhysicalDeviceMemoryProperties: ================================= memoryHeaps: count = 3 memoryHeaps[0]: size = 4294967296 (0x100000000) (4.00 GiB) budget = 4229038080 (0xfc120000) (3.94 GiB) usage = 0 (0x00000000) (0.00 B) flags: count = 1 MEMORY_HEAP_DEVICE_LOCAL_BIT memoryHeaps[1]: size = 12496195584 (0x2e8d4d000) (11.64 GiB) budget = 12496195584 (0x2e8d4d000) (11.64 GiB) usage = 0 (0x00000000) (0.00 B) flags: count = 0 None memoryHeaps[2]: size = 257949696 (0x0f600000) (246.00 MiB) budget = 255590400 (0x0f3c0000) (243.75 MiB) usage = 2359296 (0x00240000) (2.25 MiB) flags: count = 1 MEMORY_HEAP_DEVICE_LOCAL_BIT memoryTypes: count = 11 memoryTypes[0]: heapIndex = 1 propertyFlags = 0x0000: count = 0 None usable for: IMAGE_TILING_OPTIMAL: None IMAGE_TILING_LINEAR: color images (non-sparse, non-transient) memoryTypes[1]: heapIndex = 1 propertyFlags = 0x0000: count = 0 None usable for: IMAGE_TILING_OPTIMAL: color images (non-sparse) IMAGE_TILING_LINEAR: None memoryTypes[2]: heapIndex = 1 propertyFlags = 0x0000: count = 0 None usable for: IMAGE_TILING_OPTIMAL: FORMAT_D16_UNORM (non-sparse) IMAGE_TILING_LINEAR: None memoryTypes[3]: heapIndex = 1 propertyFlags = 0x0000: count = 0 None usable for: IMAGE_TILING_OPTIMAL: FORMAT_X8_D24_UNORM_PACK32 FORMAT_D24_UNORM_S8_UINT (non-sparse) IMAGE_TILING_LINEAR: None memoryTypes[4]: heapIndex = 1 propertyFlags = 0x0000: count = 0 None usable for: IMAGE_TILING_OPTIMAL: FORMAT_D32_SFLOAT (non-sparse) IMAGE_TILING_LINEAR: None memoryTypes[5]: heapIndex = 1 propertyFlags = 0x0000: count = 0 None usable for: IMAGE_TILING_OPTIMAL: FORMAT_D32_SFLOAT_S8_UINT (non-sparse) IMAGE_TILING_LINEAR: None memoryTypes[6]: heapIndex = 1 propertyFlags = 0x0000: count = 0 None usable for: IMAGE_TILING_OPTIMAL: FORMAT_S8_UINT (non-sparse) IMAGE_TILING_LINEAR: None memoryTypes[7]: heapIndex = 0 propertyFlags = 0x0001: count = 1 MEMORY_PROPERTY_DEVICE_LOCAL_BIT usable for: IMAGE_TILING_OPTIMAL: color images FORMAT_D16_UNORM FORMAT_X8_D24_UNORM_PACK32 FORMAT_D32_SFLOAT FORMAT_S8_UINT FORMAT_D24_UNORM_S8_UINT FORMAT_D32_SFLOAT_S8_UINT (non-sparse) IMAGE_TILING_LINEAR: color images (non-sparse, non-transient) memoryTypes[8]: heapIndex = 1 propertyFlags = 0x0006: count = 2 MEMORY_PROPERTY_HOST_VISIBLE_BIT MEMORY_PROPERTY_HOST_COHERENT_BIT usable for: IMAGE_TILING_OPTIMAL: None IMAGE_TILING_LINEAR: color images (non-sparse, non-transient) memoryTypes[9]: heapIndex = 1 propertyFlags = 0x000e: count = 3 MEMORY_PROPERTY_HOST_VISIBLE_BIT MEMORY_PROPERTY_HOST_COHERENT_BIT MEMORY_PROPERTY_HOST_CACHED_BIT usable for: IMAGE_TILING_OPTIMAL: None IMAGE_TILING_LINEAR: color images (non-sparse, non-transient) memoryTypes[10]: heapIndex = 2 propertyFlags = 0x0007: count = 3 MEMORY_PROPERTY_DEVICE_LOCAL_BIT MEMORY_PROPERTY_HOST_VISIBLE_BIT MEMORY_PROPERTY_HOST_COHERENT_BIT usable for: IMAGE_TILING_OPTIMAL: None IMAGE_TILING_LINEAR: None VkPhysicalDeviceFeatures: ========================= robustBufferAccess = true fullDrawIndexUint32 = true imageCubeArray = true independentBlend = true geometryShader = true tessellationShader = true sampleRateShading = true dualSrcBlend = true logicOp = true multiDrawIndirect = true drawIndirectFirstInstance = true depthClamp = true depthBiasClamp = true fillModeNonSolid = true depthBounds = true wideLines = true largePoints = true alphaToOne = true multiViewport = true samplerAnisotropy = true textureCompressionETC2 = false textureCompressionASTC_LDR = false textureCompressionBC = true occlusionQueryPrecise = true pipelineStatisticsQuery = true vertexPipelineStoresAndAtomics = true fragmentStoresAndAtomics = true shaderTessellationAndGeometryPointSize = true shaderImageGatherExtended = true shaderStorageImageExtendedFormats = true shaderStorageImageMultisample = true shaderStorageImageReadWithoutFormat = true shaderStorageImageWriteWithoutFormat = true shaderUniformBufferArrayDynamicIndexing = true shaderSampledImageArrayDynamicIndexing = true shaderStorageBufferArrayDynamicIndexing = true shaderStorageImageArrayDynamicIndexing = true shaderClipDistance = true shaderCullDistance = true shaderFloat64 = true shaderInt64 = true shaderInt16 = true shaderResourceResidency = true shaderResourceMinLod = true sparseBinding = true sparseResidencyBuffer = true sparseResidencyImage2D = true sparseResidencyImage3D = true sparseResidency2Samples = true sparseResidency4Samples = true sparseResidency8Samples = true sparseResidency16Samples = true sparseResidencyAliased = true variableMultisampleRate = true inheritedQueries = true VkPhysicalDevice16BitStorageFeatures: ------------------------------------- storageBuffer16BitAccess = true uniformAndStorageBuffer16BitAccess = true storagePushConstant16 = true storageInputOutput16 = false VkPhysicalDevice8BitStorageFeatures: ------------------------------------ storageBuffer8BitAccess = true uniformAndStorageBuffer8BitAccess = true storagePushConstant8 = true VkPhysicalDeviceBlendOperationAdvancedFeaturesEXT: -------------------------------------------------- advancedBlendCoherentOperations = true VkPhysicalDeviceBufferDeviceAddressFeatures: -------------------------------------------- bufferDeviceAddress = true bufferDeviceAddressCaptureReplay = false bufferDeviceAddressMultiDevice = true VkPhysicalDeviceBufferDeviceAddressFeaturesEXT: ----------------------------------------------- bufferDeviceAddress = true bufferDeviceAddressCaptureReplay = false bufferDeviceAddressMultiDevice = true VkPhysicalDeviceConditionalRenderingFeaturesEXT: ------------------------------------------------ conditionalRendering = true inheritedConditionalRendering = true VkPhysicalDeviceCustomBorderColorFeaturesEXT: --------------------------------------------- customBorderColors = true customBorderColorWithoutFormat = true VkPhysicalDeviceDepthClipEnableFeaturesEXT: ------------------------------------------- depthClipEnable = true VkPhysicalDeviceDescriptorIndexingFeatures: ------------------------------------------- shaderInputAttachmentArrayDynamicIndexing = true shaderUniformTexelBufferArrayDynamicIndexing = true shaderStorageTexelBufferArrayDynamicIndexing = true shaderUniformBufferArrayNonUniformIndexing = true shaderSampledImageArrayNonUniformIndexing = true shaderStorageBufferArrayNonUniformIndexing = true shaderStorageImageArrayNonUniformIndexing = true shaderInputAttachmentArrayNonUniformIndexing = true shaderUniformTexelBufferArrayNonUniformIndexing = true shaderStorageTexelBufferArrayNonUniformIndexing = true descriptorBindingUniformBufferUpdateAfterBind = false descriptorBindingSampledImageUpdateAfterBind = true descriptorBindingStorageImageUpdateAfterBind = true descriptorBindingStorageBufferUpdateAfterBind = true descriptorBindingUniformTexelBufferUpdateAfterBind = true descriptorBindingStorageTexelBufferUpdateAfterBind = true descriptorBindingUpdateUnusedWhilePending = true descriptorBindingPartiallyBound = true descriptorBindingVariableDescriptorCount = true runtimeDescriptorArray = true VkPhysicalDeviceFragmentShaderInterlockFeaturesEXT: --------------------------------------------------- fragmentShaderSampleInterlock = true fragmentShaderPixelInterlock = true fragmentShaderShadingRateInterlock = true VkPhysicalDeviceHostQueryResetFeatures: --------------------------------------- hostQueryReset = true VkPhysicalDeviceImagelessFramebufferFeatures: --------------------------------------------- imagelessFramebuffer = true VkPhysicalDeviceIndexTypeUint8FeaturesEXT: ------------------------------------------ indexTypeUint8 = true VkPhysicalDeviceInlineUniformBlockFeaturesEXT: ---------------------------------------------- inlineUniformBlock = true descriptorBindingInlineUniformBlockUpdateAfterBind = true VkPhysicalDeviceLineRasterizationFeaturesEXT: --------------------------------------------- rectangularLines = true bresenhamLines = true smoothLines = true stippledRectangularLines = true stippledBresenhamLines = true stippledSmoothLines = true VkPhysicalDeviceMultiviewFeatures: ---------------------------------- multiview = true multiviewGeometryShader = true multiviewTessellationShader = true VkPhysicalDevicePipelineCreationCacheControlFeaturesEXT: -------------------------------------------------------- pipelineCreationCacheControl = true VkPhysicalDevicePipelineExecutablePropertiesFeaturesKHR: -------------------------------------------------------- pipelineExecutableInfo = true VkPhysicalDevicePrivateDataFeaturesEXT: --------------------------------------- privateData = true VkPhysicalDeviceProtectedMemoryFeatures: ---------------------------------------- protectedMemory = false VkPhysicalDeviceRobustness2FeaturesEXT: --------------------------------------- robustBufferAccess2 = true robustImageAccess2 = true nullDescriptor = true VkPhysicalDeviceSamplerYcbcrConversionFeatures: ----------------------------------------------- samplerYcbcrConversion = true VkPhysicalDeviceScalarBlockLayoutFeatures: ------------------------------------------ scalarBlockLayout = true VkPhysicalDeviceSeparateDepthStencilLayoutsFeatures: ---------------------------------------------------- separateDepthStencilLayouts = true VkPhysicalDeviceShaderAtomicInt64Features: ------------------------------------------ shaderBufferInt64Atomics = true shaderSharedInt64Atomics = true VkPhysicalDeviceShaderClockFeaturesKHR: --------------------------------------- shaderSubgroupClock = true shaderDeviceClock = true VkPhysicalDeviceShaderDemoteToHelperInvocationFeaturesEXT: ---------------------------------------------------------- shaderDemoteToHelperInvocation = true VkPhysicalDeviceShaderDrawParametersFeatures: --------------------------------------------- shaderDrawParameters = true VkPhysicalDeviceShaderFloat16Int8Features: ------------------------------------------ shaderFloat16 = false shaderInt8 = true VkPhysicalDeviceShaderSubgroupExtendedTypesFeatures: ---------------------------------------------------- shaderSubgroupExtendedTypes = true VkPhysicalDeviceSubgroupSizeControlFeaturesEXT: ----------------------------------------------- subgroupSizeControl = true computeFullSubgroups = true VkPhysicalDeviceTexelBufferAlignmentFeaturesEXT: ------------------------------------------------ texelBufferAlignment = true VkPhysicalDeviceTimelineSemaphoreFeatures: ------------------------------------------ timelineSemaphore = true VkPhysicalDeviceTransformFeedbackFeaturesEXT: --------------------------------------------- transformFeedback = true geometryStreams = true VkPhysicalDeviceUniformBufferStandardLayoutFeatures: ---------------------------------------------------- uniformBufferStandardLayout = true VkPhysicalDeviceVariablePointersFeatures: ----------------------------------------- variablePointersStorageBuffer = true variablePointers = true VkPhysicalDeviceVertexAttributeDivisorFeaturesEXT: -------------------------------------------------- vertexAttributeInstanceRateDivisor = true vertexAttributeInstanceRateZeroDivisor = true VkPhysicalDeviceVulkan11Features: --------------------------------- storageBuffer16BitAccess = true uniformAndStorageBuffer16BitAccess = true storagePushConstant16 = true storageInputOutput16 = false multiview = true multiviewGeometryShader = true multiviewTessellationShader = true variablePointersStorageBuffer = true variablePointers = true protectedMemory = false samplerYcbcrConversion = true shaderDrawParameters = true VkPhysicalDeviceVulkan12Features: --------------------------------- samplerMirrorClampToEdge = true drawIndirectCount = true storageBuffer8BitAccess = true uniformAndStorageBuffer8BitAccess = true storagePushConstant8 = true shaderBufferInt64Atomics = true shaderSharedInt64Atomics = true shaderFloat16 = false shaderInt8 = true descriptorIndexing = true shaderInputAttachmentArrayDynamicIndexing = true shaderUniformTexelBufferArrayDynamicIndexing = true shaderStorageTexelBufferArrayDynamicIndexing = true shaderUniformBufferArrayNonUniformIndexing = true shaderSampledImageArrayNonUniformIndexing = true shaderStorageBufferArrayNonUniformIndexing = true shaderStorageImageArrayNonUniformIndexing = true shaderInputAttachmentArrayNonUniformIndexing = true shaderUniformTexelBufferArrayNonUniformIndexing = true shaderStorageTexelBufferArrayNonUniformIndexing = true descriptorBindingUniformBufferUpdateAfterBind = false descriptorBindingSampledImageUpdateAfterBind = true descriptorBindingStorageImageUpdateAfterBind = true descriptorBindingStorageBufferUpdateAfterBind = true descriptorBindingUniformTexelBufferUpdateAfterBind = true descriptorBindingStorageTexelBufferUpdateAfterBind = true descriptorBindingUpdateUnusedWhilePending = true descriptorBindingPartiallyBound = true descriptorBindingVariableDescriptorCount = true runtimeDescriptorArray = true samplerFilterMinmax = true scalarBlockLayout = true imagelessFramebuffer = true uniformBufferStandardLayout = true shaderSubgroupExtendedTypes = true separateDepthStencilLayouts = true hostQueryReset = true timelineSemaphore = true bufferDeviceAddress = true bufferDeviceAddressCaptureReplay = false bufferDeviceAddressMultiDevice = true vulkanMemoryModel = true vulkanMemoryModelDeviceScope = true vulkanMemoryModelAvailabilityVisibilityChains = true shaderOutputViewportIndex = true shaderOutputLayer = true subgroupBroadcastDynamicId = true VkPhysicalDeviceVulkanMemoryModelFeatures: ------------------------------------------ vulkanMemoryModel = true vulkanMemoryModelDeviceScope = true vulkanMemoryModelAvailabilityVisibilityChains = true VkPhysicalDeviceYcbcrImageArraysFeaturesEXT: -------------------------------------------- ycbcrImageArrays = true GPU1: VkPhysicalDeviceProperties: --------------------------- apiVersion = 4202641 (1.2.145) driverVersion = 83894274 (0x5002002) vendorID = 0x8086 deviceID = 0x591b deviceType = PHYSICAL_DEVICE_TYPE_INTEGRATED_GPU deviceName = Intel(R) HD Graphics 630 (KBL GT2) VkPhysicalDeviceLimits: ----------------------- maxImageDimension1D = 16384 maxImageDimension2D = 16384 maxImageDimension3D = 2048 maxImageDimensionCube = 16384 maxImageArrayLayers = 2048 maxTexelBufferElements = 134217728 maxUniformBufferRange = 134217728 maxStorageBufferRange = 1073741824 maxPushConstantsSize = 128 maxMemoryAllocationCount = 4294967295 maxSamplerAllocationCount = 65536 bufferImageGranularity = 0x00000040 sparseAddressSpaceSize = 0x00000000 maxBoundDescriptorSets = 8 maxPerStageDescriptorSamplers = 65535 maxPerStageDescriptorUniformBuffers = 64 maxPerStageDescriptorStorageBuffers = 65535 maxPerStageDescriptorSampledImages = 65535 maxPerStageDescriptorStorageImages = 65535 maxPerStageDescriptorInputAttachments = 64 maxPerStageResources = 4294967295 maxDescriptorSetSamplers = 393210 maxDescriptorSetUniformBuffers = 384 maxDescriptorSetUniformBuffersDynamic = 8 maxDescriptorSetStorageBuffers = 393210 maxDescriptorSetStorageBuffersDynamic = 8 maxDescriptorSetSampledImages = 393210 maxDescriptorSetStorageImages = 393210 maxDescriptorSetInputAttachments = 256 maxVertexInputAttributes = 28 maxVertexInputBindings = 28 maxVertexInputAttributeOffset = 2047 maxVertexInputBindingStride = 2048 maxVertexOutputComponents = 128 maxTessellationGenerationLevel = 64 maxTessellationPatchSize = 32 maxTessellationControlPerVertexInputComponents = 128 maxTessellationControlPerVertexOutputComponents = 128 maxTessellationControlPerPatchOutputComponents = 128 maxTessellationControlTotalOutputComponents = 2048 maxTessellationEvaluationInputComponents = 128 maxTessellationEvaluationOutputComponents = 128 maxGeometryShaderInvocations = 32 maxGeometryInputComponents = 64 maxGeometryOutputComponents = 128 maxGeometryOutputVertices = 256 maxGeometryTotalOutputComponents = 1024 maxFragmentInputComponents = 116 maxFragmentOutputAttachments = 8 maxFragmentDualSrcAttachments = 1 maxFragmentCombinedOutputResources = 8 maxComputeSharedMemorySize = 65536 maxComputeWorkGroupCount: count = 3 65535 65535 65535 maxComputeWorkGroupInvocations = 1792 maxComputeWorkGroupSize: count = 3 1792 1792 1792 subPixelPrecisionBits = 8 subTexelPrecisionBits = 8 mipmapPrecisionBits = 8 maxDrawIndexedIndexValue = 4294967295 maxDrawIndirectCount = 4294967295 maxSamplerLodBias = 16 maxSamplerAnisotropy = 16 maxViewports = 16 maxViewportDimensions: count = 2 16384 16384 viewportBoundsRange: count = 2 -32768 32767 viewportSubPixelBits = 13 minMemoryMapAlignment = 4096 minTexelBufferOffsetAlignment = 0x00000010 minUniformBufferOffsetAlignment = 0x00000040 minStorageBufferOffsetAlignment = 0x00000004 minTexelOffset = -8 maxTexelOffset = 7 minTexelGatherOffset = -32 maxTexelGatherOffset = 31 minInterpolationOffset = -0.5 maxInterpolationOffset = 0.4375 subPixelInterpolationOffsetBits = 4 maxFramebufferWidth = 16384 maxFramebufferHeight = 16384 maxFramebufferLayers = 2048 framebufferColorSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT framebufferDepthSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT framebufferStencilSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT framebufferNoAttachmentsSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT maxColorAttachments = 8 sampledImageColorSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT sampledImageIntegerSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT sampledImageDepthSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT sampledImageStencilSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT storageImageSampleCounts: count = 1 SAMPLE_COUNT_1_BIT maxSampleMaskWords = 1 timestampComputeAndGraphics = true timestampPeriod = 83.3333 maxClipDistances = 8 maxCullDistances = 8 maxCombinedClipAndCullDistances = 8 discreteQueuePriorities = 2 pointSizeRange: count = 2 0.125 255.875 lineWidthRange: count = 2 0 2047.99 pointSizeGranularity = 0.125 lineWidthGranularity = 0.0078125 strictLines = false standardSampleLocations = true optimalBufferCopyOffsetAlignment = 0x00000080 optimalBufferCopyRowPitchAlignment = 0x00000080 nonCoherentAtomSize = 0x00000040 VkPhysicalDeviceSparseProperties: --------------------------------- residencyStandard2DBlockShape = false residencyStandard2DMultisampleBlockShape = false residencyStandard3DBlockShape = false residencyAlignedMipSize = false residencyNonResidentStrict = false VkPhysicalDeviceCustomBorderColorPropertiesEXT: ----------------------------------------------- maxCustomBorderColorSamplers = 4096 VkPhysicalDeviceDepthStencilResolveProperties: ---------------------------------------------- supportedDepthResolveModes: count = 4 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_AVERAGE_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT supportedStencilResolveModes: count = 3 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT independentResolveNone = true independentResolve = true VkPhysicalDeviceDescriptorIndexingProperties: --------------------------------------------- maxUpdateAfterBindDescriptorsInAllPools = 524288 shaderUniformBufferArrayNonUniformIndexingNative = false shaderSampledImageArrayNonUniformIndexingNative = false shaderStorageBufferArrayNonUniformIndexingNative = true shaderStorageImageArrayNonUniformIndexingNative = false shaderInputAttachmentArrayNonUniformIndexingNative = false robustBufferAccessUpdateAfterBind = true quadDivergentImplicitLod = false maxPerStageDescriptorUpdateAfterBindSamplers = 524288 maxPerStageDescriptorUpdateAfterBindUniformBuffers = 64 maxPerStageDescriptorUpdateAfterBindStorageBuffers = 4294967295 maxPerStageDescriptorUpdateAfterBindSampledImages = 524288 maxPerStageDescriptorUpdateAfterBindStorageImages = 524288 maxPerStageDescriptorUpdateAfterBindInputAttachments = 64 maxPerStageUpdateAfterBindResources = 4294967295 maxDescriptorSetUpdateAfterBindSamplers = 524288 maxDescriptorSetUpdateAfterBindUniformBuffers = 384 maxDescriptorSetUpdateAfterBindUniformBuffersDynamic = 8 maxDescriptorSetUpdateAfterBindStorageBuffers = 4294967295 maxDescriptorSetUpdateAfterBindStorageBuffersDynamic = 8 maxDescriptorSetUpdateAfterBindSampledImages = 524288 maxDescriptorSetUpdateAfterBindStorageImages = 524288 maxDescriptorSetUpdateAfterBindInputAttachments = 256 VkPhysicalDeviceDriverProperties: --------------------------------- driverID = DRIVER_ID_INTEL_OPEN_SOURCE_MESA driverName = Intel open-source Mesa driver driverInfo = Mesa 20.2.2 conformanceVersion = 1.2.0.0 VkPhysicalDeviceExternalMemoryHostPropertiesEXT: ------------------------------------------------ minImportedHostPointerAlignment = 0x00001000 VkPhysicalDeviceFloatControlsProperties: ---------------------------------------- denormBehaviorIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_ALL roundingModeIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_NONE shaderSignedZeroInfNanPreserveFloat16 = true shaderSignedZeroInfNanPreserveFloat32 = true shaderSignedZeroInfNanPreserveFloat64 = true shaderDenormPreserveFloat16 = true shaderDenormPreserveFloat32 = true shaderDenormPreserveFloat64 = true shaderDenormFlushToZeroFloat16 = false shaderDenormFlushToZeroFloat32 = true shaderDenormFlushToZeroFloat64 = true shaderRoundingModeRTEFloat16 = true shaderRoundingModeRTEFloat32 = true shaderRoundingModeRTEFloat64 = true shaderRoundingModeRTZFloat16 = true shaderRoundingModeRTZFloat32 = true shaderRoundingModeRTZFloat64 = true VkPhysicalDeviceIDProperties: ----------------------------- deviceUUID = 0877374d-04a0-fce6-4ad7-893fa00506c5 driverUUID = cd674037-b3f7-8650-dc53-506b0d4c3585 deviceNodeMask = 0 deviceLUIDValid = false VkPhysicalDeviceInlineUniformBlockPropertiesEXT: ------------------------------------------------ maxInlineUniformBlockSize = 4096 maxPerStageDescriptorInlineUniformBlocks = 32 maxPerStageDescriptorUpdateAfterBindInlineUniformBlocks = 32 maxDescriptorSetInlineUniformBlocks = 32 maxDescriptorSetUpdateAfterBindInlineUniformBlocks = 32 VkPhysicalDeviceLineRasterizationPropertiesEXT: ----------------------------------------------- lineSubPixelPrecisionBits = 4 VkPhysicalDeviceMaintenance3Properties: --------------------------------------- maxPerSetDescriptors = 1024 maxMemoryAllocationSize = 0x80000000 VkPhysicalDeviceMultiviewProperties: ------------------------------------ maxMultiviewViewCount = 16 maxMultiviewInstanceIndex = 268435455 VkPhysicalDevicePCIBusInfoPropertiesEXT: ---------------------------------------- pciDomain = 0 pciBus = 0 pciDevice = 2 pciFunction = 0 VkPhysicalDevicePerformanceQueryPropertiesKHR: ---------------------------------------------- allowCommandBufferQueryCopies = false VkPhysicalDevicePointClippingProperties: ---------------------------------------- pointClippingBehavior = POINT_CLIPPING_BEHAVIOR_USER_CLIP_PLANES_ONLY VkPhysicalDeviceProtectedMemoryProperties: ------------------------------------------ protectedNoFault = false VkPhysicalDevicePushDescriptorPropertiesKHR: -------------------------------------------- maxPushDescriptors = 32 VkPhysicalDeviceRobustness2PropertiesEXT: ----------------------------------------- robustStorageBufferAccessSizeAlignment = 0x00000004 robustUniformBufferAccessSizeAlignment = 0x00000040 VkPhysicalDeviceSamplerFilterMinmaxProperties: ---------------------------------------------- filterMinmaxSingleComponentFormats = true filterMinmaxImageComponentMapping = true VkPhysicalDeviceSubgroupProperties: ----------------------------------- subgroupSize = 32 supportedStages: count = 8 SHADER_STAGE_VERTEX_BIT SHADER_STAGE_TESSELLATION_CONTROL_BIT SHADER_STAGE_TESSELLATION_EVALUATION_BIT SHADER_STAGE_GEOMETRY_BIT SHADER_STAGE_FRAGMENT_BIT SHADER_STAGE_COMPUTE_BIT SHADER_STAGE_ALL_GRAPHICS SHADER_STAGE_ALL supportedOperations: count = 8 SUBGROUP_FEATURE_BASIC_BIT SUBGROUP_FEATURE_VOTE_BIT SUBGROUP_FEATURE_ARITHMETIC_BIT SUBGROUP_FEATURE_BALLOT_BIT SUBGROUP_FEATURE_SHUFFLE_BIT SUBGROUP_FEATURE_SHUFFLE_RELATIVE_BIT SUBGROUP_FEATURE_CLUSTERED_BIT SUBGROUP_FEATURE_QUAD_BIT quadOperationsInAllStages = true VkPhysicalDeviceSubgroupSizeControlPropertiesEXT: ------------------------------------------------- minSubgroupSize = 8 maxSubgroupSize = 32 maxComputeWorkgroupSubgroups = 56 requiredSubgroupSizeStages: count = 2 SHADER_STAGE_COMPUTE_BIT SHADER_STAGE_ALL VkPhysicalDeviceTexelBufferAlignmentPropertiesEXT: -------------------------------------------------- storageTexelBufferOffsetAlignmentBytes = 0x00000010 storageTexelBufferOffsetSingleTexelAlignment = true uniformTexelBufferOffsetAlignmentBytes = 0x00000001 uniformTexelBufferOffsetSingleTexelAlignment = false VkPhysicalDeviceTimelineSemaphoreProperties: -------------------------------------------- maxTimelineSemaphoreValueDifference = 18446744073709551615 VkPhysicalDeviceTransformFeedbackPropertiesEXT: ----------------------------------------------- maxTransformFeedbackStreams = 4 maxTransformFeedbackBuffers = 4 maxTransformFeedbackBufferSize = 0x100000000 maxTransformFeedbackStreamDataSize = 512 maxTransformFeedbackBufferDataSize = 512 maxTransformFeedbackBufferDataStride = 2048 transformFeedbackQueries = true transformFeedbackStreamsLinesTriangles = false transformFeedbackRasterizationStreamSelect = false transformFeedbackDraw = true VkPhysicalDeviceVertexAttributeDivisorPropertiesEXT: ---------------------------------------------------- maxVertexAttribDivisor = 268435455 VkPhysicalDeviceVulkan11Properties: ----------------------------------- deviceUUID = 0877374d-04a0-fce6-4ad7-893fa00506c5 driverUUID = cd674037-b3f7-8650-dc53-506b0d4c3585 deviceNodeMask = 0 deviceLUIDValid = false subgroupSize = 32 subgroupSupportedStages: count = 8 SHADER_STAGE_VERTEX_BIT SHADER_STAGE_TESSELLATION_CONTROL_BIT SHADER_STAGE_TESSELLATION_EVALUATION_BIT SHADER_STAGE_GEOMETRY_BIT SHADER_STAGE_FRAGMENT_BIT SHADER_STAGE_COMPUTE_BIT SHADER_STAGE_ALL_GRAPHICS SHADER_STAGE_ALL subgroupSupportedOperations: count = 8 SUBGROUP_FEATURE_BASIC_BIT SUBGROUP_FEATURE_VOTE_BIT SUBGROUP_FEATURE_ARITHMETIC_BIT SUBGROUP_FEATURE_BALLOT_BIT SUBGROUP_FEATURE_SHUFFLE_BIT SUBGROUP_FEATURE_SHUFFLE_RELATIVE_BIT SUBGROUP_FEATURE_CLUSTERED_BIT SUBGROUP_FEATURE_QUAD_BIT subgroupQuadOperationsInAllStages = true pointClippingBehavior = POINT_CLIPPING_BEHAVIOR_USER_CLIP_PLANES_ONLY maxMultiviewViewCount = 16 maxMultiviewInstanceIndex = 268435455 protectedNoFault = false maxPerSetDescriptors = 1024 maxMemoryAllocationSize = 0x80000000 VkPhysicalDeviceVulkan12Properties: ----------------------------------- driverID = DRIVER_ID_INTEL_OPEN_SOURCE_MESA driverName = Intel open-source Mesa driver driverInfo = Mesa 20.2.2 conformanceVersion = 1.2.0.0 denormBehaviorIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_ALL roundingModeIndependence = SHADER_FLOAT_CONTROLS_INDEPENDENCE_NONE shaderSignedZeroInfNanPreserveFloat16 = true shaderSignedZeroInfNanPreserveFloat32 = true shaderSignedZeroInfNanPreserveFloat64 = true shaderDenormPreserveFloat16 = true shaderDenormPreserveFloat32 = true shaderDenormPreserveFloat64 = true shaderDenormFlushToZeroFloat16 = false shaderDenormFlushToZeroFloat32 = true shaderDenormFlushToZeroFloat64 = true shaderRoundingModeRTEFloat16 = true shaderRoundingModeRTEFloat32 = true shaderRoundingModeRTEFloat64 = true shaderRoundingModeRTZFloat16 = true shaderRoundingModeRTZFloat32 = true shaderRoundingModeRTZFloat64 = true maxUpdateAfterBindDescriptorsInAllPools = 524288 shaderUniformBufferArrayNonUniformIndexingNative = false shaderSampledImageArrayNonUniformIndexingNative = false shaderStorageBufferArrayNonUniformIndexingNative = true shaderStorageImageArrayNonUniformIndexingNative = false shaderInputAttachmentArrayNonUniformIndexingNative = false robustBufferAccessUpdateAfterBind = true quadDivergentImplicitLod = false maxPerStageDescriptorUpdateAfterBindSamplers = 524288 maxPerStageDescriptorUpdateAfterBindUniformBuffers = 64 maxPerStageDescriptorUpdateAfterBindStorageBuffers = 4294967295 maxPerStageDescriptorUpdateAfterBindSampledImages = 524288 maxPerStageDescriptorUpdateAfterBindStorageImages = 524288 maxPerStageDescriptorUpdateAfterBindInputAttachments = 64 maxPerStageUpdateAfterBindResources = 4294967295 maxDescriptorSetUpdateAfterBindSamplers = 524288 maxDescriptorSetUpdateAfterBindUniformBuffers = 384 maxDescriptorSetUpdateAfterBindUniformBuffersDynamic = 8 maxDescriptorSetUpdateAfterBindStorageBuffers = 4294967295 maxDescriptorSetUpdateAfterBindStorageBuffersDynamic = 8 maxDescriptorSetUpdateAfterBindSampledImages = 524288 maxDescriptorSetUpdateAfterBindStorageImages = 524288 maxDescriptorSetUpdateAfterBindInputAttachments = 256 supportedDepthResolveModes: count = 4 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_AVERAGE_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT supportedStencilResolveModes: count = 3 RESOLVE_MODE_SAMPLE_ZERO_BIT RESOLVE_MODE_MIN_BIT RESOLVE_MODE_MAX_BIT independentResolveNone = true independentResolve = true filterMinmaxSingleComponentFormats = true filterMinmaxImageComponentMapping = true maxTimelineSemaphoreValueDifference = 18446744073709551615 framebufferIntegerColorSampleCounts: count = 5 SAMPLE_COUNT_1_BIT SAMPLE_COUNT_2_BIT SAMPLE_COUNT_4_BIT SAMPLE_COUNT_8_BIT SAMPLE_COUNT_16_BIT Device Extensions: count = 92 VK_EXT_4444_formats : extension revision 1 VK_EXT_buffer_device_address : extension revision 1 VK_EXT_calibrated_timestamps : extension revision 1 VK_EXT_conditional_rendering : extension revision 1 VK_EXT_custom_border_color : extension revision 12 VK_EXT_depth_clip_enable : extension revision 1 VK_EXT_descriptor_indexing : extension revision 2 VK_EXT_display_control : extension revision 1 VK_EXT_extended_dynamic_state : extension revision 1 VK_EXT_external_memory_dma_buf : extension revision 1 VK_EXT_external_memory_host : extension revision 1 VK_EXT_fragment_shader_interlock : extension revision 1 VK_EXT_global_priority : extension revision 1 VK_EXT_host_query_reset : extension revision 1 VK_EXT_image_robustness : extension revision 1 VK_EXT_index_type_uint8 : extension revision 1 VK_EXT_inline_uniform_block : extension revision 1 VK_EXT_line_rasterization : extension revision 1 VK_EXT_memory_budget : extension revision 1 VK_EXT_pci_bus_info : extension revision 2 VK_EXT_pipeline_creation_cache_control : extension revision 3 VK_EXT_pipeline_creation_feedback : extension revision 1 VK_EXT_post_depth_coverage : extension revision 1 VK_EXT_private_data : extension revision 1 VK_EXT_robustness2 : extension revision 1 VK_EXT_sampler_filter_minmax : extension revision 1 VK_EXT_scalar_block_layout : extension revision 1 VK_EXT_separate_stencil_usage : extension revision 1 VK_EXT_shader_atomic_float : extension revision 1 VK_EXT_shader_demote_to_helper_invocation : extension revision 1 VK_EXT_shader_stencil_export : extension revision 1 VK_EXT_shader_subgroup_ballot : extension revision 1 VK_EXT_shader_subgroup_vote : extension revision 1 VK_EXT_shader_viewport_index_layer : extension revision 1 VK_EXT_subgroup_size_control : extension revision 2 VK_EXT_texel_buffer_alignment : extension revision 1 VK_EXT_transform_feedback : extension revision 1 VK_EXT_vertex_attribute_divisor : extension revision 3 VK_EXT_ycbcr_image_arrays : extension revision 1 VK_GOOGLE_decorate_string : extension revision 1 VK_GOOGLE_hlsl_functionality1 : extension revision 1 VK_GOOGLE_user_type : extension revision 1 VK_INTEL_performance_query : extension revision 1 VK_INTEL_shader_integer_functions2 : extension revision 1 VK_KHR_16bit_storage : extension revision 1 VK_KHR_8bit_storage : extension revision 1 VK_KHR_bind_memory2 : extension revision 1 VK_KHR_buffer_device_address : extension revision 1 VK_KHR_create_renderpass2 : extension revision 1 VK_KHR_dedicated_allocation : extension revision 1 VK_KHR_depth_stencil_resolve : extension revision 1 VK_KHR_descriptor_update_template : extension revision 1 VK_KHR_device_group : extension revision 1 VK_KHR_draw_indirect_count : extension revision 1 VK_KHR_driver_properties : extension revision 1 VK_KHR_external_fence : extension revision 1 VK_KHR_external_fence_fd : extension revision 1 VK_KHR_external_memory : extension revision 1 VK_KHR_external_memory_fd : extension revision 1 VK_KHR_external_semaphore : extension revision 1 VK_KHR_external_semaphore_fd : extension revision 1 VK_KHR_get_memory_requirements2 : extension revision 1 VK_KHR_image_format_list : extension revision 1 VK_KHR_imageless_framebuffer : extension revision 1 VK_KHR_incremental_present : extension revision 1 VK_KHR_maintenance1 : extension revision 1 VK_KHR_maintenance2 : extension revision 1 VK_KHR_maintenance3 : extension revision 1 VK_KHR_multiview : extension revision 1 VK_KHR_performance_query : extension revision 1 VK_KHR_pipeline_executable_properties : extension revision 1 VK_KHR_push_descriptor : extension revision 1 VK_KHR_relaxed_block_layout : extension revision 1 VK_KHR_sampler_mirror_clamp_to_edge : extension revision 1 VK_KHR_sampler_ycbcr_conversion : extension revision 1 VK_KHR_separate_depth_stencil_layouts : extension revision 1 VK_KHR_shader_atomic_int64 : extension revision 1 VK_KHR_shader_clock : extension revision 1 VK_KHR_shader_draw_parameters : extension revision 1 VK_KHR_shader_float16_int8 : extension revision 1 VK_KHR_shader_float_controls : extension revision 1 VK_KHR_shader_non_semantic_info : extension revision 1 VK_KHR_shader_subgroup_extended_types : extension revision 1 VK_KHR_spirv_1_4 : extension revision 1 VK_KHR_storage_buffer_storage_class : extension revision 1 VK_KHR_swapchain : extension revision 70 VK_KHR_swapchain_mutable_format : extension revision 1 VK_KHR_timeline_semaphore : extension revision 1 VK_KHR_uniform_buffer_standard_layout : extension revision 1 VK_KHR_variable_pointers : extension revision 1 VK_KHR_vulkan_memory_model : extension revision 3 VK_NV_compute_shader_derivatives : extension revision 1 VkQueueFamilyProperties: ======================== queueProperties[0]: ------------------- minImageTransferGranularity = (1,1,1) queueCount = 1 queueFlags = QUEUE_GRAPHICS | QUEUE_COMPUTE | QUEUE_TRANSFER timestampValidBits = 36 present support = true VkPhysicalDeviceMemoryProperties: ================================= memoryHeaps: count = 1 memoryHeaps[0]: size = 12496195584 (0x2e8d4d000) (11.64 GiB) budget = 12495880192 (0x2e8d00000) (11.64 GiB) usage = 0 (0x00000000) (0.00 B) flags: count = 1 MEMORY_HEAP_DEVICE_LOCAL_BIT memoryTypes: count = 1 memoryTypes[0]: heapIndex = 0 propertyFlags = 0x000f: count = 4 MEMORY_PROPERTY_DEVICE_LOCAL_BIT MEMORY_PROPERTY_HOST_VISIBLE_BIT MEMORY_PROPERTY_HOST_COHERENT_BIT MEMORY_PROPERTY_HOST_CACHED_BIT usable for: IMAGE_TILING_OPTIMAL: color images FORMAT_D16_UNORM FORMAT_X8_D24_UNORM_PACK32 FORMAT_D32_SFLOAT FORMAT_S8_UINT FORMAT_D24_UNORM_S8_UINT FORMAT_D32_SFLOAT_S8_UINT (non-sparse) IMAGE_TILING_LINEAR: color images (non-sparse) VkPhysicalDeviceFeatures: ========================= robustBufferAccess = true fullDrawIndexUint32 = true imageCubeArray = true independentBlend = true geometryShader = true tessellationShader = true sampleRateShading = true dualSrcBlend = true logicOp = true multiDrawIndirect = true drawIndirectFirstInstance = true depthClamp = true depthBiasClamp = true fillModeNonSolid = true depthBounds = false wideLines = true largePoints = true alphaToOne = true multiViewport = true samplerAnisotropy = true textureCompressionETC2 = true textureCompressionASTC_LDR = true textureCompressionBC = true occlusionQueryPrecise = true pipelineStatisticsQuery = true vertexPipelineStoresAndAtomics = true fragmentStoresAndAtomics = true shaderTessellationAndGeometryPointSize = true shaderImageGatherExtended = true shaderStorageImageExtendedFormats = true shaderStorageImageMultisample = false shaderStorageImageReadWithoutFormat = false shaderStorageImageWriteWithoutFormat = true shaderUniformBufferArrayDynamicIndexing = true shaderSampledImageArrayDynamicIndexing = true shaderStorageBufferArrayDynamicIndexing = true shaderStorageImageArrayDynamicIndexing = true shaderClipDistance = true shaderCullDistance = true shaderFloat64 = true shaderInt64 = true shaderInt16 = true shaderResourceResidency = false shaderResourceMinLod = true sparseBinding = false sparseResidencyBuffer = false sparseResidencyImage2D = false sparseResidencyImage3D = false sparseResidency2Samples = false sparseResidency4Samples = false sparseResidency8Samples = false sparseResidency16Samples = false sparseResidencyAliased = false variableMultisampleRate = true inheritedQueries = true VkPhysicalDevice16BitStorageFeatures: ------------------------------------- storageBuffer16BitAccess = true uniformAndStorageBuffer16BitAccess = true storagePushConstant16 = true storageInputOutput16 = false VkPhysicalDevice8BitStorageFeatures: ------------------------------------ storageBuffer8BitAccess = true uniformAndStorageBuffer8BitAccess = true storagePushConstant8 = true VkPhysicalDeviceBufferDeviceAddressFeatures: -------------------------------------------- bufferDeviceAddress = true bufferDeviceAddressCaptureReplay = true bufferDeviceAddressMultiDevice = false VkPhysicalDeviceBufferDeviceAddressFeaturesEXT: ----------------------------------------------- bufferDeviceAddress = true bufferDeviceAddressCaptureReplay = false bufferDeviceAddressMultiDevice = false VkPhysicalDeviceConditionalRenderingFeaturesEXT: ------------------------------------------------ conditionalRendering = true inheritedConditionalRendering = true VkPhysicalDeviceCustomBorderColorFeaturesEXT: --------------------------------------------- customBorderColors = true customBorderColorWithoutFormat = true VkPhysicalDeviceDepthClipEnableFeaturesEXT: ------------------------------------------- depthClipEnable = true VkPhysicalDeviceDescriptorIndexingFeatures: ------------------------------------------- shaderInputAttachmentArrayDynamicIndexing = false shaderUniformTexelBufferArrayDynamicIndexing = true shaderStorageTexelBufferArrayDynamicIndexing = true shaderUniformBufferArrayNonUniformIndexing = false shaderSampledImageArrayNonUniformIndexing = true shaderStorageBufferArrayNonUniformIndexing = true shaderStorageImageArrayNonUniformIndexing = true shaderInputAttachmentArrayNonUniformIndexing = false shaderUniformTexelBufferArrayNonUniformIndexing = true shaderStorageTexelBufferArrayNonUniformIndexing = true descriptorBindingUniformBufferUpdateAfterBind = false descriptorBindingSampledImageUpdateAfterBind = true descriptorBindingStorageImageUpdateAfterBind = true descriptorBindingStorageBufferUpdateAfterBind = true descriptorBindingUniformTexelBufferUpdateAfterBind = true descriptorBindingStorageTexelBufferUpdateAfterBind = true descriptorBindingUpdateUnusedWhilePending = true descriptorBindingPartiallyBound = true descriptorBindingVariableDescriptorCount = false runtimeDescriptorArray = true VkPhysicalDeviceExtendedDynamicStateFeaturesEXT: ------------------------------------------------ extendedDynamicState = true VkPhysicalDeviceFragmentShaderInterlockFeaturesEXT: --------------------------------------------------- fragmentShaderSampleInterlock = true fragmentShaderPixelInterlock = true fragmentShaderShadingRateInterlock = false VkPhysicalDeviceHostQueryResetFeatures: --------------------------------------- hostQueryReset = true VkPhysicalDeviceImageRobustnessFeaturesEXT: ------------------------------------------- robustImageAccess = true VkPhysicalDeviceImagelessFramebufferFeatures: --------------------------------------------- imagelessFramebuffer = true VkPhysicalDeviceIndexTypeUint8FeaturesEXT: ------------------------------------------ indexTypeUint8 = true VkPhysicalDeviceInlineUniformBlockFeaturesEXT: ---------------------------------------------- inlineUniformBlock = true descriptorBindingInlineUniformBlockUpdateAfterBind = true VkPhysicalDeviceLineRasterizationFeaturesEXT: --------------------------------------------- rectangularLines = true bresenhamLines = true smoothLines = true stippledRectangularLines = false stippledBresenhamLines = true stippledSmoothLines = false VkPhysicalDeviceMultiviewFeatures: ---------------------------------- multiview = true multiviewGeometryShader = true multiviewTessellationShader = true VkPhysicalDevicePerformanceQueryFeaturesKHR: -------------------------------------------- performanceCounterQueryPools = true performanceCounterMultipleQueryPools = false VkPhysicalDevicePipelineCreationCacheControlFeaturesEXT: -------------------------------------------------------- pipelineCreationCacheControl = true VkPhysicalDevicePipelineExecutablePropertiesFeaturesKHR: -------------------------------------------------------- pipelineExecutableInfo = true VkPhysicalDevicePrivateDataFeaturesEXT: --------------------------------------- privateData = true VkPhysicalDeviceProtectedMemoryFeatures: ---------------------------------------- protectedMemory = false VkPhysicalDeviceRobustness2FeaturesEXT: --------------------------------------- robustBufferAccess2 = true robustImageAccess2 = true nullDescriptor = true VkPhysicalDeviceSamplerYcbcrConversionFeatures: ----------------------------------------------- samplerYcbcrConversion = true VkPhysicalDeviceScalarBlockLayoutFeatures: ------------------------------------------ scalarBlockLayout = true VkPhysicalDeviceSeparateDepthStencilLayoutsFeatures: ---------------------------------------------------- separateDepthStencilLayouts = true VkPhysicalDeviceShaderAtomicFloatFeaturesEXT: --------------------------------------------- shaderBufferFloat32Atomics = true shaderBufferFloat32AtomicAdd = false shaderBufferFloat64Atomics = false shaderBufferFloat64AtomicAdd = false shaderSharedFloat32Atomics = true shaderSharedFloat32AtomicAdd = false shaderSharedFloat64Atomics = false shaderSharedFloat64AtomicAdd = false shaderImageFloat32Atomics = true shaderImageFloat32AtomicAdd = false sparseImageFloat32Atomics = false sparseImageFloat32AtomicAdd = false VkPhysicalDeviceShaderAtomicInt64Features: ------------------------------------------ shaderBufferInt64Atomics = true shaderSharedInt64Atomics = false VkPhysicalDeviceShaderClockFeaturesKHR: --------------------------------------- shaderSubgroupClock = true shaderDeviceClock = false VkPhysicalDeviceShaderDemoteToHelperInvocationFeaturesEXT: ---------------------------------------------------------- shaderDemoteToHelperInvocation = true VkPhysicalDeviceShaderDrawParametersFeatures: --------------------------------------------- shaderDrawParameters = true VkPhysicalDeviceShaderFloat16Int8Features: ------------------------------------------ shaderFloat16 = true shaderInt8 = true VkPhysicalDeviceShaderSubgroupExtendedTypesFeatures: ---------------------------------------------------- shaderSubgroupExtendedTypes = true VkPhysicalDeviceSubgroupSizeControlFeaturesEXT: ----------------------------------------------- subgroupSizeControl = true computeFullSubgroups = true VkPhysicalDeviceTexelBufferAlignmentFeaturesEXT: ------------------------------------------------ texelBufferAlignment = true VkPhysicalDeviceTimelineSemaphoreFeatures: ------------------------------------------ timelineSemaphore = true VkPhysicalDeviceTransformFeedbackFeaturesEXT: --------------------------------------------- transformFeedback = true geometryStreams = true VkPhysicalDeviceUniformBufferStandardLayoutFeatures: ---------------------------------------------------- uniformBufferStandardLayout = true VkPhysicalDeviceVariablePointersFeatures: ----------------------------------------- variablePointersStorageBuffer = true variablePointers = true VkPhysicalDeviceVertexAttributeDivisorFeaturesEXT: -------------------------------------------------- vertexAttributeInstanceRateDivisor = true vertexAttributeInstanceRateZeroDivisor = true VkPhysicalDeviceVulkan11Features: --------------------------------- storageBuffer16BitAccess = true uniformAndStorageBuffer16BitAccess = true storagePushConstant16 = true storageInputOutput16 = false multiview = true multiviewGeometryShader = true multiviewTessellationShader = true variablePointersStorageBuffer = true variablePointers = true protectedMemory = false samplerYcbcrConversion = true shaderDrawParameters = true VkPhysicalDeviceVulkan12Features: --------------------------------- samplerMirrorClampToEdge = true drawIndirectCount = true storageBuffer8BitAccess = true uniformAndStorageBuffer8BitAccess = true storagePushConstant8 = true shaderBufferInt64Atomics = true shaderSharedInt64Atomics = false shaderFloat16 = true shaderInt8 = true descriptorIndexing = true shaderInputAttachmentArrayDynamicIndexing = false shaderUniformTexelBufferArrayDynamicIndexing = true shaderStorageTexelBufferArrayDynamicIndexing = true shaderUniformBufferArrayNonUniformIndexing = false shaderSampledImageArrayNonUniformIndexing = true shaderStorageBufferArrayNonUniformIndexing = true shaderStorageImageArrayNonUniformIndexing = true shaderInputAttachmentArrayNonUniformIndexing = false shaderUniformTexelBufferArrayNonUniformIndexing = true shaderStorageTexelBufferArrayNonUniformIndexing = true descriptorBindingUniformBufferUpdateAfterBind = false descriptorBindingSampledImageUpdateAfterBind = true descriptorBindingStorageImageUpdateAfterBind = true descriptorBindingStorageBufferUpdateAfterBind = true descriptorBindingUniformTexelBufferUpdateAfterBind = true descriptorBindingStorageTexelBufferUpdateAfterBind = true descriptorBindingUpdateUnusedWhilePending = true descriptorBindingPartiallyBound = true descriptorBindingVariableDescriptorCount = false runtimeDescriptorArray = true samplerFilterMinmax = true scalarBlockLayout = true imagelessFramebuffer = true uniformBufferStandardLayout = true shaderSubgroupExtendedTypes = true separateDepthStencilLayouts = true hostQueryReset = true timelineSemaphore = true bufferDeviceAddress = true bufferDeviceAddressCaptureReplay = true bufferDeviceAddressMultiDevice = false vulkanMemoryModel = true vulkanMemoryModelDeviceScope = true vulkanMemoryModelAvailabilityVisibilityChains = true shaderOutputViewportIndex = true shaderOutputLayer = true subgroupBroadcastDynamicId = true VkPhysicalDeviceVulkanMemoryModelFeatures: ------------------------------------------ vulkanMemoryModel = true vulkanMemoryModelDeviceScope = true vulkanMemoryModelAvailabilityVisibilityChains = true VkPhysicalDeviceYcbcrImageArraysFeaturesEXT: -------------------------------------------- ycbcrImageArrays = true ____________________________________________ /usr/bin/nvidia-smi --query ==============NVSMI LOG============== Timestamp : Sat Nov 21 21:11:54 2020 Driver Version : 455.45.01 CUDA Version : 11.1 Attached GPUs : 1 GPU 00000000:01:00.0 Product Name : GeForce GTX 1050 Ti Product Brand : GeForce Display Mode : Disabled Display Active : Disabled Persistence Mode : Disabled MIG Mode Current : N/A Pending : N/A Accounting Mode : Disabled Accounting Mode Buffer Size : 4000 Driver Model Current : N/A Pending : N/A Serial Number : N/A GPU UUID : GPU-e0cafdc4-5715-d16d-60c1-415fca499790 Minor Number : 0 VBIOS Version : 86.07.3C.00.65 MultiGPU Board : No Board ID : 0x100 GPU Part Number : N/A Inforom Version Image Version : N/A OEM Object : N/A ECC Object : N/A Power Management Object : N/A GPU Operation Mode Current : N/A Pending : N/A GPU Virtualization Mode Virtualization Mode : None Host VGPU Mode : N/A IBMNPU Relaxed Ordering Mode : N/A PCI Bus : 0x01 Device : 0x00 Domain : 0x0000 Device Id : 0x1C8C10DE Bus Id : 00000000:01:00.0 Sub System Id : 0x19701043 GPU Link Info PCIe Generation Max : 3 Current : 3 Link Width Max : 16x Current : 8x Bridge Chip Type : N/A Firmware : N/A Replays Since Reset : 0 Replay Number Rollovers : 0 Tx Throughput : 0 KB/s Rx Throughput : 0 KB/s Fan Speed : N/A Performance State : P0 Clocks Throttle Reasons Idle : Not Active Applications Clocks Setting : Not Active SW Power Cap : Not Active HW Slowdown : Not Active HW Thermal Slowdown : Not Active HW Power Brake Slowdown : Not Active Sync Boost : Not Active SW Thermal Slowdown : Not Active Display Clock Setting : Not Active FB Memory Usage Total : 4042 MiB Used : 9 MiB Free : 4033 MiB BAR1 Memory Usage Total : 256 MiB Used : 2 MiB Free : 254 MiB Compute Mode : Default Utilization Gpu : 1 % Memory : 0 % Encoder : 0 % Decoder : 0 % Encoder Stats Active Sessions : 0 Average FPS : 0 Average Latency : 0 FBC Stats Active Sessions : 0 Average FPS : 0 Average Latency : 0 Ecc Mode Current : N/A Pending : N/A ECC Errors Volatile Single Bit Device Memory : N/A Register File : N/A L1 Cache : N/A L2 Cache : N/A Texture Memory : N/A Texture Shared : N/A CBU : N/A Total : N/A Double Bit Device Memory : N/A Register File : N/A L1 Cache : N/A L2 Cache : N/A Texture Memory : N/A Texture Shared : N/A CBU : N/A Total : N/A Aggregate Single Bit Device Memory : N/A Register File : N/A L1 Cache : N/A L2 Cache : N/A Texture Memory : N/A Texture Shared : N/A CBU : N/A Total : N/A Double Bit Device Memory : N/A Register File : N/A L1 Cache : N/A L2 Cache : N/A Texture Memory : N/A Texture Shared : N/A CBU : N/A Total : N/A Retired Pages Single Bit ECC : N/A Double Bit ECC : N/A Pending Page Blacklist : N/A Remapped Rows : N/A Temperature GPU Current Temp : 40 C GPU Shutdown Temp : 102 C GPU Slowdown Temp : 97 C GPU Max Operating Temp : 94 C Memory Current Temp : N/A Memory Max Operating Temp : N/A Power Readings Power Management : N/A Power Draw : N/A Power Limit : N/A Default Power Limit : N/A Enforced Power Limit : N/A Min Power Limit : N/A Max Power Limit : N/A Clocks Graphics : 1493 MHz SM : 1493 MHz Memory : 3504 MHz Video : 1341 MHz Applications Clocks Graphics : N/A Memory : N/A Default Applications Clocks Graphics : N/A Memory : N/A Max Clocks Graphics : 1911 MHz SM : 1911 MHz Memory : 3504 MHz Video : 1708 MHz Max Customer Boost Clocks Graphics : N/A Clock Policy Auto Boost : N/A Auto Boost Default : N/A Processes GPU instance ID : N/A Compute instance ID : N/A Process ID : 1706 Type : G Name : /usr/libexec/Xorg Used GPU Memory : 4 MiB GPU instance ID : N/A Compute instance ID : N/A Process ID : 3750 Type : G Name : /usr/libexec/Xorg Used GPU Memory : 4 MiB /usr/bin/nvidia-smi --query --unit ==============NVSMI LOG============== Timestamp : Sat Nov 21 21:11:54 2020 Driver Version : 455.45.01 CUDA Version : 11.1 HIC Info : N/A Attached Units : 0 ____________________________________________ base64 "nvidia-nvml-temp5692.log" 40LPiFei+Wa2K9yzWXYyZ7erQoCP442jUg3zsj3Fk+VpMwExj0FBO+SFGAi0jyt9wwyeEXCtDjWU jRwxJAQaXRHoae0/MOdI3+RzIB9GVcH2HlduPL7t9vxQER2UlY8kq1DVKu0mn05Bo8raxRGuttTL DKcSD145HYuPb/7rWHlW5gICGid9pBPrZU7XPcCBADrrAkxilGmmvkp3GFsLqp4hKJIVrSWmVmX3 b2EYj/LGnhA2dSZ+ozuv92bkorFdXjWuUmJWS+J0A6PAgS1O/U17IdaEibrBV0suzFVZmiY0jR2p z5dyLjGRp84sQvY3q/sXNJ/USz7acVm13hOerTaRbB/wbdg/fCgkU7qWjqovoBki4cowYrUOEZhI NoRAmOnM2WT5vCjwWzasQMZkvT5u1AqT1UyLPApeZfx9g4tjgIWKYMj6qxIBcNwHTQRsQM+421xH Gtizf4fYuUzync9SRCBnSQsd39ysjMov3v4UeJWjGJ9zpQlIKChyxs+eBtZIo3hfN/xkMPO18+RQ ED87Ptw5X0xAqqSjOhL6Rret0AhEvCmte4wAbNM8LhHN+sHbzeml6oBl4HtwJnCSCjqaqRYs77TA AdidjpFlTkmvTpuUexQj91HMNztlJLwBHBXNywAhAG6iq4MN1rnnnPvIZqYk0LYeUnvZiEFRfc6I 7Fb+TKJk8HNxr0IgrjZ5nRSGRzf+BafqPFYOMgieOD5R29rmtEpaMQTRM9/2u64yq/8hhK31PbNY wxkgKIhOmlMyy+j3t1PJqkbc9H1QokUfTODZSrG4fz2gh4Y+qJhpCXtMWQEHE4zoOenD4lP2kQkR 7m/aqA90tmZQ16/AluGPepQ3YD3X/EoQnoFElyR80wqNO9YmLWx58ugG8mJd0OtejwZnUenaAk0p QsyZFsD98PNMh0Sqs2Q7L+X1KY5Vr40soJuzttZvC2b78xh5lwD8kaRx1xMSvdIxy4MhSNzP5+tz 3ffo7573uhuS8CE2NteKm4SaCVmNTM4De+KVzzePztLf4pPpCDcragK6jA8TFzSGX1YecNRNJDAK 1E3PQW/Sm36TdbJ6zD4RwktrHD8w8hPHppOV9QTO4UZNEinpXIJ1HubmmZ3akZrwT/XzMlNjJJeT gcj9sFqkKDNEYBcrzDN/GRMVBTuJEbkexMD1HVOrNUjq8SEALGL3N0A7urVNxGK/L8eECPkU58Hm YKvWOyLxb1o8ox916AltZhx887E6U1Z5YC27HOMYitFJQbFogLEDtvo0Zr2lZUkmin3Hl0VjNYn0 OUVfxNrVRRsBcVLLoBaqUzzZ59nyYgWM/QVMadA1spWGNaQdfH/fHZ7nqt4Rg+kBbwI+s3drA24a rbMwfF2UxZlnyGAWL/77aWltGrJHWBzBL4WZR+Gyw6KfQFgTopknhe+37MvPknPV+F7x8BLOIYmx bE1bU5qCtW5gGAVrgicHH4T+ua86FxO9cpTFAFPYq3gVSQGXdSk96oqiQCUMWSXeeIpIC/mrgQtq Nb8w2sxiepel5bPyKtuIY8dNO7hST64A21z9RJltaYPRZN5HRIZlOlnrlZxMOvg3zV/vneDW1Q6R TUGdgNmcd1kdpD4tsgiHUgHFM5z2BArJeehfi43fX3O8MTuZ6/gcDf3iQIznBpT1aI9GZR0lJssu dV+lkIImaaXXzgVY1kDOdAcOLHj8GDpECZ1bKYOD1jcCbNuQEK8UptP5QSZK6dEHONtSoGk6PYjf Iq4wy7VMvKMODIv3/ZhHObK8GuNVeO5nLBdWWjHlwybKOUevSZBRgfc1d8AHFgB6KjoCOjnkd3TS VQreZvkEt//KgSmV6Uh/AA39PQxyhzRVfpUezoeA9fh6yd4KtlYEtnP7xgtZiDa98U6snLvaU/FB f71SAB9vzkCKWo+zxww5FChGunEQW6PdYJEK4Vbw5wJMCnSuy7jX4xTkZ0EL+2BNhGKW0yzVOYvm Q512ihrt2poqVovkbZt0KzYMFkqFg5EeN2dxk4UV91OElMKA0ltCLBjq+IvmdxMnomJgzr5tQCAk IfF9gpS9Cb3BCIOwU1MAJbZLC5O/K6NvpVEG8FJ/PFhobqkK0xVoOx8e8vAYR4RDQGX5LDC8zdwJ Wx3ZXNbFDj1YOoC0iU4G9lKd65/iRdJAPi7LmqUbPPAPlJrF2zpPWQ7aLvvA+KQDVJigBb4hcPHI /oCPCX5k2tWjqnyNqextss7AI0JLJPK7ytoxBEXYEmLZsGPfLiENUUzGHV03UmvlsCe/IjZVlwBv lKXrTn2oJRWWh51s1B3giwEyKRQRcFGNzvZ3C0lxQfmELeF05r18NvFeglwwGuhuHVkDuUrgf0AY 866hDQwjK19mNXLnQVfmQCxYVkb+dOx3QEZoOWMb/7g0AhjIM/UgPpVSBWO5ib9A2obFrggTY5z7 Oq6odiYQdmBhs8/eZVsuH+GH61er/IVlxuLjNx1x9XvTtBM3XDspnJeigaUi0CnebUE89uPL0W+f m56MM9X4Xy637gD6YuT3gvvvCbmlYg68BvtA2DlnZQBqwMLUTFgLUM03TnBp25JWly/8KzEpql3h uQ1H4SDSkR8IoE+rfzPuJNxJ8XBn2BuES1N5+aE6rvEAngW6ogPWE1PF/fuqlggUU3JK/8UxktIc E3olnFCBehWubTbhTe6dgirLqB/UyGHx04IzY4TYxr6feTRsh6jHmhsjJiJSnar9PlHllc5frVNt 7fAwLhB2RPAAXS/m3NpsIkxx6OrivT8dCzE4gjxWhd4/q70o5aVXyLzTbn7jdkxT+EXJ67FjlUrh AoCKKlHWlp/ypvMvmA1im/u/6D/9/OmZ+bPP3s5SySLnk9wnCPEaTJvbU2cb6TG8ufsnKkpJRAIE Rt6SiezJ2+OkFr8/5jsigWenb1+VgvyZk5TO+hMPvlDToxW52FsDn0+0xxHYNmk0BfFGhxBO83VU M6s15WxO9jw/izaE03Q33VAJbwr2Ogj/9zzXx/2XuUhGP9kaBHoo252WF06IbWzv6FqiZyJOdJYa K4acGJWQNpoO/Y8HSxodPRshJUr0bbjKO/6PuffS0tTdTg1sdCB5CCJfaCAEhdjMMLWWrmCrzECG Kz19P5fO7E8kv9jOQosdGKsILfqOUvMHAD6czSSmL9LRxQyD33Eu6/5cL5ZC4OZvisBiEzWFTJQj 5JS2/VHny5Mzbu7MfoNt1MdOpulBDGlL8IVXaXdSLWni0Xxl0egAZBf62wziEy//T2kXyl3JOZ3+ Ao/9FmEjnIbbjbGPVeJSwVQ7Z9MirFgMaObR4GBDSZFjDCFZ2rO72FL72kK1du512hyIjqAb6QRJ El5OkAzZ4xZ9wUzllbkn4u+bW4DfdEUjY4vv+SkArTXYsWBnx0UczA95dF4nk4zyx6uEdY5MAdlJ UoLxz96OCjYvL4hIwVvBNa6h8uars8SeoPKtgEeBSdUmZjNWC/eJB/SY05GDJF5+qPmQFvDM0C5k t4SGAJTRlmtwE6G4X4c6DiABdHsSl33k6jp0oCXtbUC45Pbi+ZrZsEjjIKm5gDDSSueZK0RSM6K/ JZ7UOOUaQQSq02Lgqac3YHPZP50XzHVsdQHUzLR5g2mZGwOL5DXMT5WEFLc9mSnonUeIZtlFr365 Rft9IXsz/euhB6XjMzwwu2i0BC58GXKrmXNz9yIKQGWvOjwYNnXtAWMcmkMdPhTuTX7wB9qgUoVw 63GjXuIsqPqOeTasM74XG8/KxmRBvYi6B7d47k9u7cPDgWdRefJcgmT0hZlb7mjpScfCASmZ/7f0 /sdOLfsOm2Qo3xhH4jPJjKlJ4Pjw7e/9BQXdwEtJUG92wlORe5GYLmpouSZtbNqQ50xgJ20BvW5N PK2lgrkdsv5S5KHZdN7CMOuMTqoENv/+ULS0hu0UqLkwjMjLbkgnZ8lzfbnKYrji6vfi+kdTncjK Bd0g7UJ7tqTNGMv9e4ncTiJ8psYFdV2yiESB/RthguZ8sCM1KH/2Ly4MS81EHnXm6S5BVbjRUtlB 6SnpTJSOi/WjePbJ37OlBiNnX6EAfy6oNQqxbF8A/gf5OeCSrFhlOeYKr0QtRZE+D/dlsikJnSLu /1JmpxaSmDmr6OSCSZugBzjDFtfXILwJn/16m0XM0Rrxr6iKcyIjHNFR17inuOiIfWXF1jSQJU3o z2dPSRdwIMxfQMgpDgslwaB/ItoXpZUCv8UV2ZtxPzHI7EXYfsP+Td7ZY7xaCnk0OdcOn6aGwdqJ GiJqmU5ZAsNercrmjxfNL2PDUFbRc3x1CqjI0ntR6NUi1pzsIrTe6vUQbep7HJK6E+ZFpp/PIG34 x8Tf0G7iAy934vl/cPHNEqnruv49lYfxJpoWWJD63kij87P9dHcLM2JcfZxeVeFyaVuiBUzQysJ3 q6kawR8ROmVHyELSk/tCgsIYqL7QqrJQSLk94Okf7YQSDJSqaC3lY6PMhLATdOaRpX1YprJbbA7+ 7rjBDKbkrz3mtWp+pbRMA2p6kv5dXu/f0L95/NOV3bj0/LqSF2pQiBZwPwxyAcWRKOa3+TMuRNMI FThS+c8YM8c67agZ+fxVKlN1BGi7ywX3Rf//1oZHYZrBcr7bh6RzIyzwnsCxO4FEPAKftxIv129N VL3E/oJcyGLOpBVxrO0NvGxWJUGwW14hwch3vSbu7BsH7mevOJA8G5Nq9aarEvt6qnvXoE3AaptP FGX983HUeSGVkq5eh9PbXT0/G+LZBXsiq02wZuSJY1T6akzyi+9fmSI84+IZHWEok4t6g7Mn/ONB 6dnOU7Im/5RpuPdYX1dzMYjlOJWUk+3MBINzTJJ59I1HNo8QU3LzyhQErkyfLSoSWoc/w9kOKE34 w7q2b7SsLoLktqCE+Po68JY7EHzCVHved6KqULbETto85AyFO6u9J5xMph/Ng7qSZEXaw0HR+AV5 iv2PIU3zvWpurBqr4lBkLPOOpqyO/OyQt0w0+/OO3eMphli2X8Qp59YeF17lJS2CVj3NySRhngAp 2VONaOGAiGZA0JLKGlxMwkGasEc6Ah3Yku1WVWErPOT3SuXFPlqfLMtn8FfskvK34Z451m1ddNib +4dBBEKPOuJeU+zx+leTP/Zn4onxV+Uq1TVOHlL+OwyDVswiHJbxCmC7C1AzWkerXZ3TsU0WiVHf vqfAc+GG2EQbCqymItZRJnNJDZGb10lbgTlUYyjoQn+Id7h/w4TkdcWn5J4b3gvum+7t4t66LjAc tQBXgANGDIJSr5ZLJK2lM52XQNoZ7TYY9YiM9ygsm4UbGDqJFPKIaO1FEg5wuL1hm4C1l9+lV9GB y0qGSAwXsJcmnFZHAsjsd6F7i+eGa7/+DwHxyI8gkViVydmTrS7pcvGPBaztgKPtYQ2CfjY1VeNy NGE5VrZQ3NUi3E8fZPCV9L/whic95siUAyzfCyGRDadkvIzZfcyhypS1NrsRz0jOUjoX6b5mfF6G bNwJCDU9MduDNQbR4chnUmXoTHiBr3C19X/366Hv7R3lUshdASQ0sYtN3aO0os8sePD7uaNC4rzv O4d9x8DZj1TgaGAm8EIClw2xaG1PeWpt+4DSmGTPZqNu27E3uHdmTvPsFBFaAjMM8ARleeoqBELh BF0Xh/E5MmUj3rsdOPA1ve83sAY4Qu4hQLpuXva364yTaMFWBsebfDHC01zzc4fCoPJw8+/iQfCf HCo7XP9NNA2VPXPyZGj2w6upScX9ndyLOkkTCBVRaQaufSqeTml1kYMMUbWBEpbL2fZYgmWs8M3/ d5KbEKYhGEJC1InZlDpz+0FUZNiiFhLnb72BsKIEzEeRwPqYr1bEQq8hLzc0q3imHtKXVkgwnGph SgmjAMte6Wl19xI2WZkKeuVqL4Hjlj29q1gbhTil/KdvKxf4jBwaTFotyYSDutXC4jB5xwYP8BH/ S2dTCzFa6udZB/Gq+K+4i9O+6AtroAP4SQ1gYBcB1YNj0cTBMVRAdcL5Jv8v0vDmLLJKjMcCsHC5 qrdA0Y2OxAhIRtiKtWgqVCD8AZCSDSqgdHFaRBc1828AN01aLsBDxMxSPZiKCmJKUm6ToEHFXuo8 uR6JNKZc03dBc+4j0mI1Yk+43mlhKNm/WEPWe5bw9GQabLQ9qM6YnTRUdBrsJeWDTuM/3wQuM/yj BKlEe3iSbfEUnokY/pieN/RCblzL6apCIw3D5rtwTM/yYGk0StmW+H39pnEyiwDcMaliV9ctUZj/ brjPHrizzkv/GquB6CgYBQ760pW4gjrsy1ixdXv+KQijkxabSNIAl1m4nQTVxU17QxCu3o1fPb3z tomesnlx5TQWG5OdopBewc5PtTRG0mlfiLQ90WyU6I5y2CtneT19OqItqeeovs1muYUGq8hwVQMh fQpRC9ujAyxEiK47Fe1FvUgK16wjZVxgpgDsiC8vhJwnaTFsTBqXrFzLcm9KcjzGYCC376s8QEx4 8D/oGjdiwfj3SK4C+hCoe2dfZO2eScAgCYFQvl8tYALHWbZiMY5g7pRHJptjoP6S4hYEM/bftZ/S qyqCWz+DvJqisCI7dQxLxeIxR4uLXQtOzxe3s8jTQemBri7i7QI67I8v8VpmQSnGCZJuDSVAB8+L ool3wE4UMkLjGNDs6F0A9tZqJxCHyN0j2k3Ds3M2CE5WIbRpDgfwkjRGTiNwukyCsoXy8esnKETW qvNEZz12zZPnKfe43FzCtvMPm1eLEIhziKMLQv6lODSiB7Zr6SaQjMPVusqLaqTURHUTVk+XQUow 3k+aaJCno+R1DU2zY6im4OX2TH+9Sz9cfjRf/533GpbFeM/Fs0XpVGBHIzgOsmS6TZVqMRt0wS5X Xfg0j8ybqDYYSWNXsiCCqiEUJ67JGKh4XNY9PY4N95sVxHgIwxIUK+OoXuE7S9DLT3a/R2MpxzJT 51ToGpfpElODpyzkc7ld1Pi+cdB1D/oiGYbqZwKx0B3oHPwBfSLbbQppi79Z9TwkeJuSDPZyn+Je OCGRUhghvz4WbrVd7AqfnkZdaXTTa4cBQvluJo6TzLqI0RQg/TeY3Lqum8oA6vOLgqCwt1s1tPr4 UgHEGiMdG6xqcjRcF8rzuB0rF0KrLe/Dvw2A7cs5SFOqMKKdQoyYMA4OwCLgkTa/4yk+D8yKGt9n 0Z1fkHTY0LZcGONC+VxedtEc73+HpemSEKPEy9vDpy6Np/DpTKiEFdYhk6UScJVmeRIQSH4f6CWQ Rn864EjgyY/wvfSvNSb69VV72JpehG0ZkkCeDcdPMyMsXiiKAi2Ss6jjrhNVgyQP0tosGqxUu13l I4GZi596vMSl8JuAy9dGQrx4mzzxTPDqkel/7eXYcRWsws+PG8fhXvU2b+VKbuvz5GUaswi3NUAv TsmRhrBzXTEto+JoJYw4Hbblrx1yEkvLwParmMsHTcDX3aXMRdrcXWOLPDXVvGkMbElvrmrnmX4K l5zHzunV6xucLl6LK3QtxcBTpz/iaaZdvtLW0ls9Qgw625PpWgjVKMLkdbjP9lbSmmYxroUWsDbi iWmlvQ1gEz2tnoCq8OppOgJlBN17xLnXWjTUOzt0HJk2g9n16aUBznrz8pwSWfvPfKLQWY5FFrYK aZEErXQV8sOdr2Rk4vzSK7BZdOd0BuWLlawc2hhJdUYsbdCYAgHWaI/nL+hwyzd2xBDUNeUkr1No c2xtSAtPXmAVLsPQRknelLQcqzbZd3UHg9NsjtxsqBF1weZ97KxEuvVGHBfuconfpGUnVmCQ8Iko MxzzidX9OGr8q+Ruf8k2N2eYoftaUPUui4mXcT/S2PBf6pOC5y6bNIoVSvoYYFTrLBFFLYiEXJjh UsFtnvXsBXAWeV0bydJxz1biNmxBvAv07vvFL5+UEcyUWsO7jUdTe/+wkNTAGKEEklHjXjlMrCcB ATkVaQsIEjV/GVsPrVcvBbP8b3qV3iuSBiyyhGA9hxq9Duv4JibM5vupGiHgmgNQs4Aine9JaiZ/ bD3nUsSy0GO9vPO6Y95eyWgv2mRQf4saRajW04fCCN/6rEWTtTX5aqP4QeXpKtQUjXUI65EC5N99 GP0Ri82kfaeRqB9AT321IAH88sAW1qh6pZGsztO5YsRYJH1oazVH+QXBv8y1wnpH/B5cMdYDB/YW 1XSQLZ1i28MeeqDic3rrkcY9yEmX6+rTCNG7xWQ+jYVy4Cn7svWZqTq+5E9yGvgaoTlg+F97iIjg 371rUASzExKsi2M66nrri0lDU/f2clPWNm+AVYn1LpqCWnqPgILDWTn1HZmxNntKyuH8/H1iDAUu vqN3qXVQOK1h3NRn0EuvoRy6xJHm5JD4nfFjbStciwe4N6VZmyOBHaK4Qz12J832kYpM5dCiJCBa r2QH5eNmzJuTfztJoNsWVpz3I086E7yEG5yPxf3QqMe7ezVNCL2CqO2abM3SnPF9DiUhacM8bAvj Lj62jULAAu2CGzK3A2+PofebX/zRZGnDGwJ5Jd5FfWhz8AnDQ5cOeuLl9+1W+a7p7x9bPKpFhOWG raeXirpGcp3CeerzLNveRd1EWcR2shWc3kqtxcNTawtEsPlOFSSj68Cj1SNciHZaOmpNqFXbjE2b AAkWFt7mMSAm4Jo04uLhab40lYjrMujnaZMpSWB1dCdhlnlb/blz/P34gHX4gZoDWENeJpOlbkhF AJJTtZRJ2/57SWm9FSGzHTjWd9ECFdbUlj3Hu5ho0iI2A4i/J/8bpbTVNuLVSSROY/IQQawT5MMs YqUo7ORFWPk+aKWJFNzwlbpAP7iPtyZm1xFr1cRto/ZOEfmwgpv/g3M2zJMXwXCBQMp2D5cR732s M7JEUxHHTMoKKbzfcVNZ0MSrijOiwGt3qegqD1Elx8FHgAj806l16IPKnkLILOdC8K8eC3WW3ck3 ON/1FyG7pjta9NJahqeHzLGLJJMKsa1AT4T0iRXy90rL/m2Icq//5kSXphaMFYku7xwKmLOfXjGJ dEDv1EMnBfqYh/NLv1ojiBx32H8x/s0GFvWqV6DlL2rswWLa1wL8E53RAprCegtbYAi/oqXESI+G ASrR3UJLynCciJx3me/sh18Umzz6Yz9R9V7Bui19yr7NqlKGUyRusf3fQ+B54z3oQjbA4SZOwrPY dwBwMzWcqbiDqHRnF2/9EDblniOua71eREyEbmdjvSUV2u7qxOVOMv9coD0xuUIXVdsdD2su0r/L /r3hNDSj1tLkpRCRmDlq8h70aZDCVz2D08jFjkUHsLoZCRsko//I2c4431qIYpNQkVOem/l3t9CB +9U5mlbIo/dA2Sss/kFUe2fmYX3MD/FMDDo4PSUSuh9uDX80wnLLtgv9DE6BiP8j1IZViHHO0xj4 7dxSp3LPTcbwJIsG2RObZiebKN8gEPwfHTufHndW+F8lL14JwVvB9A5ZDAqEr0XHwlydahp6+FoT UCz3To8kY8FD+4HM3NNaOpz3rK5kAh0IYFKcGCRcCPO+65AA9i/M2zuPqnQxCRKPVyfOMvbwt5Po uRwM379+/D9IHHr2EZm6GvD0ZjyG1rmNKso9IJhp+BKz/Rp1P3Vp8AcixqYNEgwMFkTgi7T7Xjb+ rVum2pt/52+vdM1MGw96cAq/cus11GBgrPJCDWmfpehwdJCOlFUyG6KquEGv5rL+nQ7QvlwJPoJY PzYunTe5SPp9acqQIkvBHUm+HiX3SDVhqoA1/nuOhwIBPDGTv5CI8hayMWHA0kZHlPaJZsjXyaDd eF93b9QgOfpieTvxxzk11GBLmDepZTHy9YwXD890xoimh8Y+rcHNakynCmaAgqvNAl5fSX2j3iMF xVKxusgStw+8ZxaqAQ3gQesyvuPHoldKQkUd5kTwpO8zZE3EFPxDkIknr3LtY1dQS0E2baTquSvh 1Cioir8tam+x63ygdqZdJ2dgWl3DP4SV7Dhupk+VQGm5wZwNbgTmXpdvdok3M3fwQd0zrohJAXhX Mxu1uUi64ZTmktFjvLDAAFOboN1WPJCmhH9x4KyHghfJvSO6sV3zJZU5oDDAvLFwRMnHpvPGr5DX LUj+K1bUNuYEbUlEUHXSrAv+rKh7nlt9qIvXXOQaHJRaTxGho3IJgWValcvOgwA4bqqvblCmFon4 S4303WAB9ZM3Z1gzSosGltMsTo4x2lA0bN3qcD1zUuUAVwRZxt7eNHnSt/hZXz/+iZ8z2Tsdo5sX XrOv5vwyanVB+a7SJvsajRfvQz3vGUxSIPhy+NT57nChbVzsKBseY+D660/1eEVLy52ceMOKrCjA j1jqgrD+MGTGHJF5FR9yK/q/QoCWpmQthK0Ov5i1MCES/ycPg4Z5Bqc2AjIvfO7UiMaPcmONE4gr IvzEPg4s9m5q99exUOVDdIJ9AjN5UfqQNz076ZVoodRiTMp0n43o9NriJcaiOvqvJ64QBcYaY2aP jJUJ2Ok9vJbPNdlcGpPt8UUiUzERNATntzxoVaRyrxTuc5QfiFoWO0DFNGGtoow4V/KLwdqjXbZk 1TLFZRrUq2JRMrk7bB+oZuOEXIaxwhuQvKy+j/fLKDJap+Rcoj1bmceMwDNoM746pUTCQGzoGO2c yh0WhvskNRpttWfVOdaFLm7JGplLv6JBb1DyMwFzsmkptV/8F8j6yp2cApaijkpq+WRzQ0reIseD XFDHX4FNCP3mVvvI8bs4jdxA+fwXkswhb3+s1MqDFHVcdPpJgZqc86GhXSkzSopJblk1ww+LBDMp KbuKxJPpCLnuM6TGXrxr08BY43Xk8PeskwR5iQldOTq9AbWiRWSFAYoT4QthT6FEOsImS4VF3PXo ckHZls43DgKwAlhx6SC5XBdPtsWbh5zqZHwdM8AbdGl+PDfFl/qfGQ0S2LqwA9Xe2jceQ/IffilB OGTLK3uhtYNG2pliQ5YhOQcuZT5YJi9N773PcTic0UIe/UIQ5YBNYbPprxBQvgslKreNYlfq8HVd FjI1GtFfk61IqPzHDimNBLsBSxHqMnMWxi+ZFmQZkH5EaZ8C7KwN6ZBjNPPrWl2Rgy6lff7l+x4u RUxnW0w5GUHtnezGOKeDKpOhZKZe64bDPUaoC+LW9c2ia/OMBJsESMkut9ZvB0LQWYQcsLhgfr1k nYiHiQr/FG7OGv3uFwduN3k8rA46bHj+cTlLT2VN+rr6/D4PwqKUsC/xxLJpiZ4taJtnM5jt9L5B u/3KPKEVaNjgP6DLCAS/RneGOPDkzfsHvNs+2QG2RR/kn9plxqyqF6/PD1qMPOd4VuR81d/ViRxx YllawBIpnKd1O8y5cttJ9+vdbGc6V/RZTreBEcuuWqAqY/ibFcnj81qNi4vuUB8IR2oVqo3d1u31 65K1Uhsd83XEwuxpO3MhW2zy/p5EuVPywlJtpbPCPtdwGA3UMpRufC6tkVyJ7g2/DLZYn2AkmrDX FEAVRxBfJZLK8jt6hnDOz224F1H7RHmnZV+edBBHExO6vW9ITRON1u03pXDJ1H8UoN0Orqpfaehw aZ6PYa3a232t9iWhc9VEr+3mGryTLmw3eZNt+0ExbiDCIuBIYsaCPSGH/7WWmXlJc6E4/kGsdmXd gFeUnZr/FXp4Xt6APS8lVhoAcMn4EgoGymzWTSdXDnSZjWtHuBtsS0r/cn7DcBJrVxPkus5keu03 mJo6WygbTxKC9CjxLSLRBrKYP99H5RrHWMS8srTjPUvdrFBNNdQU4Jvlhje2AROwAJIQN3kmgH6B PvQ2eeJt7sfrJtf7yEhdqohd/J6SR+Iy8XumJ9W65Eg3NLs9q987+59Gzp8c8hQmK4E6mGwRwVSb rKJlTneg2t5o6ki0fZKhUcdsTSyY4n3dJH0bNU/7rWRvdvitXc+Tlg09NVMTy5nULjDNnAW6NAZr +SRIJeD6cKpkKYBPwO4M28HWve4W7XcMGnPvx7XSy4Q1r+xPwkiH24N3y0knt9bNHw9D7vm33kly BP0A69irgotlWa0laWrrRrtIxrnR8/243oYrLXZS3JxWnUL+1zaPVAq7IV26FdtM/uCx6W1BTYEw genWOWN+QiZGQv5EZ0oG+D5/qqXf//zCrMSlkzGu0FHAAZgYLbMGiJtN/XnEmqUQD8eqbYwWKF9b SV2Y1NtntyBuS3vUtUvtGaSlIidxaZbGrSS5YiqRhQG6zlnyuerjZBDSdOj4OKuncc1a1VZcxzPN /bSXMCHMyc5d2Mc9/ax9YQCWBUUY/wVoC9863M/4boOOq6He5/Tds90XmLhviwnnpnVtqRjhsmhz r2IA+914khKBeWeJH0MNT//wKFtGUU1emDn0rtNALAdvWRtvpZVVIxjkuPTR6uhOz5JMqGVfUE2L s2lAlDx9XxLAv6ndN9WL7q+FAAXhUDcbQQ/VTKU63d3PGwh7qKOivxcRy2wdmEtC/BwMmr3x/Hey HWxKzpEHtkvNrbd4UJQ2tLv/j6RhDZ+4LgxNUdvG0wy3ElRBgFbIdlpgp2ww1ZzLUKLA2/tcJued dfbNVlGHHUP202Sln4AFGmwZIWWIZ7liHGadg4XwypuvSlYBgZ2T2LtuzhQaM3se/BSIo4waM3yX 0DF6lId2F1nTvLEL0A8KVKurGL14qVnXxIOSTJYkv3aZaS0q4mhNkyAXb2Fs4OoiPVTlX0AoRw/0 ypSRnwfGx7b0RP4PnUPwLPcq7OZaIocQSpwUU6Ar/3SoYaRCaXMHGJ5X7rvKHvy8Ue/n9rxNYjvP a3izsOmvQBKpMVSeH3TLZaEjbFWvh3jPipyq2JgE+wnoZig2vy5ITRGSSkfOt757tvfdXl7OvDU3 ICOkw5AHfdtgYcp0PjdGFzye12hRjza+W7JwPL6JMADnbgPs+RLIoenryzQCeG/yN0q5d50EIVyF Y/VQHxI7128MSklchIwXaUy3OIZEPs1pFyYEWLi29kvzFwvKwIX9S/ICGp49tiV54Cuoz4PSnFiU Q5tPi+9UY4dP1jfyhKZU7Wv9Iym2SICYaPnjDgEnRoFP0nIZWQDiVz7p3mKUsGDC7qt+3U/V0qWd XKrIGDRcUMY4cqFqdcqDqoC3tPneYcA7IpgpayWmqUBOUPbITYDMWkoSUQwZf2VCUEIwDgVyIHrd 2/4S6dr/nbwHe8Bn3rAZKCSp5dYjMJSvcOfk0yuG7NxVdl5nkxZ9rQCdoz5GxMakpS3d/xzoXS0K maNBIV9G7+jqxnblPGiI2Z3uUcwZFyb3Gz8xKHulBx8ttmW1zazzOcjh/K6NBEy+KuIRb+f7HUSo 4o7hfyKU+A4wQNU4aLVWi7blXowcHz06Si7tL2krHk2/Ydf+ALyg+7erPde7tkFi5NXwCDlmfjdG 7Ik6e1pXeUJiHs4naj/pY45r+bLdw1o0G0kzV382rwRW6Gv99YtW+Arqv5RqNeD4p/IYHxL1uLg7 2DLjspJ7+73iuix6/TN4qFUmbDzLTd44cGCXXLFSpDCAvdWohvIrWuAjcvQqkivb/u/fgv5JCC25 k9FCDhAVoz/ZRFno6YzfiphKN1vEJI7o4NhuCiNFn3MDw+9VHcsJuK0Px52Tqw9vmD8qKilpe2AT Z6ILUDi2U93GVleWuGP+8tKhXCPAVBRqiSE6ny7OUd6omlAqCqqbdMd8/TobdSG2/j+D2v0Vau8b Un4+gAeoDV2a8aymQUjvG8GLAQ3mSdePzGMum9D6LeJew0c4syBqaInEsavkULlnqksHAZWAtctX /HeqykntrtWLhG097Ji+zgeK0Bii+MHB9unN4UYzTtrIdryzqW0zUQtLrVunHJazn+050Tg03QkD 6isL8ADLOwN2KOSeGPhf9r/Wbx5EGvLDuBxYTwebdz9jsY5KO1OFPd+dhrqeT/K1OId7HWJ12xCs /TA83EDsV8zwtZu4Xi4Gi+oC+ur3bSj904TAnQ5Gs6XHmxBDNy3YNrayRgRQA1orOYykDJgmRRZV kNExGyaJAiw4Xy2B/eHKCiOM9EqqX1FpnMuSFT7kwSSkPoRPM3zfHzcEJhuVZjmFjz5N9aZNJ3EV 55fHAbRkU3ZiLCGxrA/tPhg/RL2JWS0Vsuwjz9IPl1cPhvIOIcafxjlxGNnUFJT43xQipYwSaUfA uAc2l4alYqXteUu0a5SnlX8aGXmeUVlMCjf2mgCzKwz92V9h5QN/tE/0gkDmPxiAy/35WmhphyvM rnxTdC1nRWtE4nT6OfViwHBwPnJdgdVVGXf+cEaCzUb6AHLz07VtstALC2NMD1LL68O/ctFBP671 1VyShYbQB7xmkyM2YslbC2UWbvG/qC1e+w3J02HNTL/91Uo62n/ijN1vtHDefAFds3ejPD1cMn7z umF5xCDGj5Bctr+AIs1fW/EX1ZimIDh9JK7DS+80vJy9OCPX30vra7kq0eOivERZ7aQvsDaNOlGL 6bPgu0Sp69foAMQthlAaI3HftDqqx+M7gt65a4Z6B+Tn6fv+Dlcp4ZenJF15SdE9vsoiCEjjZbm8 mztAufzDsl1H0QPqnEJU9d/1oxAo4F5GGHsKBKgK0UiJWTaLkIdrTcug88oYau7JCL5pcn5xYRP8 zJ/v9eLDOUyk3c4OiM+OOT350YBszWLujr8uH+/WJnAUJbN5YdOVCCWxXwFQozAQDHKxYr/UBfMv lgTMB52rlu5STcGI94dI54GwR3XBNHdV3vJ/6ejPWnYbVUFfZCEk8l2VGFcjEvDTQLWOkhulkklU EipFlUaWpeTnbP30AkQ7WVP4qdVBI3462PMRUIfNnDp5K2tPk8TWkkJRcI1ePHEk3NDOAsKJd4Kr avyaWtoerCcvWNkz+pvjKbNny6i/lmq6qpfSD05jbKwk7WNCEIFJP+bZnLPCKtKNw/pJokb0UBe3 1ex1nI6YQcy2PxPkSV3ATF2cRObm/LxY9TDkdS/FkrmOYJnIPr7wKun4ct7SU47JR4zXCT0sxx43 M2FdCRLuH10UtopXOseOAlpKvfWcGVND9JIauS9JpN+uAqQ/C9QRbSAfO4P5DwG8WFd1nFr3MgbT ygN4t8HtDbXsm2gAf58wUqaqOpqzsFDT5v1lLEC/lIL9jWSU4OlLzrE90Bxr32/yIjK7EUVY/gGa ow428csnESYI1BiXYsDrt5eDu/B+qK2ey1jAvVxpRxINBOvc1C0nJepgFKoFM4q9UL842KXAJnRI eCbG+3V7TMz8BF+DR5jOgia5pwBnw2HDGVd96B/Y/2/WtuOmFOsR84aX/rk8hQ5o08pNd9c/cxvE 8qDCaN1JVvF7mijoCXCDzOTVtl56nmVnxjGrWICRJSVhxmFgdkii2dFiegNzcEzynW/fpXQoGHtF 0GZDZbJA3vdCk61jjuWWvFzSFaqeq9DZVCjOq5t5f6bZ/089OcuvGYQ2eWf4LL66/wQlHZ16LH/3 sKgMGbl50+ZaMGUs/ZVa+gfSqbX07El+brYYMW4FFoMdyMm4RJYQg6txYPC8YVfiVir3eHtN7vzJ TqlCiGfhbDhoO7Kb7r/n2CqCY9Ik2otpkJryIDKt2pHqH6LCM2yna0iQiSQ63zd31tdsaD/fXXYw pLGsxkRHYuDbD+s0CIIFrpI9lFGHNoA57ILqIbUQeLW4+qHHuqiqvV6ps+bjz3jRbDp9EA2dn/l6 D3OPhsTk8fdMF6/67LG/RdziBS/iDNVNqiaXx5ZGEQ68NR/91XU6pgJTHyX5MoqsuMZa2bNGhCDg tGaNUbAVNVPZ/XrHnwCicldf7ADSX4HxxLaSpTF/oJypFRklkzWY8/S85mHLgVHS7X5CTcdwb5j0 lW/l0DsI8hRiCR/axmqLr4K0HH/V0B/dr32Lcily7qlgwQOOWBdestsqVfNlIL8vOvXBPZcH4Iet NcDHZvHKlIuDHUazyU1U2nq35wjXJ3CUGr/khSNVb14gQ5LLM/yLsnSIPeUoLzSP5F7WFahgM7co QxlELmKG+nnefIMX607CF3IrRY6veIIHIDGf0K4f/KP7hygh3Aw3zi4wPJOucl7gycWCCyYgGXj2 eDkliVw1AUk289FYB/aEp0YKMkQ87bZr/NYXJN4D0VDhXcVS5LwCOEKFbeejnSBliiU9gJdQg+I0 CoxwV+w4k7FJ7ZEYRU4tlA1ACNb5XCOPKaAcNCYWd6NZAND9SjlCE03w0Vu+XzbilkM6BH3Ko1Tr My8m3ARTr//9Vn88zwkfdr65wsO6eU/V9JcBjmVeDMIyNAkDuqBNA/LbKoqFe2zTt7eIdoUIGy8q +w5vZPnqu+0U1p5LyEbo2ClVUKyE90K0677TizKnJ4N7g7Bvtsa3DmnSZuv7epxVtn/Mj0lQ5CT4 eJdypeWJb7903RNymhDzn8D1fkApjIHPmIy0XIKhBuQwy3Nxgd6WI3/yOGu77M68J668NlTxZOR1 wBpKXl9Nm1OTriipfa0B7a/F3834D44yVOyLpYCitkJhFS6BB1udJCMvAwdbvV5o0fMMF9+xGNFC qhkZ/suYhUJFjZNNUINEt0LStSpizlWYcdkReSRebKEAEM2zZpVrUIA+B6VVSlqslO6x3Wu0MQiC mdxbHbvufgw+uPWEMEk8Nv9kdai3BTAqu6cdE5xof9oGz54GCJIKm7ZTfDrJS1aPMJhcIQL7VL27 eFh1dxzwOSDzAzuPniaRyDIhQJOt1WAvBTqR4Mya/eUOgUE7SwKO9C3QlJ4mQTOyuhiS3xfaJvGk /kw+zWzJbmh1Zhj3RKwyO5k6Dt6HZSoFK57KsTcb0AxOn6kTARy+NkND/dBVoTjZBUtx94E6sSUc H1YHRP1sMBI2jt2dAAx+6EBzM/EV+oPVxNZW8PzI20QgKHm0KAUcqQYz16MFH8FHcnL1CWYCnC5+ ICyGIQJVbdzNIN9JSxGSjEbAl+fKBJz+zFPw+3nyYJppiRWPzMpHm63pJHHRh/jWOXwFlEeiLaFE 1Jz1PshYAFOLfc5xVK0oIPERmTwTflnThLkATV7SJkeEzOdvNr+dZQN50NxG6VA1xQ3NjW/BR8LD vCDNXDosC9UYZkfhRLAfcO0dwOAXV6pfg7opNvv1jfpj8m4oR4SbT4M4YsHC3j71aLTCQfuneoxA pfezfrUPsMH5899llXRcetwNVn2bBIMOGlTzueNEMuOPevF3qhEddKv7/2Hie+S76AMxu0VH4nLy nLOAhbE1Wjdhcm7qN3CnnkZ01GYU5lNYH8++LDj89xzabhT1ubr18jNTn3Fqyoo8MdKnwQVByV9q 2jD3s5LRvjSppDUWp9dwrEVmVn5GDda64Vg7dbkBFnTOcesKCFcH5jj8lVTUrQfJB8pIsB5sAXoT px6RqArPON8WpVgyK/0TlQqKSu20w870lpIT/9sPp6yjekrIVygHbPjWL9DV4qyw/008/DwJOntb gOhxN+yW1VFPWhXSFDK5M18gZ5Wymk95qtPC8VIUE0n3mi9mCrJMoNf5GCmxIHeE02IU9XWJixPQ IDr8v+kmLLWg2Ni5ulsRFmce2Glglwd7sJBJwAAXuxFJMFXrfpsgjzKnJjBO7paW4u1BGv83mBER Z7lMzAPtcu6eIfFMw5SFySWICjHz0nL9qkCdHakS3E5IHrAp1iBClSv5QV1xPNMkLOn/CoBHUhTV GmWM3dNXdpYjuXLXCOf7Er0d2uoYhi3Xj2UPwuTlexsQFqTLkK72xI9PS3+ql8ecM0szcoxiP8NS gW4PMm6dZ5FJimp2yOKpgmuDB6XXqeBR57Xk30m30Ygyk+6cW3/L5ZArbY1UvNDfgd8EzmKW700Z OimbmrbbQMPeeZjrlwTJhC5quYw5ns3Lt13lVABopMMLAMXYYSNBqVuWHu8jasjtDjigk11MoZ14 Wb9T9nFgvMT+YW36A5d1wb6ComcHWhCOfJPFJlgC8QzVyLoOdJDKWf0ClKYUl/uXa3MI69Jqt3WB 6NPS2WxMRXNXZsQyPxLsROEsCu8ceQAQWSr6Oids4V7trw9Sf9NDVMulJWr6PRzeVMdVTZNzrnq+ bKN4xRsbRZb47pUJpvwEkczD+qagq6dvOXMRfPmX1XCxu+Jy8oY0VyqYl/P8J+vEulMnEiUj5X28 +iif8fARwWVjMu00idg3XTsHC7hc68fVxJ6WxEIIjJEscpMLQNpdRWsbZEb9DA6V3n5yw1coSS62 0j9bDcWFQ6v56DCjWURbp8rDZsNzZ9xl6bUDY3MD0QhDDdbaTyOOZlrBR88pne34RIx84/6x2Crx maEFEjqwB3aX2Q0V4L+7nQoHWX0bn16deEYMZ4Xg9sc6yzc9mj/UaaRCNuUzLlRh16KWrOT93EUA AZ/IElFWeMwljw/ToAMtkS3Z9TL8bH+NM9OE/XWCEoPZxyOua1rG9z50NPkI+LpFSJpE/H/pkYoH x5O695ginhLvCgoajfrk6+Jbn2d3zHPigPt9/LDjDv/biKK1sWALOqs6kDoVIgI+i3RpwsJt3njm nkbBN7LLVHHzX4XJCLa09Ib65zDSSqKBZDMKN45SMGl8McPe5jY0OAskxb8y9gtNGBKDp0/JQ7oj jzAe+2ylCsZehj8FdKQXHxUF5/BmIDJio9uahuYEJFM6Wo7nsV3VTVAjD3Y85F958UakKId/X0cF kWGjGiZsTlD+wV9bco8n6K5OMOk8BRwelNa0N/aR5Gu/zE4EMnTEQiChyldkWUC7sOIKyi/bzkxH zgibndLsPVPlks/caHUIlwC9ZaNx1ThvWhVcrtOmsd3Ts511daN6hn+zLUeDjPO78Yr0KryxJCuN HTSpVwNs7WVlLW3g5srBhgoZaMbAxiEcqzllHTt0bIGr7ougMlYR32n+Bo70aAKHtGtwV7JvNgdK BuT8jOl+/sx/zquWegNA1beHQbaFaQQB6zBrGSTZLXol/DlLTWOtETQklSydLPpiU5Lsnt6/qXHi 92xawU8O5C7IXfVnPn48je5tY+n53clbnMP2NFiOmaLeF8EvmRjXsRcJL5RgrypSZu2LzcCQ/sUk Cb+3xA48DhP7EWL46WI83FVvgyCanZijOptu1V33oOH9+0g+LhhNObfyWlfS3ROr5jxuBi6L8hVY EdGZnHMzLUKdVU419L8uXgunCBCkpQB1Z3igNegHvit6O7TVZfBP1f5Rmn2ZOR2TGy9VDpuy9eR5 jSxW5CMD2m0qDAgOlHo5n3VkxbWiQXAs1hTanQNtNbVs80A9eNAjF97w+5b1d3BcuWdLvWBWjbDy czMF8x5S3CP1JrafL7hQ7zo3FEN86+faGzSmUdTIm3noPTxEXG2qg0nwHSJbRcutl61vsAiUlPot pc4WvGbbRyihOcWh+j0fqxk7m5/GKK2f/CbAJ0mN0vAEFDi4DbhQdHE0Lci77jjtE3LCGyt2psfZ ruxfrzGuy5aIA3ISdAiNE5qogNnuGTgT20XDskikEE+9EjSX7RtA2HzCXHxegM8gRO+9rmKq99JO 5WX/lhuYRTOmnEdO5SziNHlsmSn/Shr7zRIBbxI0GAGGvQwIatMNsB1kZHVaKrp7aDMFV2Wc2Hio OwOz7vdHY4kUBBNBV+6dYGdjivq3kOhaW1Itq7Cucllb+EMNwJRfoX84hPcTZs8QiXAE4iiF6dXv UKtaJElelT2ouY4CXWQ0b2N1g6dXDYh3eREoJK/eOiuCf+muweLZki/XMz115C/AEUocmtAzXmF5 s1k9VRpJOVwUVdlogvfTrOoAxJtv+1IxMGNXWzNrty0s4uU9/dvSWhE8kCXXU2KCNUqoLIhsDG6W /3F/7FgnYvxW4bzAdrrwNRRLTcc3j/f9sqA//y5K2xJ4SUlm+V8fR3rtMusVehfIYKAj8419B38w Ny94Z0wUKWrWDyf+x5J8jbZLG13aVng1552JrEmcwssdE9VSzPwAIugS7Pm+qHD3ZbqTkMnpUmyf t5xj+5bN2j2gFODMWX11wIi2ANMfdMMXwQQD/Kvc4vsDaMvOQ7qi4O1WGC2eIAiOVqNHRmvywCaj uyx496D+bbNAv6MKMGfSOAlCJWUSaAltwjk/rGS9kgArUq2r7o1kjLtQb6JnJ1lvGHmbrFmDlkI4 xesxUtrwuauCm6ikuIO+QO2NHjIZHHC6kyYFsqXph/k/6qtpehbzH0fhz0GVqgLKQJo4QCgsUUkH HP9u3viGqGFiRNoJSfxmVN9HdDiB+cPu8x+pQArNKKzyz1/Owmtwx4hCuOSV3pj7oDOaHapU1+rG Sz3PAR12GLnSp4/PKt9wx/SWjbL3ZxdJmT0vrRIa9kcYaXleisxdRbp9RDdsdwgQyVyFGKn+Y+49 A85uY7qpDmcPXL1Ai4an3+Ok0inE1Cb3/R5uHycIjlyNhpfRrtiCFcv/RIoQt4AB6R1tu70R1gf5 4THpxwPW5RTAGnVqM+9t33p485J5P8GsIi407XyLS7B40lkXU5US4eivkgiM4ybKfbIE4cMspgl6 4KnHh6G+7Q6Tnev43BCFEf9CKa+IC4eus1UTeFCf3/GhTzj9j/8FI7WF8k/kXkDSTgx6oyKK3UU+ tt3u8QHCSh2LqXwN05uef0qN5V9kdk4QTKOo7TEh0GOGBIu2c3wWGvioveXnog8NzHeBMrOWvUYr IiINJidLmvMaNgm2CvovIO/H5qAEAvzic++0oVSBBMK9Eax4bgkgljmGHbnBcCsEjr7OXPRIz0j9 GWL4XwKtlVuSeqeAzS+wj0aWfl5EMdZWHlUc3fnM9oxX0QY4ayFHxUc98eOQEAFajXpsI3X2amTY FDgS+Y1Tbvr3nYf9poK/DwWI6DO5YHg7wwR9Lf48sm6i/MCTjzfHPfteOm6cEGr+Z7FRfh87YIaI HVZd2ZTq3queR8uDbWCFU/HTaDPYgcs26iqar8Cf1dXAXvN1gvNsPIHLjGvLKiq3mbOMaGcsStT+ mV1tQrg7H9HMGrjlDtFovTC3WN5sAnn3NaPI29m1gdmAxA1QbtbXJx1rxpfnv7QXQ05zGYuEUpkZ pjtGz+Nu1V2cIHSfQjoKIxbG3/Oxxd+o9pHzfUtT9NLULmmWc2DSPyMTkjRDh+0YFZhWbDBdDQm6 HWa+BFzJxCgwTrfqLA+zVzLbty4jfNXAeDJzJ8rP4m7XhfM/gQMjKQNyxbZAjsok5KTZpupflr9f tzQOvAoSdNJbcqhWyRcmIbJCQmjCPwJ6xjHwKT1e79P5AUlofEwOU2tvWxNNscjxJ/acDHxqF2ue y4+S7riahm2mXi9YFTbXG1tJhNIqyPsqbDSeO17Xek3gUv+qDLjnEua4vrh4DqGS4fvlT23GqtLY SuLtCoLoSDkDsh/wjFy+lSU04bnkz9+79k15graW8sIFsPci1A/EEbjxzwJRaXaqD+ofgNB/zJ22 wDuDIGk11ujsMd9zQa0qJelFscJi/nOT248sJU967MXzmwWD8EGYqDgKmx1Tr2uC4Qkc8b0nr+Ah cGAG8hRDhrIPywJvC2vZJBPBGaDzDFW3BH/qrMBApRZpedWbSmbGKTE/FMfNsYuyl5Hv+EUISP6C dX8tfvBz6F0jRehWId0fOuqld7QG5c9ODl8OSV8zgk3yWHoIwjTG0qGj9Za5giap+OsUSlef15h8 pD80OlHB3NbrqZaI6LW83pYUzxXEaWCBjbGH/3h5l9OHMLs3sAWpCRamuI+zIOit0bFHNmWDI4wb y1oFWfYQTvSehSZSR3qJjbW/KmrzL65ptnmLb+UIT/I/grQrb1vOPuP6Fe1iZrEwcAW96HgGf++Q 5MD2iDK0DRmwKJUi3b5u3Z/0FAffse/ifGDvdHHPdg1DLXZRqzRmVlhdHa+fFd0iFfgnL0UvSrJj s2Bscwr2P129XFFXlWqVLfuj0dUeKn6oZYHPVx6v1J3nhqQfSZgI3UboqzUkk61BgEw8pTkrx/jh 8fuPvp6hvImN2f/h0iSPyfDa0da68nZs/Qim1d9CFRD9roMtbNVYX/gkGfBxRlAaqamJwF7cNn6l T1M10jAvYMPlt6LzM8EB6uv9XMlAtooQDnHdDqg+WLhXpy31QKwxD8FEanLIFMMlIK6LZbsp1z8M 58KnI7SsvfiQAvbSuano6srYjmZDehYqKFmuxcV5N2IfhS5UdPxZsOyHJHJY0O3C6K/wxLbdzdHh BgLyMkVtXtX4nLFbPXDtSY2v1K2qC6E2lSklpZX+zDfpjjQ2qq2aPycWt+UNvmn4DpJfVgYtQ5TZ aXmiusW12SUOpGLp2rQvfn4UsrfH35o0RY3t2qsY4MwxHj66tEz6P03/YqyKRx34nawdVJEsvXCE C1fB4XrVCKJTSy7Lruh2zsXaWd3lOhI5Tzx+C5ujZOkwWlgc/WrA85NYTGX4GJN6g4pa9CSX6/hd X5lNRFPeEvG8S1FtGjVLUb6DAyPnjrH77x0OZurr+RhBJdtJskZG53ko24E4ui7zdVww/ZZwAZF1 kSGCDJvyOIR80Jb5uupX12XqCdFW5b5qjWwvQ52JReIzoCLSPUtHpoD/kOVa6htjn7S9KW/j1Esd Df98YAYlq8aA/QbKolIjkTndOLO7HuNesgxUILMuu45pLuDANPVAfPt9Tz3Pdi6cPuzMeBlj4h6v cCbHkQZUuPUbT/jIMj2OVhOUZVyUIn758zm7Ht8bM1DWQQETCvQPNjlHiRWMCFkDLSNhidNw+OF3 GCVZIC2cy+L7uSa6n+rTBCxOxFRZjY8CGvyIa1BEcMQyBW8zsSVDmOIZTqLKz57gfsLOWzqxuMlc 7mYJT/ijIWf6NK3z6VSab3w10v3yDSDzQwxxyQjRvOt/2Rg1IAtrrfe9e2pHggwSc8331GuU20zI mJaz3J5XelXE2z8w7Z85Z35/Z6xnyRWtcpVCOU7c9UiBiYQcRTQdSqX/L99IZXQN0QBamVspiWmi c4/iwQDcIDui1zUHfkO+4zKkChI5he+x/dhBsLdiTX7VZjOWkJDXCBQzw/919G3Akw9LD+aYXVTh aDP7hMLjiOBwCskgHN/ynBSBOb0C1fv43u1XeYww+rK8uQ2U0IbT4gD4emodKknAf7pEck+lAW6y xO3QEvNwRHJawS1jdUFijQWtj5y3txS1kBYG31ULRPEReb8AibqZNQ9Jo7p5LiGbzYXdufwg+deD oB7x0oyFv+z+Q9oGXb8HOp0M3fQeSszSQrFkVmwBLeNqpRj+brR0+Uno1K5aPdiCSEco21vIX3eT x1UlY/gYivJyjIa3ArY0h/HSmXN0C18nAB0u5MO0/obLanINrqgjVb0nsnBGQLdrWB4tP9k5J5/K uJYj0Z5oqCO8OgSWQNuSlJmE2DIfE8/bcTN17RVbIx1N+eyvmytKRPTx4Lv6fJFu1DFX7ouXVv7O 3IDuPG8jOwpGpTavlhgl5yJGidJAjeO9Hpo658geGaU+wtKTaN+duLIJ1sKp3scVSS4ySNiFdkwt JixkyKxNtJLkAh5pG7l23Ehyt0F+prOWtgwCn1vfZjR/g7jRSWg6+uXS6sEynBHHi48Mw04ABJwN EVRDKHEpnBCqeBCTaBXh6DeANUBe293FZ31doCR7kO1IobaJVPjWvYo/30730S0niDQnIreL1o6S t12S9FynMNFSev4bm92dw71yqwPNB/FTNL7OisXPDPanaGpMDvfH+yNJL26a6MDpan3jwY1aB3lj SxBcybwU/Bd27ln28YyFtHza/b2iJUDHkWwJq+y0uW8D/FBC2prCQxTg8UY1INDF9sQaSMsnH5Vv a5CmHyZkyhBEd+juA66cJDeFM9ihX14HuJqwfVH101whyMCseoVYXjrQbuTtIiAKjdpv0h3Z67m4 9xJThxHN4TOCd0r9142iBnYAzIXo1PRdHVG3z/Y+bg5+BJGQnfronZ6MgCiiC+sfk+KPhZSHd6lq BFJu9lFK51F+y9txoNFOPxee4ZuA0201AiH3GudFPOfwv+UO1Kv9IwkYmvqtuwWyRU5VT7HkpgUw jaxJesf6AVZYruxeTMN195BBQntvX2y196vm9tAW8PiJDsyyo74AE53cQoQAVzkteANsUBtdU4T+ cWTIRd851ZkgKtRVwWM023LYzSp0nKoiMpxi5Hp6S5iWDa1hSKx0dTfpBuQVG7OO29GSiLhO1/J5 n1tA+vTi4giKlwtpejNe2XWcu+YweCtpkp+43uJ9DOurnRvp74/R1B2qsioniJp6xmdVx38KV9/d VhhvFQdCpIhboPgN5856IOp0uoonS/8e5kqdg6/x6WVT2AqYCYw0k0r03Ak3RLBCdtn7rC5PtHjp zn9a1pOIBKKzZxxt0rZ62OFvgFF9NQ0cQrZasnSVzwpOfpJ/6HTHNXGgWlwTLz1naMpZKX1bJhh7 2XQmTRTJKgNRJG2mp8gCnlca0B5ydwMmhRLZOsB1kGxpIggmf4mL28LXxWGEwMl/R34FyEOeFBUu TWtSkXpE3omLxCQifWX/KkEzGInetd8HANj6edT/pu13h07n73cwhxFeWEYM4IqqSedG138ooqew kF23uCKz9K+OxIrBqtalWR2ILzGwd2hZAsizjKlhcuGcjbLJbKQNEUR/5/YlRyDwM6n310em8fvo tUsCPePvB1zJ7vtkGdJiVbcxnAly5bGZmtJJD4TZgSHYe6KSkjhraECZxt/orGc5K9ZRQ8Lsy8rh iPCs9fiCixE3Yw2Cq4Ie4S2ly+3mfSl9fYHsFw81qK3A4zdl+4WpVcStW6qVTkTat9HEU/voiLzn 1POZwMKM3+PQ9ox5ycrbKjSH5TYqd9YFfTrytaY4qsJG5f6BUn54bzhKit2abrRQcEjrGzx2h0lS MFYcIUz+WBnyEnD3d4DorhB3r6F8j9xZDD47g4TfqlJzKnHDVUDp4CB4Qa7MRHt4ka511D5Zv8Id g28azrAMNdhK/Vq+l5x2qLSccysJxlitXvPp+npJgMiRzZiPreeVIijj/j5VQYFqzfcl1xEL6jWg NqWUdryTL3ucTQ59ctDl2EC4C0jmD/L5zJYZymA3VmifT2jpBnIJezr/RN1cBX0fDUwbnjnID38B piF4BppMbb/3jKh1615mdxzvhpB8xrKVx1xdUPLazc4v9yW+o/l+XNjjgnKkdi9fnYo/v6j02q37 P2TctZXJPeUcRj09dj699wOHO+kZpafMBIDfQ9MhQUlAffTy8c21vnGPGGn3CW1Fk0AJnR9wzH8i iRix79pUYvp3ShIBnsS0JHEdxprd+Im74bSYirOhm1x2RUhxHePkZjb1sAg+ZbfWR24JwanHh/7Z /6+vgDi887M/TqIlKvGNMWxrhnEwezn88NK/mdH4Pn9RawiHcakYQ2PWJsKuvbUW5KyeDp3f+WMu xKw2fjskTGVqRtgQPOi2fpG8AUOZcm5+g8+Fhbhk2ircBsoU3sXTfd61e3LYHoOKIWpcxIZnSXAd 5HAEfR9m4pjMjHvzhYZSAHLUjiiaIvRupqmHXQZBsyQVSc8gWd+eNjFM5NQIOM1daVjZTjtxHDSZ pq0rWoOdJo4jUaMPrYXxpVwYYHhFQ1yndjFAUstH6v3pQWI83oQoP6DsAAZBl48E+iXUo0VrryjB 1syL1Lsi9ZkbIDFnqp1yxKGuVRF4oUxd/x/EjaZO6hzTyyI3emiW3ijPmDFg0yXj4tVEhAZ5pY6b ZJROqLCVkwhQc3xjQ2CKE3UXCwSjcGw0pFLW+Yry/exD9DI7y6QocUKDCaYQa4HGF/3A/suE61ev Qvyo9bEI7VMxKLZJUQJyv777FEf4MBVaELODgkfEo12fyvcgjRFfSR/oGSYaq0OLZPovDgJwg37U iXqprxKWlq5HX5MWoY08z5xGrUkYtDRfDbc+ZIrbN8w9tvTRGP6ZWv+9wy9+HUHfPLWs+pMYs0Tn +ePD8+OcSzrAmA8VhU9PgsLMqevV8N7C8yAysEGil+hl6OZpyzwr7nGVH2JuRR8pMZtBK2YJDxg0 gu6qxUXTuK7hg+RgS6yAFARf+ruxkAtLL2I5t0Unql9pqXtG5wl7WpKCNtPMKHqlX2iL61zdD3SS cdH7HiQxGoSlyF1KIv9D+f26lOn19TvY/h7FJuHJ2g3RXBRjZs8nO4BN+h0gEN1vMIT1hiYImY0+ M/GD3iWD4J40cV/pnfR9Vro8AgLMrndQePEPe7X3oqCo9x1hdZln9/JvbfkkhVjKpIsnML+3LSHO 9fBfacDZsld/4VhcMdCmila2yEvsNostUf5fOeCpQqSXRKDk9mZNHe3B2cRs3rCxcIQzChPW4v9x srJwbE6aVGx3wezjkL0342Nw7gKxrps9yLJIY1RGhiL/88qHFK9Y5qM2Vb92UTmndlDtcVQuJeOa AOx+sHLBGBM+I/ZuN4ORbSxVWNDTGgVKL0+W/yvJF9PSkanRG7TGvqQOG5LUaYwFfYuLS44G3cYJ YgXL0EJ0WeBshxyFIZunx2OavjdIQjl1z+nt2GBoZsgnvnpqyrczM0CD8Y/FPchwsVRCXWUNQDPZ yYBGpZIKaIvOWE2P7pStNwMnz80lex6rBmUN71YKXf6RNb4gn22CNl/HbgItN70Fqq+2ph/mt/Ia mc8qelC1DjwSePng5YGkfcxjo3tr1lCxJD+tsB5tZEDZJBdREU4XI4okns0Bhlq/QyGUQyJwFPW1 xKSt/BZbwxWe08glmb0SjxoOr6Ts89xLQC1XfQAwN8MIA+J34fEY2p5G6oqHxLdjewtXaDz8fxMn //dEOOMbjFtMRfRSI14fnfz+byfOqG1oYagZ0GvGQiduC90ZTCFvYuTwwCycsw3Ce7q0eqOYImUA gT59PipZeX3Q5cA+0j04u3lpro0KrqeollliBF+tk6hh2RZcNAXy8cH52ZQvZShE7lt5RibMGlSO /7Iv4dGRv07WHjHB9CVRa2cDC64G3uqliQMqRNEt0Ce0A7phHJyKZPKIA9vheqD7BCzf6x2F+EaE n90NOkqOA+QcRkI+gmFn0gpTA4D58Kgl04XH3oxQUMVGNFWNJnj5NqQKW9SSTrQdcx+hyTIGq0f1 QeqlHP2nrzIm0LXQVe3bsp14XIuJLBrTMADduASv6Z+dugb93Rq/tfSZ0YpSErQWFs7D0BqHmAmy tNxAi5eQxG+dIlyYdRowsH3ZGTv0A7efteuEMQ0oOLCbmo2wrc7i8gmorj0BVdIEivkjwFSQXAy/ ggkkVcaik164j599EBXonQfZq0DWi0ojSlvc+Cqs9dn3x7Ih3tNFlTDBRkmfspL2lNf6+5mcPbDX 4OKp+/KpVZSaOfqPUIk/nkssK/3me0BU4GkgOxK13jWcIowl7NE6+5ImY//Nd3GaIhut7U4NQAea gAs5km+A8RAw/9QHs+Xgj7kDSIVheq2CKkU09JMfEL3Zc8DorF3y7qriI226TIz0FVn5NcF5LDDg NTv04Remp2dB/fJgrlxqs1OLE7bj6a0i1iCT7Y70eanyp6JxYX1f69X2e5MCxgsQFOMni/nQ8GVh mK1HF3yJkb+l1tvRYcmkkwdrbnawEdqEDUdWSvcoupgUd13WXapY35qurnYEAVX0mIR2A+SiYUvz CZEfULIvjbEEDxi28euOrOSrZl9g9FVuF/FusmXuYTvMUxK+61Y3ubT9xVt7IexZla6m/I3Zr9eC F0y4wec9DGuE6oUzPYhvD8WfZmS6c2y2O7kIlnMg7suVgdQJqx6oIZfJCvI8l/o2dSrAB6Xay3/e nR9Mbfu9OTXhRjQU+Hq9ogRQWsKGseNyGIybuQlczasnGh9kzbJbBpDLmyMkYGQpPXYIB0AIqrU0 ZHuReQLu8FKJysBTCfmlOj7/WqtyWm7XET2pdnmORB8baOsRdaSQ5qq7Vqhvidqf5rRY4E3umsJI oozQQM9JOz8oLrOOk56Z6TXt/aEcko/fFWNvd7evZS0jKt5cEQBbckzSRfFA3bygqeJZmWQ6zopS /HW3lMXHCvY8ue50cS6keQp9Y/DR3RVgaOpc6ifQkm6kwjWp1NHHwIUxTZFzp2Lj3NXaif7a29Eo 1DyYyAaTIVaCuc/M9ErEWX8HHiwU3CZ/D7pBYXJgtAGHpP5RZnumoTvjVt2SNSSHtSywIMCPfO0e Fjvq7pFffefoUIFf+RDjDnJu+9JW52y7SMifku2Lt8Xak/c1CHaMBpThtKHZTbZo0xe9nuur4t4X G1Wa/d+Mu3HTxbu1J9c5Jx4HbSNnE5f1gUlPtwvu6wg6pfYaSqO8xrkYuHeRbOTxMcxGhpANxomY S/xrHbb80TgWxKoW+WQrsxRIgTXx5poqJsPUSCUNySoqTvi4W76IuiIhDjKxPeH6c7ZJUZ8ThiQs kbLny7aranq2Z/ZN1HlNOhUhdzyxkVqumFyWLdNnz5cNWUe1QyWvWUb3R605MDyxvCoCVWH8YMwj ZXC5P2VJNtdWRsKKgn4lwEXwxDZs5nCvCZ4xL5sjJZjznRuwoGcA9nTjpMeX/RfWENu73za6GoGI Ht1XUKQhwDoV1u81F5pnN1x0r9Gx3QJkgsYrhF5ZznfDDtzsIEUc0qGCCMUsRQp2Ir9HMCpyZwuO iC76MUQ2MuWbA2Gddr3c5zdlCaXNT1L7zzquWoEDycJ94mwOLQOl+/yYeytY/TaHGmoLyNt/QepV zVbSXzGjbK37uOkbE3XPaSm8QmU5b2otgIX+8iOzvegB60c7gcg0p54qcLAoPFDoQDX0FubIgZdM RRal9gZ3i8xfrVfNua30O7Ma271EAApWoTp90CU2E9+xxCNf7Kg/023lnTtVFQlTbbNHcl2HW8cx bG8ArwP5HaUx/PqjZpuqVDiiTqB+I0c8m4S4WgbmLvP3T2471jYYaZ8UJN1rRwBHY9x1TxdW7ljw JjtiJVFJzTRErOUPEppE+jf57ddoyKW77D/ijo+HSfWHrcpYnwTFZyL/uczVWoo2Tqv7Ysm5lSKv WWD6BxNNmOnc1XD0C50prMOCzasq98qaoXN64W1ZK7LXONaWiTfaGpzDnT4OE+GAqcSw7XWrkiH4 KJBh5iL9tfnxAKPpQ9jqlVqGtAllCSNWpGRjfEEFhFXDwifFoHRpcthb/uMsRNHyCZVvfhVwcNIh iEGfxJJbLncs7yqzQyeSSf8j2FI75dwUXiSaD7LHyCd2lE8dLDWMfafKaImlAdmQunAFxf3sHB6d DA8TP3UpVV6rjrfIDmBPz9NBsfoXI5A9I9zaNEXcV3HMEP+rvoCjx3KdSAxGTMqMtyTZRJVNgWaJ c3dr7dWpu2qxXE7H/rSYS9QHlhReY3XDsM2nU9lao5xRcPmmn/0Ox+XZ0whF9oZd/0n47IYtPFc+ mCDRyKQfWHqTTldbXSW6qR7mrwJv4JefhLCDeaGX2VR/Vh5SJIzsqnc0+JSFQCzfJV005Ey42TcW 5DLKzlEQ7TNk87//2PI6NQTm5syHcgCsa8jcfZj5ig7fhlVqYKclKAJbfoXWNJ4MifAYYeaX6VOc vq5n1k71qYYDiWZVqzpilUnPmWuaLcBkFQZpNLrOzvl4IL97QGmwHxck8x+EcN/ulwtVVFhqKFXp Wb+6oyYrnYN/+EFBU4oyA6/ftlcLrWFwnF1cBHsB5intKZw5V6r5g99KymaXUqVBA5OVCo+41jlo gRYVdX6f9eqz8b1SFkd7/Kq1SqD5FCBMZkzEp+MwVh7FgFIxTK7bYrDiFmBmVrea7C1WSWL02VlX +uTkU372Uiqdc+iNHH3gMKroTN+7fH3y7OBwx6+LrJv/EzK7UOgmo/ytcL8sKntQz6EYx6RifS1B vvjODaT97y9H/+RWickPLqsPtHhhKuho29bcP39POJFys2Z7hmYj9Y2CB5t4lqYnjjLjuopzXNvi JtSQOqevcvm+qdysTxYRJC+2C/4FwAsK8+xumNe06pYOGmYaRQUENEtefGVTHqLoXh66CvoHuJfS Xfa8TD79na00CGpkHojphPsPo6YA22+LW7i7ycaoSyxw1lcl5Fc2reuIFY2oKFAnPbUtYwmQuT2M EM4WAgXplX2ClF8fppl3gO2cLcX88RfkqqvAkMTpQ1n34IEinka5dwPR91CyfSoYcNOuqFsoCt84 fQCN4t0srlTgeYcwiNsc13rAqMbWbz/1PNdJG7KuG6b0lp26aDR+4AqyEonsa2gS2ZLH2nFsrqEM Q4YkjQah2gfxMDIuWnETWJFFzep3b4DvMDAf18w+3JIUWemPnFfmAaXJVzuxGuvCMbQ6XaIIE5tL q2K+xlnSDP9gc4zedWWbdL2fs8xa4Nc6Ytc8vw890bvcOTicdFdGdaPKZcIagpajClpRdUHS4Ofl Gb4NWixjbv7SCIKqSirWmKSb2tIIx8h4av+83UYu4uBS6BIPk4Rwrpr5QKN8LVFiN6lntvK45+oM GwJponjtxgwgFX3ZE7/wpe1bBgx7oBfaEgA01XfHbAx5oJNifeJndm8Y8kTTajShVo9T4wrxRI2E HCLutXPOF0Hk4vPV990yBlgpF1dY0n9WBByFzwP60p3JLgyT9x2OSk+P7sDbE1zEcAD09jSMBB5V ot1iZ4kH0EHKFRETLElxo7k/iQR8GOVx4+lx033R4QSUD+APmsTGauhsAwINtuJgQ2M/37/cvYBf XRhqUn5/JKS6sWp3SEIvHu40FDJhrqqhhFHiFWUoE5hGGC2FOf5MqavrgE0hUG4JMhAbpCIM9Fps 03isySsuG836s6Cgm6Vm9x16BptdMIfxiMdJcSpdf1b4sllcFYmQAkn5eq08znpQmnDXEAqOXGND NvmBAwP1kd5wcFOLyF6iW6CLZPQ21k3tmL0pd1OdATRCt2gFqja9QbSukXr770V818bqtT0qEUsN VvC0nGgOp8Uzc+QlfH3H6XVYGCFYNskNezqjyM08LyYBoxxeYYSWhqYTQ7bcIlnLk84ZRqKQ12r5 tijig7eGT3J/NRYFhLVDHB3az0VXgc7/HKHbVSp9R4KUkG9wAb3Ou3djsbd5Iqgi3qfgIIGmXQde Nd22iztHLf+llF7+wBZadqNQyVA1fCRgk+diZL3EUSmVGLedY9XlPvFjdJt3RM7nQHDFcqMH+DYo Zw0TVN3mqIniWJI2w7tw8DCNx3ETtYYibSaO1DfHkGY2BQ964SNxTnRMc2m4amw/rIFGA7N41pco 4JobKvz+TIDHrcIXBT2x0MPnFgwaZ3Swv/c21QSjJYFy9Te4oelxzpGRFgm3unbgmvmg/8z8dPD8 M4CufjNaYSfd11X7AzYRqBSidoafv2QiexUwLD7/JwDNHbQ2hX7mwqRkkEPqJAjixPCp8CSI7Xzy xtOZiEyYsP1ihwDGjQHfyXvqhEe/tsHsC9LCiV1jGlsfg0KKc39Gyh38SiuO/ABh+WocaeSrTG2L Ip1rsL5v/EXgVU2NZY9ax+8HSv0pbGzZEKVJjqvrzJRxh5v8Xa4aeq0VPm1Mh6asfopOJDDVwYjp 8ezR6+J/YuSDMfdDE0UWxOriskLYX9vh5ysHn3QPHovIs3nldjSbJBs5Wv+FmAQV/4IzRLEyQJyQ XFRfgWCjHP0yqeHw8It0931QnaC30hrQjLk/pO0b9oRONK92+DOhQRodVRPSoFZqG1A7qaVXruP3 8kRCuEs17fPYQqXbJb2V8jPo15knkp0YFfjHaH0MSdym7viU+j9uBw4+XB2PJKNLmiIbwq/lis7R fvsDTaAGOeMU8s/0vOkGjJnYz9KLRsylQxPvO+rouibgboCHcYnJMAlCfb45Ya51XdUP9iQrk5oQ xZF1DVJ6t11YX3c3xHlPjWlguSwuDUSOXd59qItMb3Vf2Lz2YlBAq/TbiYF65oi7P45DJNtK1oJ5 b5M7Q6diHJ3OheTsBe394Ie0cPRTwuEVPtGZLrwsfRxng50q5DI+xcJRDp28pbopTk1UxrpoG19U 0cy1ghnvltrht9cy/JgnWdyGpOfYp0ovrSn6F8VprV7LL7qkBeQpoK5n9mUqI1AqDA+NX7jPnKrU Wp3kpbjpPO9tJM7HLTiEELBGDSI9W+UrLlVu+fZ45SrmiT3dCnotaL8Ajdrwf43W3axiEM4OIrKV 0oiCbfzvkhiS3PUZ1KJieDKSyj71dQqOHHmsRH7eijNKjcXoKivaQFQDb+qfxU/+EjtsLhgikjEt KU/RU6A3YaVrJq3opLk0oCp0AKM5AB8bE+8+EEQ4JcplloX4sIvcYQBCR+hVjM4jbK/J/HcxMYxA SuWPdOBsohcob0ApxvM6p1pvDZbwXXpQaLLLefXjih20YEYAnEgd7gCBTagOErXyviRsXUjy4z6I KHLljAbRdHqeGxnW4MUuzcRqqq0X58KrgIfEZF4ePcSUObYVUnWM4SzJNoAdBypWRpxrUDTqPQUP Zwkq5p5Ny76EzUuAtP4mzQrNYHuuOo9FIDPRUMSoMb/8UNx306lGvMdTy9Z3ImjDvdoH/P4NF2z1 3hhMm8kTlenmRay6ECbnquuDfkjeyARpcSvGSnIUjmaIpAUO7TJA5ytBRYbiA93klCsr098ytpl3 hlPbrIOOW/G07xVHnmG8ife6m1ezGlfpkAkub7omDmRU+gfjvsSpRUi71GpmjY5M/cjJoxQ7ly2T XeSSM2xQaevKHISVaaJYE2YpDqE35Gpit1ZAPwiHJDj4bE59nW2D0gkg7IMOD87M8F/JxwYOHexG 06J+tXSO/vprvhdMeAUqTQ8iy40b1d1iUHEBIG3fDUVvLtOrCzpOsfX/6dWw8SJB0aoinkDXhziR 3zmxywGhsvTQPDQOCARqxqPHenVtyDcasdSBtb8OyjgCkALeXyk0Hx/yfhqwscE20AVh1kuujtA6 kQ0Hew3kT/OzkJc+y7LEyzskfM3PnonCmNBtCibHuwV69uJB0slFLTMdpifh1sCkLIpol75xO9dE NdiZgN5dtLQll9hl7ViFGZzJjJCwTwWLaSBd2busXoqO0G9RizRSN/YjdJHv+Yku/Vhh6GdxuwCB 7dKNFZSylh+Kq9ZWn/1iiBclDEY8oG+iDsuAP4JGIkkuUG9G0vHRedv8iZ/BiENOe+o57VZ3J5TB tX9hCazFGw05gacSgTwvdeOxqPyrylzoV16w5l2HDEbQizlkz7qfHNg154AyeoYZn94cqN4QGkFc jOzl1+OV4oW6tT3lbTkjYNCgMa0VQOG9QwtWucloBtdSdyJZByp75USciRmp+fmZcEqBJf/Tba2z QpvpFc2rh1wJ2c/qOy+Vjv1AUBfxsWAK8GEDTIhmL4Hyd+nq7fN01Cxm6/mJLw9Y0m66KdUu69+I BuJZApSRoU2sbuA/QcY7IvKkk0YASQObx8oijXcJ/kQTkATh60ewSdo9FwREKanwFMx8u+sr2v+D lWAzmfgMCQi6uoWUEGFTs+VGftyqrn2KFuVNB67WDBRMc7WUQdCN/4e3/sty62mcPXAkhhHXMf7G FnmPpuX74+uAUjernQkvfxelZC/dhmKIk6b2x7MB8tjP+24ls7oZL2hA38bemv4m3+IdEyQ+bR0K vaSCcCdMwz7vPAe8kDXCJ1N9u0/StxffbB6R78mFJ0rNu/SOs5AdIEy0PFdwNY0ENG9UWrersDVx Xqco/UyLMlKbijvZXtdQf793vx5p0SpwjORrgVbrWiL39iyEycj1gqFN0r2Lvpv20P6hjHa+1NsZ h9DxXZUmu6bgQjEVu6HExjHjogzAA/THJb14akNiEyAJXQio7VyV7lM1ZExXeO5EhpkPt+uVl988 SbYVqjCvRvQc/FOpMp4CmdmPEgy++onzr9sdgknkUlBQEYgMq/Ughqy3k0E5Cx2d9KD8JnkJvNaJ 3ISmL/kEEGMYEviTGaB64SUKKXBu0/JObCAlCNVsd5rNMYEr/RCyBcpNG6l0jkptsqhb2WaHvIdF GEl3fzMHmeL6p/iVd5qvdIFQ6gA2HFSVv+hUPZrQU75I/IhZDdGngqf2j9orXA0/8Dm0Ew1d/7Pw zuohQovkdKYoPao2hlPLCPVnTx8PaJYyTcXAuX4+Uh10JblC8qg1KSFmA4bDU2YWAx5cxN2EYPST qH09L2F3Sjakw32Z6Gt7QdlmJaXWwPqAS6A/re8lEea1sDvhZqQ0ZuWg5VqK2CZgrSJ0v+dCOW2f NSDEtVBbpEEZ+owDbVxeHg1HwxjUuoSuvhUaDezjc8M/4M4x5z04PJNYziFyqvrdBAlc+uypYRaZ Zq5TB1qP5uH2X4hJvjdQj24TmM1rHMdUUXAG0ikxvA5bTDCCyumiBodiseG2EmWPScXPVWqi1p2X Zb3sGc1Tl4bLH5gGnZCXF6mb+QeNV18btmJ4xJC3vaRIB8Q+/Jibismek82SpW+WyozaoP0QVrIV kFdo1xazg+W61uWXstGa1P+XHEqUmUKE6cwkQYXLcLaAfzo5YKR+i815GXerFYXG+DXWjJs4WwUS HzrBtnH95fOsB7E4L9K/eJXZIXauBKKv5qubG56XKCnYbT6YVCJPG5Nv0x/5M++iATfD0wAMg2/a QvUywKnSSKxiMIiVXAYyXECWrEe4v79Xroyo+DkHc3QlXHyWYjSEobVeKGqgvZcNX58KSvcezCl9 +LrwPfctvlGhdlVRTPaKae1iqk5E0QZJxrPDThW5AIDxhSbde9YtlWe94m3XA1bXwGTuiAesBxe4 tils5vW4vwGu0PGrXyRvn4eBdISRoJeatyO5dQARkEpYioxwWQnjhWAJ1kZ4WRB6UGKlqlSKPK3m bCCj/GYqernrA0Occ2SqI0QfUGBsH6UaSS7uXWNs5Tzo7++BfVbGiLwW1BanidZuUWfSbo1wSNxG ZYnI5v+qf+C0wykOlNt72U/WA1D/NJ3ZBDit5WnSutuEtTVXaRa6Lfl/KRixe510uO4axD1yMoO3 lHMDZS9JqTQxspcOv+0oeveEe2/N3ynvyIZNE0mxzsBXJmiN2aqBdH/XDNvX0ckDjJct/Q9XB1rZ 3IIV9N8gEMIr5wDek4kiO+ZmVfpb1DkTEvg8Nf1lAk/yotVCU/LzogM2VQgez+3qFoI5IR9vJ7gJ 9n9ycRj8tqs1Q3vNZPrxw+euAKR2SqXJRZ+f1ArEpAhyV6DOm3rK4BzQzPJ1alCm41v6dAdRK7Fz E261OH1G+RejszpjrmhwDLPcMmqaFnNUyLW9DEukWMKdJBAEKJtksajnUdY5tAEWOj31gJHln3oQ 6Owq3ZVAd7kgaH7x47Po5xSboy4ud2CqO+KYABO9L7DWbjpM+zHpMCJJBc/IAY3e4Ayd1LJGveuj wK8zuz6/x6i+Ja8tymLeft2OFFI/rh2h+Bv7DS2jgfZJlIvyRa2BzeX2RNBMDXIS/TPVeZcjic1/ re7zcb4fQON2JBfNr1SVLUdz7vtQWH+ZAxBjs4zc79QEoTbhuNAgCu/+NSbZaH3sICiuTgsMB8Xn PQRbiVWqnBpy1HBlDRevBeidGMdJspRfkeP8Zbe8khNt0uql5QpziTn9y8oFmVyw3eWRGf+6ivTk KIBLw/Pqp/2BKZll+vxcUC6+G8O4YO54+KXRVLOXKKeEriuyW6MS4QJg59S771MtNqflJaCFNzyF U04V9jtPQv5/XBQVh0fZETZWQAlp3VN4gkzHzecmClStCYjqP0VlwL/JHZKdcbKU+jNjDWC1IV1Q aW8/FJ5g8HUk54ImSoDUWJ9c44QxWjcixwKVSNIwl761/nK0/prLS/5MhvqSJi3Tt9HT6QV8CrtY /ec/b8uZvkIsdlAomXF2DQpr8RZnvDFZJiI8saWHt77ti3Nj7fOcHMb73+0UH7ISufOTu7rpxRTV bw4zKegf0AkCpax7k6SIIH5c+X8wLwsBmtRsD/LA5T0qwmQNdjUGZIFrUGXY7gpqqPV5qLb7p2PF sWcEgZV0O1wlisiuAPLRiYegArHuXKzqGEu72WLvnB7gg6XsOQCmAomAHKjlZraRP3I5GPfDp3to Du4Kz5i6NUOK4fRfiT9tgSNQyYMLopGJ52tazhj9BgCW2HiP3r/aT7JqJLM+u4mxVMoe/2et4n0O sXzE8ypVLOIwE500oqHzLBGXEkVjWmp2r57plRmSqDI/VqeA73GQw7e8i6fiLwp0rryIb8kCtoC/ iDf4XvhAB/2p45SI159jsIgfbRYRaJmaNIMbs3hLyzbDOkiGYzoBZwihcIY9lmC0x28EZ2KKyO6k aEKVs3cBgsBNede/9Xq7nx1Gd5asioFykAbK9fanilNvmFakJFTmtNkirSUw+/7Mce29qmTPweZA l4s/OMo2bh5sqOHIANVQ1t4tFq8q0thHpZVVESmpJBLfi9WHAhudTZKtGe1mDIxanCeWQo1ZsBYu 9ov2ZvT2FW9OZFf7g3zB8r4o/j+H2thwdE69rlhkMRQy7kywzXqlBSULepyr5HHA+wID4ZOZ8vAv zhnQPvcIi8ZJ7ZCr6WXeOaU67SwO0Ejn8gtRVq9akLX227JiT4pZX2nl+30EE3HUFlrn+RNJShDE 6o46cnC+NRWf7A7z8N9ZXT4KuXokv3gCvOJd/3N4I5XlfLlrZUQHlt7kCEB20GGzBeFAgZCCJTf4 M8rOjbXht5qJw3Lrpfjx3x6jlAxtE0SpPOdLwFbT+ydVaD7NnprxA1e5UTO2ybbYIg2231YWK6WO rlOMZlhlcYwR/qryMdJP0DZAXu3frDIZcvMasvXhLV5g3g8ia+syFLRHkUEe7arMHCmEfQEJpKfo 6Wz35wFiUBw+fC4TwpIJVg6Wl4C4p5I5C7MULDbmcehZDlPkk1FZASicqyEERu69zvqNGEgd2MDF KHAfB0wQeoYTfbmEo8ZqJgJl9N1FGNHw8K8NbiRZFp1UsNL2Av+euuLGBFnmCL84AZD73LS3k/uu g3J4YMjKzS+sliGEwKz3wCv/hb0hTw0Yb3US7HvPiJqJxyZ5oe2TzPml+UVMJTMSQZXrEQ/iC++x /OBae2HQeesKQfJxkr5niNRJ2Nj4kf3Xd6iifpVMLiGmIdk6dFwEwpCBYccZCGdveWRPg8J89/M4 X9ABIwohGKu18EX1EqYplUUM33NakYoki7n+ARoDxMOrpmFklGMRd0h+JpY/It/T5ueuEn8NdBEV wdDAoiGZW9evEU0XYZeSHnzPpfHwzYs/G9GUSwyPCIslvOuQW6USmGhnpvgsJxtuIpi9pc2dakcN AeS0yHBIcDslv0GpIap1hj1FMr2MNiihrM1uFO26Cq7gABZ9duYG117CkGNFL2OYQB36TvB+VGWY 1VhELP73M7eJj7SfH2b/xaYGgV/Y2qExIRfcDhxoy6d8CFLk2+cube4QI70/+bSChH2k3Stk5YRX ScAdNYGRoKXXSk7C0j9TzO/8SeQxfAew2e1fzm32/sWhff+B8ZfZrpfgeibSHnBvNMO6neHmkez/ mWAZp1czjQ10E3lby8k1Ue1KMvGW7MLZ5jtI1A0CIc019F33bNwbdKj9yD/yJdJ8mk2bZOlsZLyu IGm81vWHZugIwBHs3eY5GEl6qcM1x9AagRe9pNUt0+dBrMS5CxmgNTLz8HHPwHffLE6c/o5UZH7E lmpOazhIA1Oh7Lm78jAhBmhYeaXeGzlvthnDvpw7lN+934cLxGqiO6Y3V/KKohiJsgLEp/jPOwq4 1fDvXyablorpUwr8Y2v36YED2OhVyxAb0lIUxtYVfJDsdhQXgxMasALmHHJLKPQzb+jfzx8ugOle HAg6O6/xYV6Fa4TJuMuQDMgeCtsMEAOQ1I3bWK3oB0ZYtfeRfMPtPhqELofH4cVZyDQe1PIo+Cpb FvaqweGWt9kgGvYMAQGXDbFGh4Sk7cRt4xLyURqVHVXHeP91qdoVrXm96niEmNavftTS9XXz79Mu CwzrwEGhyO+XIdAL5zCOVivvQabhNleVYCA3GGwRrgkXKGgCHdXOlB6JL5Sf/Oife2aPF4UEcl12 ljNSA88XANqAK5rXlIKr3ViCfmQq60fVFAJ8M8tR5+5cuVXWbm9tSIwod7ztyJhxP+aWkF5OBCPi 1Jz6Ji7CX8x072HFnp6gOtBnAuH5wrDnkfdKO/gpcm2Qtn8fV5zsdTlEbcNlY+CsQX60+W0NlDmJ CAofZu6VMBcu/lg1Nudr0F0VWy4CH4Svep7TTBAUUOIxTpMObiL//CtyTirGppGxvCD2KIU0j0GV 68O9GQ0ubDKe70/OpP9DZNkyBs08XzHGpX94og0xw3TIiF8Fk3qPyJocrDcJBlUGuHQslxiNqdXb oWTjEuRYWyRNJHLtHnkGWn1nVv0YnWpWgM/LWBl2CoF+LhaImoIYu9Le1iuFvoTMBKYmy7ISfunP 1PyPhLeWmtyinpjELyG0Ete6v/07GDwBx26sXg21vjvV4xhOjG6H6BEyHKGW78ujas3EAyMSOokZ h6Z9pUgV/lnOrsR6oSVOIp1YapjGkvz44BgtkLmBiB+9lQBuhC3NMmPRmzUPlYVwIQ3ouKbGDrSx wYpzn01BBRuSPnzfGm+349NNJiFpqLsp5HA2kuVf+rPBdroAnr1T/utONFiR5KzajIv8MG38gGfi hGFTEcyLnkqHLxw4DAIgWiZBuvLGREWSCl3zCvm46OcyUQEMYG4b582q+dgbMcoztHgay1WV+IZX mmDJS79zDKCOkNOJQRD1x8+X34yfBlWR4ZjlY8yWwKyzJTJpIKC6Wm7rYoi93vM1lQirW5selTBs RvrskT7tVqqMnBjHnIReuk7mZ4DI9ZVApONFu5Gwc3sAeJq3FONdIPkCZJNUCTRrZyaFhwNtPE8D I3QYpVBJA212DOeIraqJUT/8edVonU/zqblZTDZiVgn+K5PJ9nJ0J2SYUedh76YiKI3GdeSR6idJ YyDIVMGemJ895Oc0PvuUGpKM1vMXwoKCG0LX9XtZXE+Oe+HPOMLmvaL6sQWtnln4qotAn3o6ksdr XpYdD8/0KZPA6YSeD+sdyTfhacMKVJdGyPwaywHl0wKWJ+Dg9/jUb3OfbPjHpeNETEnuyQWY/xYm iZvmNpSnWB6mG8cv/FWkLA3of/DW3L8jId1kz9b8EuL8xT6kFtkGM88FxZUeSFL+jS9SGqW8UzkZ eOEd2lMjeH25whxEKhjmfebPOUX738/SuiLewznZfo713GPfDIOGLnFMJoacM+gPHuX5tanTJbpM CyHi631MG+wGtkaaLWVSrGq+KWhxZWKysJvVkJLKu0wbrigRlwjk2lzlmF6uOl85Ay/tTz+eOlXr 6omFsETseXHC/x5CHE0smCIbh4YqWYVKwE1st5kQ+KOTv28b7qcq4+dFhT8R6rWEQ8RPYTNfhRxo vxAK3ryQEdVYCEjfI1goyOH4JAvjGdiJGoFMdTdCgZ9s77zudqlDTmYIf/yeo7hzERXeoTfS1Rdl Gh7LhFM1lg8EMS7Ufc78eCHzoGwL78SKH/e3d+RSfZ2xcUDB9iPFlJ2+FgBUg/UCZRNV4er+kWc7 Sloto3UBVmGOjdjar3nh9l67zYqLa1ObJR6PCLKdIyhIjJW1IzyAwlglsy01TSg3vWiYoKZIpMv3 +xca9e/dHrjseG9idp5ddGUgToNTWzaCUIv8obbCYVOR4qGeXCQn+gbt0jJL3uBC+yCrfeRWIO86 aLuNLJSmHfeGtcHjTSlO6i7xQqkvIwIZ/y2zyxj/plFQuXlZYJPuW08vvFGSaDgRlsaVjsKnt3F/ OV28mguVprViSO2B1Shz5W5XGjTA8bZrf1sAzDc1x8JznooVHvDYbOtpzb2l1VSM1IgTZ8DIJZIj rXU4vdaZGR8DrqsjRt356vm4ZHgo/VFwsTQ8NSJBMUTzEOY22XwhLlwwPtCQDepn6GwEnpSevFBO shAZ9HwpFxfdmLF9qXRi0UR825BLC56WWuL+hKQG3Agcco+sAiSowA/T5d1s0/ABvALggBp5n2Su qmTJWi3vtOFncVJAUdIU2iBodHrf5hYecz40htv5P8QaMt1H2GDa36OLvpcoHNuKZ1fkUCDeVj+0 NIriFRo9xTrgIFa/TH2mtEsuYkSprpPdsiZs2S4acc0av6gxHskInFV/KoyWrtosAAIZMcUXco5T APdr1XkGmNcErIzoFgfK/ZRFxTXEtiNfeVKgPqc/hOx0xqatOWQmd03v6ujOnLRgqoozEu0pKaJP WaN1a5lzHrTwzaMZjQv9mDFlIi7hBsG2I4T3O58UPzvgU1yOWZflksaAUa2wUmzDqgldzrLW+ae6 laH1F+2jSdoX7viTNWhiAarcXngliDQNzEV2kUkJcTxUoaXolFD5ViLb6XEL5f9ikiU4V2pBzisW e6ETgzjJSz0/lSho2o+WjuUxy+mG9VNTmd37lafG/54pYIavGoetzhcaD6IeeFB223vnNtq9Q0X1 sSuJI2otZ5KFuEme5ByQAiL6l39OZGsKWuAg3Gh6cw9HxCBl7fkF7retUJw5bongZzuz3XS/pSFe hhOdDqEDVVuVN+tSMD/GlAdl5YIIUY/3sH8UvxgbMXkmihH84mCCSMBl8Xn+TmV7oCe6uBP6Vhdd PxEb03sS3AUMYSs1+igPODMssQV4mKTLhTNmXPhyn1ed8f8QX0Z9Ej5Fy6tHVZ/lKingm5BIiX4c 2qDpJ8PgK7BB58EjvwWQjcbuJvVmuWR3T0CcxtGIH8A7EzsHtspNrLYUoAfhr5/WqSD0h1uqXZUZ Ltt4kKRIhppxWSVinI7uFqSMnvvz9TBDheAF62XnT1NYCebzSziHjlksdW9h7vPGEHryyQrXWnBz LoOKTjKNsBz8h/WULX9EPVmRrRpCQcNK4xYyNLrv2l7g45bdxHzXFr9luK4sBdnpmKSjUM3T8gLE o3CtAq+UEJZIGcGVYhMGoNW1+eyHwu9gXmMLRTiHnenH5CkgZT1VHZMhE9rjFVk+j418AesFW3EY KAFqitOvYfBMhVe40y++pZqb1VpW7Uanw1GzUmKYtUqRpzqQV+6kUxxSst8+N1I3HshOX4a8I7JH zIE3ivgvDJiB5h6aU5KWosV/OrVe32Udwd1SXX7x1nD0W+vfDc0sbGNZ6FKY6sd2IJ7LiB5pNj8Q CUuUVu8vF8UOjsb5Uh/i+avyePEFExqfom+ODKgf1jGdes1rRGlmVoKgW6ztNX9IVY5uSmxn+r7e 3Ece+yjwk32xpSMi7FgzFT3TjEBGN8bdewNIGnwPHGLPbYUD0FEkX+7BRn9lh1+WtYHe1dOMgBsg x/AQzyHMMyzZx4Tq36jdFYF0Cmw51zdYQmNuo+ZpgQzntxvv97qaEbAP0Xjc71BD4IFgniHNrJ0C nA9Th/28hdNl2oSBal8dSnvj3sTsZ9cI551ss+nh0VT+yW9Jbul8K1bu9wXh99ymePeRa/Ky8FIJ oHWOnxo5rfXqWjIKLhKW4jn2fF1LY7+pFA8f/znHb2NXWGBRTXpSuf3jy2zV+q8ATh/d3r4fDImY EJpzg/Kl6/TKP4slktWOWyE3OHZemMdDg8NH3p40CMycip0CO8x0vF5VQqHT+O7H7C6d8TCqyggt WvKjS/R9dyw5ZwzoSNx0q6e+ZG/7WJS6jYgKjF+7oc9RtDIW5QRS+YlYLkEYJC0HBSwlfij68AGr PgbGU+a/0BnkQRDL5qCkTUlQHY5OtauHM9yyrRRv4JBsmbmZIQcVpCNiGuWJY+uUZykAf2xTrbby gDM0s4AhI4Zj2yy+nA6whncqHWewyjWDjXTK8TEiJ2uYoTcphPxWkkvxj0XbexJhLRKkp19l1g04 LVwbwQIrQVnACNu+FWCHXfFmPgaIcM/qnOmu9qX8nH3QCT6RuCTrVGFeizOM+NZrr3TWoXSvVspe J2fYGXOMHyNY3/wFpOz7EbnKvXHzm8Wr4xLZkD1Xq9ZF1n52BI+PACFUu3JT4l/sDGnM5e1bPAVW oWe1EMt7yLZQaYGj5BFcDn4otmFlXRBvJtSmbceKrbNlK90WGPqhmkFmZhRcVbtlMQzz5N9c5Diq 75PWiN7gS3I3aDd4XHvx8ZAKFrQQPzKlJDT2a541IBszDl7VqAyBtWJ/HZkSjYINKQs7fH3LzpRb qry1YdMu8ZSLjNgKF2QbV+gFMGoJu6Mk6Z9PSh1ZUXwcOjFefrbMdLvdVXf0r15yGmgnhYH3AqIU F0RlhDRykDjx2zhaQEEAj6vgTTbDjBScN8fUULHgFR4iPq/s0HR+QN8U4dtcRb2R4ScbLQbAbYgu j12ap0Suj18SkUrbULQWaHoejvNgm9HZmpJ2QV8eKWxdkYSiyFBBC5SaallANw0Dayo+Xz/mP4Mu jmf+6Cz4x1PAPR3FmN1SIJEqIMEbe/CUYYxtDA6Psx7qmJBrcLvZ99rjV8DoWP0eIuEP0qvXYaHf 9HOx1A4i+ZfgQe2Tgs+SUHXVV4i0r0B9EfA15nLhZUc8qcBoQRLcfSl+ZL5rKffEUYTz0whPkMCi 9/miPp6lYtIbKLvMDQW1IhhrXA1souSL47yQ4yGQ3ycsplwRP2biI3yDhDnvC/4xQ+69dfSIce3j 4Iw8RY5VAPiIInaEXggPuR9UzcX748h/8l+geSDNmaSx79NmQ4g2bbjMIDAxvVxyQdDzGk/enpeg DJhiJB1OmrU7mXC5hLbjthlNJVtShCVGt85JYgpWA8xBKAN1PK/KooneKASzOuG3cLvPHGc2+Mgr FOwTo0zy5Xt1RDhi5CsW+tvMzY6Cy7DT+vdxcEJcaG1Fvr0Emj9BxgB8FhaEToFAm/AqF0kDoAWv we0UYEzRa3nMQr1igajKeUXcTwkhU8+gFCbuAP8CihAgNzyXWtfnQM4PK3HQM1sjxc4AHdEozbhH 2s1XjSJDdPDCRLkX//+vff8iwg2oQuaa7JThYqUOgo3K9TSfhUVti4QW6TaJxySGq6ZBORq7dA8n 6awIbspkCnkM/UAGruUiBFDCTEwfON7qKBnxnRJVMS/O9W7TzKWdxqRzkksGX7BwTzKpRSQ1h1ge /+Xg0mh4aX+CiQ/R+JNLfsFMQPS+MYxhMg90HfhshEz/vUzg9gLO/iMQRy0mdKG8YmrSCH+tj17l om2oQPHa7w/3LxOcGBZrbe794jN0UsQp88zWgj8K50WkoFPi4wafDvdArgb7R8TfpJ1NYQez+jGQ M0xUjTsHkxDM55MVGVAPryFXLVjZUP1YRIUScr/MvrnHKZBAfieMyn3QLUEKdH0mSp6U6gZ2zQdJ dYSedVmiK9p53xwUqqXqa8ia7PXuVCS4b6biToGfjdLZSSNq4bSYSqgOcW7OOyqXBkRZadn9qd0y dhXRXSri71vr/c+Otm+xOGRuNEW+xk4RHDbzhNqavQn+tiisGMjlGm45MfVpx4S9zMlis5E2hSCV 7q2sFREf8t6GHS4X0qf8yd2cR2TAsBL86j0K9SVcb9iSbu8KKDwPMim3TtoiCVPLtNmnKHs3RK92 OLdunmVEJMMZz3N/5pCYwSVSGjkMMui+AZtCY7xn83o/KR/jmZ9m3gaMw23ZNjfxwUiFR/8eKbLm d7BB9YmvxhezP1HwUiZkpddNZezdaqEUPoXLXKfn1lYU9SZal9v7MJs+YiuSFMV58yZgGXxU/x0G OAJhAgVePO/Jua3/1ahcdiD2y1RluRrufDcZtiNRnOB+fDofgT093vLRKOwlgPSbkLu7mqjkamnU 2itAcZLlzjuY04w+z+hAoWwQ6M33GuzkfsR//XjO0Um7whruHCggcqstemNWPuMhxRTC3Ui8I+qf LS0QFjuht0vBNmgEZiGKOOJ8zp18nGHlMh7NRmzys9Ryhd37ufZ63Lr7HcuOUvSdM/twANDgI8nm 6uB9ZRNldc6HqL3fgiMRpiuIpK/010KPqmcdqNlJlX4+r74kXzU3klKnHLCRWmMXi5esJ6tpi46o 4WzVVsbbyyk6Ve0nSQBTsg6qpoG8zxpql96yMAX3UuwvSmGOdtT3xKK61eBIvhUlEalPPBk849Nn d3yjNVrqWKcU4rQs8M1vBDF5nHfbJdAb4rfs4h+Wb4ciPfiuxu4TUxjZtCXK9w1MOR75yHqkz9kH XWJwcSQAXTo3aH6Pzw8EswgxhRaO4eV74WbnC8yUmW2A9UUgpZ5o7EYFzdzQIuMmDMLhFbya/VSw 6iLQ3Cl2axaqKjgflQw14Qsb3cSPudGJMK2Q1pE9Syda+An5jScqYss1kPX/L423B8vbuuPhkMHH l2PbT6YIpFmfR6hVIifFOTkHHEAtorlHpSg39g1En1pGkE7Mn4krBY/dLdsY3Hr7C3WGEHtn7Dle OUXQ7boV12C/OSdTM8k1pl1Td+30PC1J39IxqZ/ZkWhd88l9RQMB7GhBaBhjydQu8cLe7uPxUBYi Ocjcs54GOpdcggdLpIPmgLnX4enlMdm0kZMEA0h9K4cRegISgLIoK2UdBu1Cnj1zy5FjEFf35+hy 1fd4IBJzSrYxRRGjrONaKqhvEmC9e3POtg/VYkywHxcqLksSFJU0n5uyt9k3fuyV13EYtmpc38jJ uo2Ui8uzJAlA/RfNwdTQpYnXWFk6pw7t2kaZP7vNCCd+o4hcWz7HjZ3xFPXeNYy6wZ8NTez2enQg oaLriJOONTMsIWEwwTB9FBTovkbfJVBSbSHhfOu1zmpU/TcZ0GixArpyDzSgYmp3aG0u8b0BqjyJ yzbHY6Ek1bKSdmHL5r/6eJDyIJ85HMoiXDk1XtCRzkGynFDApOutjhE/Xuzutw9vR/F5rwbxk5ho S+w1t4rbmtZn5MmdZ1T4pkhjoHPY6tPNVr62DoYEGfHjqmzpKYLK54YFqI2TKlFjV7JqD9HxF7/R IZz3CQ0bxFNUUOdvY0OYSw8Z4JkHxYwhA7/UL5fRGUZL2va5dsw1znaq1b9uZ2+AV2TQ3NcKkNg2 IAjQCav4xQGaUz/bLQ1matNieNJIQVHzFnlv9GIIMiKlg1QhRYOHPNsPMcg1elsfLQ/vk4hr0S/x dv1cK+zTz46onJVVrpnUxt0ecG5y+q2MFBhGGiKRsnnkPqt2g5i1Jb/twL2+F07+FW5TR+VCli/J xPxrZuMGHbXZ41rCLKoVV3w21zv8JkShXTwZPCufTd7B7s2Zea8BoaATCN9ggTEfCoi6qb2sjjxd AI18d4r5dlJINyA3qwTP4b3Atoan74Vfu5S8WZGW0RcoMDqgf/eO2Upjhk8MyXQqeYqzVoPRvxhj TFA/AkbHkm+d6YHhCLjhzwc9wToYBeDwck5oZO1U/FKm2TL0KsfaPw/zXazurRMI0KUW/Z+KeV22 tuUiulCm/eq2gBKhacwVVBrbiI05QionTbXjfWKEd5jfNbQPeNOGBcckAmb3NgiHofihtrMgg/J/ xeQTWzbuiOGySodTOJX32JH6BjWQ/KYwvHmKNNxDnbibcOI0fUxjXnb9XL0NTmEEcTo/4ETfjS/p rECkt22sZEKSeRfQD6p1ajWHTqgfNRZ2d14k/SIpt4hrzKfgWAqxT4boo/56bDaeAdwYF3R22itZ SogcALC9ik5H3hRwIw2Tc7V7H+PffjcaV/uODTt87uL8PbFsLvxCgDze5gz/8bdi4Io5nj4UuYNm UdpYTLvpjxADrjPJc1ANNxjtQAqie6VNry9i4UYBlef7YqRWdYBwPuuGYosyKjl9FP7JgXVl64y8 DFVv/hLYdFdZyrLU/wvpvzY1IPpn6IGHTATh4tCWswgay/GCuDMyKh/S0tckHeqWamHn6x9f5ckN Um4fenUYWUbLqvWQnuI+qCvhDMleQ2TB3X+737Xd5gVX1t5J1hxIDTPllIYai4bxtEdpy/jCXmPh 4olTCbhSMj9gj8MY+kG4bItNdp0R1BEHUZA6HNSVA7RcfyOpiHN1GfsW1X2BwtntiTK7GBXYR7GJ R5lT/CMkf6lFq4Ica64T/U8A79dQFMF3x9l6ZGt+2ikb38AMOHhl6hbyk9CA+KOoZZdhfzoV3p63 /jTE3CNFMHHY0CWo0f1mfAQ+CXzRNMtSlvBWrf0xaGBpeH/o/cbnID53BLy7ZqSkb7NuIhRAdYko FXld/Q/8t5GEJ3Pj9Escxy2RToW1ZO34Na2AQhl5PNmqPtC8nPHiFrgD7VA2xepGAWkmKeWDgUee hRt/SymksNJCVYRGAQMVha+zXbQ5MdovsRi/yyseCT1R1PXIrRr57AVDVZ8B/ojQZQwH79Igtx0W by8d5pwAuR35B1Q1va+QkDoH7Q7DLROW7sTa1vN3oYmuk8za1RyshGs/RKsz0WT9sgENwBrU9TFk YRQEWuC77EBYkVb+ikQa0JHpvPoyVTkS9zGKc6sMkOBy5OjhPwyDEnbZcdWibbG8kUocMTxiSD5L kbP87cIXvosNPssPSRMwqX5OulgGfV77BmJtvnVGlP9IcoGzZ7WokQJYy+lIuNrGUHj9If7j6Ra3 Ff4FBzUjQyKAMS+3mrNhRhghndZKHO6Cr0Tb5W+5Sp8BLhxoBSlsGYvVc0enMqYCZo1QdvbJWlL3 p4iHUdkgWksqn2vfUqN96u1WmBbEgiLDplqMaNOBEfaoBZIO4a6jZam+nSOqxNGeQNgrQuPHEIbP 6zk4m7QZ9P9YpmwEBGKtDI9S9hEtocvvJmEsReqb3xXFu4Ys8Uz7B/nZKzXJ09uC1WoeL6YGTRZw dY508uHmWvVFdaEbccDwT9Ew7pRfo8Noe+Veik5lO+U82w/U73Neqqx2Lnbizv4IAzmpSlJVRGLK 6BXgdwBD3E6h+K5JZcVCNdKobeo+IyOF+/W5SRemnKXsjDYSc8Y7OkXxffDLauV6CFnW0sGgsWbB 2a+dl5kkin1mVwUibdR2jdjZMgJvQTqgVKEj69jp7ycorcbSJ0PntSxx09Csa2vqjVAUj+fkB4/0 bX4RYdOC8rji+8LrFOH1IbFWMSG8JUFxkNKDgcK8qMyvGwbHFhIVOQ6C+CcI2DznWC32Orcf64q+ kVlJbk2hMASq7qnvKgJLNitxH/Jt+koN/CZppD3SOS/N0EmWm461RDomsVXuoL86Lgmd8LeBaIWo WrTeSr4vO8fJLbkoSX7yRCXUv87ptswu7aBIrTywhu+gMAQS6YZMweLHtdl6PgMUE8QH/9GCu6hU tbWPrPv0v30kCJhFLcWdyqAsMI00p5VWcoSXsp4F19F8WuknJInSQgPohg3vGvpaP8v8MUL/pRQr 0D91kNsCPacFVDvBwREJNWzgRaaLX7Cp5fvBni3FbOdnf+BYk12yegTLpFM7IGy8I56oj9eA9UUk W4gL43llIGgEMggY2m0UdhsUBF8uyvcsvgv4u6LksGb0CH6TI4z72WfIsv8EoBApTI9Ia/bUoyFH qmDGLQs0vopUMnimtLMs8Wq5Sr7EtJNPPCinefQl1AOs5tm/cWljk2Z6lU19p77FzFTLqqGTSu+h 8jh0A8dehLxZ4IXpYmj4C39YiWAq3lLVfcpbiqumuPQAWen7SL4ZA9k5YaH44yfyH+ml+HLCE/2v i7n/iYCDTiHGPxrVU6rE9xKltyGi/31l0UDW20IxHyoAy3xCQK2900E8d3vLFVgaWSh///0sir7b lXD7IcBIoRZ0AUDJNnb6Tms2rO6Tdgftix5sdG6NjFsPgxwjfULISU0OBMFUxM/Xy4Cs5DVwhk4X a57yHmgyRmAVAdESbGD2kQssqrEXSKI3bIqM0mlLa3Sd6X3JW5bgizDCYeM4wSG6eCx1iLVJewoS F53bKtsvl6ZIHVcMErTN6UM2sVz5UQ7fB5LViAuQlXaYjgTn9OJovrQLxAaH6VcACucQS43A8tyO ZWw4j1Wki19kxWUvQm1vkezyKHJRp7qQQmKjr3IWZ91JEpapunaHefBrj29ghMqYf88E76OX3ZI8 7OBVLlQprgDvEoaTYpiEnJouGGLlGbE0IsL/BHqBoLBk/RoRlyqylrgjAcy394g9Sr8Ti/0OQHRV zUNV3fJm20g7sMiGMBFjuR+aerG+Gg566fQjHJqHa1h9Vy/8e8FXQGvUBnKa0F3PLtohE+KSX0bo xIcDOcsinn81H+rjMsk8uhKpS2q/StcEQnrGriZh7tOwslz+tKpe1MR+6iCgfVeKxCaZme3QaXDW 8ApNoZkGNYGiwiax+rsN1nX9nuwUnFXCy1q52gW6IGr5FZAhrLj/79e3BZwqCHPFuK56yXXVVKDW KOhpdogQuo9LdzFXF/jkJKfajnu0mswKAFMu27mGJffpbXt3+fdJdWMnRomYQYaVYkyuRnIEm9Ql GoZrdVQMFme37XDgZrCxEOhxQNmKNp2Er8p09/kReGSft1D0TLRY7ChoYPJM2i4ff9R4nbvp4CSC NxWdrZKl3y0cwIn+uqbDXlBImFjaZ3aI3bzim0mw+gWzXCELH8gv8bIuPvaSiMZONLMNqO1pq4Ty fl1+0tHHijoKgf1zALkuYXwJGH1ZZC+wQbURDr8X7rA0VQXCjrnb0cXXJ9mHX2AFPGRGxy++Stc3 34lE15exsUE3al4m6sGOJ3LMbhCFXpcSpjjrEb7SkPWFTfR/1PNgo5lu+vYnOWMM/h3scF5LoVKK zgixvTLnQG9b9Tm8hwNPipfYnySg/erR1HfdQ6CA0qX9JLAIChD7DwwO9a0Mg7SUBVTq//d+eYjI nZhPO/pvvxJcoh5PEG5O3btfdEmVeifhTc5fdtGlbtoJ2ORWsD0cqxaY8hDf/KKw46bjmbrDajJ3 +K4Z6KNLDoAKXZVGiNb+TITulQ+Z2PPJ+Y6X3hG5xw1RfyyM28nlNvfL/XEmcQRchWSe41QWb6VB iOTKJHEMlF0v5VzFVdf+QoE0zXDWM6dUB6jJ3fcaCWWToMLYedKO0gfhPK73rcDfWwrauwKALRY9 m0+TtmwqEJsdhkxcBFQ59CDsoaeiloHVKb22Rmo0E78HwM0evgyrovpCfObFlOycxAvy3Mk04uZM bD9ELokNkxyn8rDe3eRMtvlb/nBt6TeCiMmCUBIcq4S+TcpCVIZmcys3O6zcfd8uG9jcYoHeQWzp ZkFhospPZUPLIP6LB9ZW3gIfFqlGGGsNvzqLBnKELGfKT5fXC6yw8YJbeGrFG9xmh60RdaF5GGOr 62GJgud6Kl1xnvV7aisx6wxfOe5SBJesQ6vmZlcMRPVQSgZhceTptTDt7Yjgl/6usL+6MB5xQ46l avwQfsfLz27bRXHWmSHrGyoFjjv5yGUQkzELgVQ9taLGNbTUy28X7cbHJXfYgDgQR8C78gCceRo4 6qntYnFlY4DXcAtmktuUvL0vxy3DPv4Rzile9uNcsD42VBHIoseSmOUYOqHB/f/g0dtImozRbGat /f+NYTkBTMBqUGfoOCHgJ8CXymaFBRFFSxiovT9xO+Edm28KuL4NKhMhxi995ifT87xWVtBSyf6i PQbnqf2YTFfibhtla5W0+qh20zUV4NgweGNnqmQzzppu2p61utC40pzTqs/B5Dq7txKjP1iOwulh ntgt9/Z7lW1cQcvFTI5akRTNZ5rzqh4Q1xVQBfVGivrKwMb5I/EgfNTHAbTUkhZ7/z7Nwx5uTsxH XzJ4QiafkD8oOMtdTlF78Oy5t1iHa+K7fKgYYa6oVfdvQDWPOXf5m9VA63zTD3qCqyPnvUtPBGSG BAzgcXhuOJG9UvSMDrtG0h5cisZu1hFuRZ5lLCWl/h+dGlrAjinyXwcEAQ9lxi2aKSXKDYr8iT5w sipyotP4vz9h2kdZtm94Jof4pKd2dHEDYymqAqYg25jrB54kVjTBvEf575HGcV5tuORmcGUpQCV/ m8/AEcIeM/86FqwMp/qHaLKy3Wo/HXjh3DMsYlvFKZDMYlITz0cVFyqS4QM3Bz1P7jpaPV7EPlPh fSmf39BQO4M74Okb18VJAt3pRITNQ44mbv1xHwP8zB133MPwe+pbQQh56DqSuIeXk6sq7/Eamcnh 1Ow/pMvTnaUQRZZbKrI9MHBeCGNcF4n8cXUQFg4uElIebzfiy5Z4iC5J/euSy+GcPWV7FKQvFYcd cMkPj1c68gv+PDSPL4TU7hXpfGopf4D0RNNG/R10QguI2FVAOl5Dj4FqTjargWJDix3TY82pT5Wk DbCjLyd2hjmB5BvRar0PxY/f4gOnoScqtD7HsKeRRMv3/3yJJxDoXAwIdeIzZfS2oW4Vgxfr1Ht5 FdL1Qa9fTSkMMU1EP2HjEe3HW9+Gi1ADQ4WIPJ1LAAvcOS1l7dkZ8Ygj+H7rrjj4xrPB49fYYWxM NgjH8EgLGkm1rPovWH4TZ3N21C1omlx0K6do+OpRwbLdr7DcCkuF+jn1/o5AZH5l9B/nVChhPlhk QieCtGpyHPwh+Cai62Nt1mMUmmzgJjXeP1xD3NtN+jI9E9lh0WBD/CFkiHpc5QrUdaGHe9Bx9vOh KSLW9O1x8iWCK39UJZXSTOcjWdYJvUl5belpFuAbNDZ0lHOwX+wMNLJegm6qrXL64WsIGqeLu9vv ZbuOH5IV9sODyfmHt+7Gx+R5gNw0ADXNinrzSV8x08o7Qbw/juaWqSGP/Bz1xDF4ji3l9JIjLeT9 pbx1OgTyNIrHlEwsyzm4f+5maGSrmiWdnw1YAwhNwE6B79tpensSDbauUnXqgXMsZ4fNpU4coM/+ SiSRNHSioQyEvIzA1YE9TDehaAwwTPazwr0ZO3tNgoi0yWfgmXjoNHhgGqy3aHo6z0yQc620bJ0c awiZ9Gd0QTwbDusOBtrystkkF0+iOpBe/UsVxNfafDVvDHRVCD+uc+DWj6iLoU/bmFiSx5x9+g0o SwePUtfsPUTTHhOFeNGX8lJueSGgLN006Vow3HDy42sVhIHZQUMK9nJPeuILUhdYJ8X//2SiBRif ygSO8ZFGs0UmJ/zsSErwY8GZR0bKDlSBX0xuuW+TfBGeBGylqpugCHgi1VxNU8448OgXFAcHNQSh UYHstrDC9zjtKmgof2bbaafVvDPtfhJphng4a7LvtbM0ivqW0+vt1g3VaXqYUWvv8Hkjg75u/Wum N3JM4tnIHtiS6q+TewTkXkmaslo2E1st3Yi5dTIiIAOHG2BvgctaVfhCTfukL0fvzu7eqd8ve5Gs esfgO6Mb9HNgWj9opJKn9pSXTBTK/W526LEHbcqxxA+vDGMiW/nf2mAss9iX+CAmb7VKCLN2g+KX CEKL5c1x61duvalihyVEJBepHB+pUEb1NvF65sesE7kGGFJ9RA4ryJJ0Q48shEoPrTEYrb14S80+ 0ERjBs7vd3FlD0OfVi+fMBtUs/7XWntyZkcJ6tSmSeX+f6VnkZeag7QS0OzueY0BB600myHmu9zW sSIiLdBinhj7KuV7xjwwsR4+ke/9Ryf4OLM15vTU+U8mlNf38apGNaMHviwQsX/dXKEaTEnFGcvi d9ddxs6M2nvrKurhiagROTiL8PXrZEEvjtarI0JqAwp82drXb85KAGDdCDO0KkSt0Lg7UGuf+BoA Sic5x2hJ4I/gwjtAh/e/5M315Y0DXS8mevGrGAscIt2LYo6jifwbdkS/E5isgUXEkXKAEz7Km7SJ IuKQGkXTaCVuoKoG99/x4q7qcbTToIPfwkoloNVunSkWuGaFVXxovKRW0b2ZmHLSznrvYPrds9cW 2bWmkcjko24v5yPZFqK8iXMvvIw0UJek9qojVn9nr5wvweXAdUiZX57H38ZWLGvv+GeLAZIpLARZ vl/+bHm0JrH0oVJrLNDkq48AfO6TgOOntM24hervupuubjNVa5uJuO0h5m8gBjQjvXhunPmVA7U5 zDjLsazUPUnTmlV19e0+pljNcwc8ubeDhYiYkqAtJnbDojf0j0vic0sLoTPDdbdpgB7CyUtTxcPH qKuSLPFVbXjbBoNSZ8bg9f5mMiTsWlWzmU/yWNiwl4dQtXPD87uZU//KVdAKLGlzAD1ice0/cAts 9ocrl/+8xwEhgaSb+0U5j4HJwscLywC6K2xds/lNElJQLVIAUQ6sRwRuykfbDau9ozV177M67YZc kmN01Ey3BrH4Kgl2YdN2XqlhAiMYmZAcaF9W1dOkqGZT0ybVPpjn7uJAl4rjmVhFyMs177e6M9Tj DwW9yD82sz9v+02yCvTI4wKwKTAJMCyg/Mx7Kb/TKwNQ0SJ4Em2J5sGUjEUjaCOKt89Qm/8AmS6i N4GXKO/0pNOHD5toT3PaRem1hvjA5X6Z3Ujk4WahlDzH17TYFH1D679aa1WVk3H5Ud0bc3D5O4d5 4gVYxpiVJ3pSmMb1jcy8Lf3tusZ9NtZ3I/T61+NVpR7qwb51m7hNudCB5OPf4JKQ+CQTVS0S3H0n JDKurztkDhE20HLsSLEgqppoaK+Sy4jr3UqYQZKeFyuBdTcWuM6i1XsQvAzANZmtpF9J5jBck8Rh qFA6g18BFAmf0XK+iR1gqtCnrB96XIQgB6dvNKfzR+1bD1voXQHP8g2djyeq/ITd7UZRFBIAoenC p1xBd2lnDfbl1LFZy/HaYT1PJ9FX7w/YyYyJZ4HCKoyD1DhzY+hxWUqem7GwDsT+oYS5ceoAelCs GF88cGUthXTKWY5a+XWnYzl84PfGcgPItyHN5XkQiPwOlVOP0kkJpRZd5LTGU8W6WtUc1JFvhWoy iv1CfpwlwYlxwll+aIqDzVCbKORaQ7LQoQZWGhq3ndU19eA8A5UDIYIkLXPW+5CFAiVO8IAcDB6y 0Lv+P/iGecYZuGr8X4GRm22umFyn23ji+Wgb9IucRbUs7LJ9DOSD1qmjYvnPH/z/odY4x9dRFfs/ 9ocS3oXncjNbq8vwKNcuzZCwCUCWMvq1FUEv3nxY6pL+CmNA7p+wqDLuPkUXR1CMlEPvXB9J9Sao PrsML4/cFP/CTuv/BA0yHxsQ/xMbW0NMzhuMUFUvzK5ZASMRhpP6JjOZeJl4Bzx+uPJsHLh0Z6Oi v6+xK17jCr9slvBs1DXYYjJgU9p/bsT8gNILMAQ+3aFGIX7X6TTN5qASvfMXp+uOAeeS/ppW7rLL LZS9LDQA/ABkiBmp+NYozEgvQj5MIk391jBTREfdmmwY/Uls69u8KB9+dmNKDHpUKZnVAg9pS7nN NPGYG9ueaBnsENXqUm5L+bndfVXgAyRu76FG7Fc5Hq+P5BAX7rdWBv9sAkSf6EeDG2BZIXNkPD5i vgGwH5vnwlkfcmh2o6jLju/HjY5bMYVmE/YjFQL+lY6uYOYJtvJM/7Fve/iQ1k9OnN/lylJ3lBQX nj7h7nYdPdnKoB0tg6nsjKromI25JlH45VuPmPgYZgAB45OfkLK3l69C1PrqxQ8NP6soURTFtPf1 s7WZJ5RLCB3zuypbveq88cfHDgUq0/xssrGAuX5p1d3dYcxDj2h/BNYXDLc3clYRNcHrGP86yo0D Slm+/4anOsXlyHzsHQtTiT3xYp1TsxY65+c5eRoEfLb7ieDgVBwOTw/TEmCV9ohpMM3F+8DO1N/g dvSYEikNnnuPbhiITdV2LDqEOBWkC+A6YABDrUCBuq7MZLfe5okddg/7HN9btlAYV7WsG+C1rzG8 HIaZI/8+bZNAQj+Fjnnhb3GgbMlrHsVOMb/ChdlKWWJL7ava5WWTA1166Qr5YOR6LhC+GL68bz8n 1NSe+vlCLwxcpyqtRwMl/Z2xbtTEAINw1Y3txekbhQiTqk9DC30Krwz8/zgzBvTy4/lAPoC8hNXa kKYfJLmrAsU6bX2VXuYLQIeBvYEPwoqlERk054TbKFeMbmPnCLVcQTEBo3Ak6yrLZ9MFlK7AQZ2M IkgmGRAW8YmToeRQS9iYInI2yloW9AJ2UMNZcyNIe/f0ZWNKbvmBarNiT0gCnfxtsbtEom9WALwe hD+lGE9v6IgwE5r8jtXXHIQ+UZnTFZ4P0BmghwzCV1334/1KE5x4n8XLTs5FYk4EY5C56tV2pk3a YBJfmQ1XLluFvUC6E9FG4oF+7ONrgNTKzCf26e0PeB9cYqZWCXn9z4KcNch5Y0jCY4O1ZqZpV3vm MKhiKCXnY6HEduL7W/qH6P5G+C9dORoiGKW/gWTdlPqdPdaPV3B43vJ6MEXQxxCpe6V3quZMmemp 41NBdvNDdQZutAX8Cf7Bf5XXTNfJT2VtlwdbICq2olg3HLBVOXbLEY0d/bMR8amp0kDirha7pWG4 OYrXtRe6fpnb3IDygO1wFhxh83D8GaFhEuYiEQVmJor9aqxKWzuURvbvFEBMn1FVc/d8uGyOcJiR GwcBDY5zqYca9uwVlDYVKfo8IAvXsxRGN9Q0ZDuIbyzfmCa7lwycXK6r5gU9MSK65mxJU8jsLOAy cE/Qh92edeKOU0ZlsNM9YW0CBVftgthcaTzSdhddb2++gFRfWY0trwLKLS2Zm6VTynQaTPMQawv2 meUkX0//2XJmLbOXjRYreYBZMfFHG+LVqy0oEdeAi1806w8uJM3gQq5n43MTKq/HAwTtwWqCN+Xv z/E+sPjGuzRg+y4W0QTMRdyUFYWzN/5Cp4S17P7BepeV1upBVP+ClaEl//uy/8uo7wpZqWn8QcuU kQwBfOUa/aqOMEQ9CRz/aQoduY1+Y7x438VMgJnVUe0lhS8XJsQ0M7YE4nQWHMcAIOjiJfmgIeEI up5FXMx2u5zJ8/Gmkdle9lMAK5oZJ9MXV7zGLatwh6D90S+67nm+yP3wV2OpzchuFVWe2IW29zFB HVe551JjOjbJFq6bU90MvLm5Q4czrnbwR6/3i+8EJV6sqo/C/p7gxX3n9IQB7M8MpO7tJ0lA0pZC alor4dvqOsqjFq6P4Bkw3hEK4KomzIVv+qaBKsjx4ttNsre83/1+dAuzYJUMqg3Pz1+UAo9ZG3e3 mEGBlYjTfnSj2PshUV06z9NNNDW8Lkx+2y8kWIT1jQHKNQ3v6sVNUI3XQCXkmpgfmKZUaE7DcQ4e 4mo3YRAvRzVcL6jIl2Ko/5GEgCBAFiybN/1W0702t5bdpDqBXC6EYfPl4xb6UZzrtv/FgvlOIEmz CMl0zVDN9hCV5FrlbxQil2yiHBWblqGjWPTX0U/tqJ/lsSLCq+UZbI06rWi04Au6mMKpYQUddu97 fJfSotrywxjGhgFh3J8qD6DflcJ6UPe6lBgOfGiiBViGcvyKVZ8iqfrN7dfFIsfW02oLggr7221V fSCAws5XqFBKYR+uUI7zXGZWwuOwIdVfpfvAuVWyTkzdt7EWgts0hWbITZ8cUjT8LRg5WDbEtWts ny7DYlQ4ON5S8H50oVgnrMLySljwkqPZZ3L/azMkCLifgoiR/7dAXwYVXi/KdwMSpg+jfPcn1aq/ 76n0RaZyUhadKOccnV0PRSdpc8ECgnD0U5gvYHxx/AclNVPI0UB5iSoEhKbwg46ds/Xi4t6ptvy1 bXbNlw9b4ftnsBKVp76yMN9xVLqpJWaa5dup6JBxnW8I5vCi00gOifR6yRw1+Miam00lmME8DPyP QHzVmVl9njOWB4kbWXTql1JeIy8/K5Wps0Cb9x5kRanzt3BjAcCcSisr4ZUpvftWgRY/9Dmj4MCJ YQmLZgy/1/9feO3X3evQBiX+VSkvp9AKfOQUeppmr3gSUCW9mGCELMZ6xc8y3Ix3EnIyPEJSAvdV ttWAE3/5z00gqwVNOl31Ei5RdicXTCNMR5cFxMM4EjEk6+XbiEryWn5wl1pYF5864pUk3wMdnTVZ DdWneyS4RPHmi3LrzT4fxjiobWjNKDmfWpvt8/4t2cfIAx/g3py4nYTDNDTGtrc3wkQ7vN3fc5o9 6eS0WjPN/bLfgrmoFJPBS33ic/0jG8MB8zEST9nDnc8i9GPMan9qYt7LdUUtpYlr94U5No0t1ilq XdEYnEPj0YOlVO8xlTF0WWcf5iKIe5bx2YSqsfw/k4p8aG3NFbmSTXePK4m90WxXFmvx2HSnqprT nDeB3aPL5U5MvBWKoeq/k/Il3zSgR1ipkm/wUDbJmS68Rsx0JNgyM2hYIxZainVEdpVnUPRpDxBU eNFHeGmDm6+v30C7gknryamhhejVCE9oonTyS1+Mgtiy0KE175djAypZ0xt6M2FR2i3BrbjZxOSR u8kX3+95HJrWj8MUo1T6tOaD5LIZoTswksWsHU5cSTlRMFpj8KLNbcq5vjY3RVgA6PQJIqG+8lY3 J6NcXWtFsF5NQ23JRmS9ekaKMU+Leq7CbNusqXYppgKwj1N3S0nAhmH7AIHlK7ZGI/s6jN0tbx4i uUuw2h0zpVmOIMXdXoBK+5x8IvxdDn/bHvXevmHlTqU2kDaX7N70PsOf4NpdOxJQKEH1QOZhgVja vgBOyMMKooyd3hEELmOvruYg7i63JXEwKLaKD9BgJjdR2+yYCbeRyiQNLBAtA62PoV6OkPa78BCU 2aWBqmOCiowpjII7pS8RO4u8YKFrBPymqGrARdH4pLoxudx30VLDE5IfXP39h4VBpu8x08npkb6J 8rtdTaYbwtDxWtW8V66xGhWyQVXeY2xmWaEE7AEmGsE2Aiw+O/d+asKZvJREpinkqFai2E+gi2wt GyTvCJEXF31yDvf3POyFRpDjdgmxBBEIkvsz408kT6UJjvxh3rxPLKGMj5d0mXf6YffAg37lw/Gg Hg9fOogibny8BCsCkIU48U0bDnugnvM2gA/WON4TQjz2CAQwwAahZ93tVKChILSstQyGaGGO2/YP DNfd9/+Vd9nPYDnVUcc3pvOLnIygq6/PdFzMSNcU3RFrYmbLjiBMdbWQxeya0JMVUQWIt/cahDsy cHtDOAYchAtCJqzwmLj+oqbQfY+Bl100tjl/sgmHihre0u+QFHqT29p3hTAiD6fKfxEUyaNZmbpd 2Ma0GUNols9g1OoCtN+7A/3XvfALRbqU5HKjdsxRafn5dq9gZSuY5u4OVCuz5kGwUVfuTZlsYAOE k0Si68Ys7mGnVBZlS8kZNGFLnn3pXZk4wf3VpaJQdYPqlBXZm9WDWInDON4H3lupnTtMY1yb0HIL V2+xzTRWu6l1x/COo/tFSeoquzTFI1hwyGWAukWg1x+GfcFGEp2LB0E0GpPXN93d4dUaq/by3BoM XCrihAisFuqoZr6CVwsuuUKyuFGCOTfFIQaPp+9gucgCrcfKidA3Wm6V8k77Qa19NAy0yg3D0VM8 eyucgl+FdCKZ5OOWgHsyzQokXxvjScxqoztWb9ioiMTJfTkCTkN3OBARDtejYQJNd9IKp+zTs5uv tCixspWdyiEISgBjkNybR1evNMXDGPYCD3fz+s5ucwPVfcwo3R0zV5xnNkATimhX8nsyhOU1ygxQ KWpxXjTn0zP6AasVeSD4OK3kkHTEZpzWa8awsuPcbVpVyubbbpk84UxcR3hmbBYNNBRYuusYFq+a DH5qJZP/zmtgMl1UQyR8zSB1tURyxpq+9a2ohsVjXMRDvd2Vx+yL78VnLpAaEb5Hf0RRsmST41r7 d3CaYOEWVuqMfnXu3KesVVS3W9lwKXRsm8Dxu6WVjlOwB2hAevD4NaXKggFtLCRfZ6BQr7E7irC7 XcpliK8CTwfXgcNhf8qDkMTViLlS3bucnPLHfSPgx8ywtTWmY+b2uWPVVsc5z5YZwDV4LCVrLlgI gr7Y9coUn/dfzrb4Fyw6necqAlsEsHtWmZJ7EoVYNq3kgnBg9guA1ertEE8TFKv1fIq9IM0mNiez mHOheGQz9m4iUONn/JhWos2fDzotdnD1HG4HbdcSC3WDfB+McI0BIEGGJC3ztra0uw+Ac4GjGCa+ nnvCTft+xeqVe1kUfrYIJzuglbkIiUocI/rDXKN+vyMByITSS4sNPkY0xDPlQ0PRIzmSULiIRsPy t/0psSyD6NENWXfqDv1GLLEOfj5X71ZT+1iGCnSu85L6RKFDms9gXT9oRogBd5fVZ6MWciHQlBMv M3gVmuF/EvhkovAxjQ8YSJuuNuZmcYnRvWzQqQQPbihe1pCSe20kX4I/kWWg5sqyZuezg1fNYWiL gdaE8hu8l3srGx3q8eQbY0J8kG/R2dQKXu77Waj3Dzkrh6aIlAhSE2EuRN/6t2olMO4cqOL13g8S XDV22k9WhTObB3xWRjAiZMbAGB4ay0ae9CTgV4mJXbxT29ECIDtGHW0XIcGdaj0u7cIcp1Az3FSM Z0FL3ou4jKGjIFmLP5KOu7QsJB6FwkeB/7mCXs8HtbVhGuvZyV7GZCGSMXYujiGOSYOhJTrJmmy7 1PvIaX+UVLn9piLyiSNBijCXF1W7zVEouYQKu1QwaimOOGCJrpairIWuMT+JrGZjDqlp3hMXU1Yn PClhbIYM2BQ3hJmHIk2U7nHIxR/Sp1/Tw+vaY7oTwDevrqIQ0qpoBSsbUVRNe02cqaEQDZNVdjLq AfqUOfwXXdLanTY7ioW60OBjyU3VYy/98Ex+YXs9Yjnmo4s7nm/e4NCxsqA93rwqmPtTgUwBt0TL 9Tly9SH92FbHOeBiGhEYB3im6Vq5Pw3FkaM5x5HK6bum4e0UwaYM+H7FeptQcRb+PxBfYgyHIB+t 2/lk07tLLTI0oYO/P1RYN4+WImWLDzEtbDlqEdP5z9IdIMBhSOQb+OBgfyxAfOn7/MrMG1/Kd6se 8sah/W7NPwyNjHPLw0yZrlOz4N9jcVX4wW6i8e/WnckIRGYyYAsGXovWvVFxjINMcWn8hlLNuz+/ iPKXnzvuEBOyWXad+76LCAS6P6v1kaeBLaCPs1J+wKxkrX3CkokqK2sHq05PI54ikgq7cmOpIMBK wyJxFZ3qDxoZoW024vY6wPe/ONdKQG261AF6w7rPF8G8zTYDRmyNAwwojxJ47r6P+p0GBNh0EY21 yClW+uGIGnSpKRGK1GcencnZfj8tVFhvOudwPZ+JLdhm9Dme1DQCNpDXbwYt1k/1UjKNqa/7Wvxd /NpGfdzsoKksBYvWMcmKA8tcZzKcWMk66sA+LnIsDv6H7Bm8J3rpPhUgCA0ldUs9rJbEGW7641rH lYFSCR/QwNhg1AlVhh3gVlTXP9in8YJtQ5XGmkPX1wuW30U0jtu2gw4nzJUF5ZHfzb8Gkd4TdJMk iAK31n1+JBDWvfLfLItpP1wbb40XEQ5xQ2kNMF1jECCd1M5YegbK4fnDv3BsESbVkqRp7R8Pktq4 tgRMWM1q+yHVclkoZTPrGvynv2KomPTMJuPktDeJJr4pRlKFEAji+ms92zSL14H8rAQ7gTdSkVeb gt4fyy/97tPfFhS1YxTUS0ecFchWEiv9nSCXwwYmRWPoqvBqBrzgoVnpogDzxe9bQf0O7+tl6r2r E5ZP+ybKzal0fra88+mBERaz4AhWGEr/CdpXwkT7cWS9qrDslWv16KPJLJmBFAM71nXmmIq4TmB7 xfXHTwntJm6V6DC+BfKtoh7WYXD4H88VOfOgSlHViu0qi4gMKeIPKCCHWRWfpVpp6bQ7/W5huUkJ DbWyQbiGOg7I9PDzWrwaM71dq+UJX/uleN6XdOoxQeIRLjyRRsG5JFXC7HYlZlsXQi+vPJjG7907 7WTbe2TuFKLC2Cpd6q/1D0rOA9WSZ2EReBUCRovXK4TIxLIUVTND0x9nbKP/BNuDvyl/o8JkLbl+ UhwFK/oquAYYn8XRGfrUQFMEQfmvzAzvXgtsSanYKMNyZSzjIxWzvnPNlrPm4kT9SWuxmOy/qrM3 8+79y3zFn/CEvGmRs0tMDny4xaHHtIkHtW91SpfePrvN+/b4T7f5f4fq3TbPskF0OOFbfB+Jq/AC 4Wcia9LwgTazC8nEw27QJ3j0aRUoFMIyaE/TtM1oakJ5qJFZM1gtLUMp9QftuNtolNspX93aRacJ jn/pwIzt+/MuqALyWJ17G7fH7GHsLn7Z9qwhIqpK9oiRHP/JKNfkRUiCkNDuhZfK7WGJElaGFlxD K/P6cc6tTkG9/YfUt+jEsUMUbwQ2AlCy1TvQ6BdPrMIfs6SuWRW1uqZuh7JrchhT1oF1uhaccpO/ CK6HJv2sjPRUiBW4eE/G1Sly4KMhnUFXcVjZMfxVoVJ8cdJbic6OV43Gqlet8SDW/waeicHwpfb2 B54Oq2RGTFqZLt9sZEdBJkBK/7QrfTEMknBLuHaYrPRBdD1xdPuDvyFY4Y+PeyfdDk74VVsMzAn2 6xd8aE6TwoXNM+OGdKgtZX7g1slAZ5WaU03q1o9G8cq/W/tWbGvwWtFzBDSl9AAb4sIS3pgHyZW4 CU6WaHWLLVMYH67rgDv1y2HHgcf016TlEIUFS1IAioSPdMsBeGXF9oMP2aVqG+OVrufHXX2evFKl 2ZuA0f1nd5foHCRewYLmx7smePzM2UP8M7D4iqk8IxN8qBMrS0JO5wzMCoUt94a62RGbZ3as6Q0c cyvgH2O8rdjjwqxg3vbfcejS0gH6qBaPTafuawefuu/mzzYAnVkRrl9mFKeMTuMkLYYzMcNm8MvC o9sRpM2DSrYrVkCyOduPVKo+Bkyaa+40a7zPSCY/3Pn+T6wK23VHcKKMj5uXVPhS4lKT2a/wx5QH 21NakiOiyl2n/Irdig+POfBSbluFwRpSpaSk0JQtFkhPAa8b7O9/mmmf8MFLFMTrr6ETI9GkiI5r 0HMKdidEUrXE8I4LFQp/Yznp0LyzSCW7gsYu+MrWQ6NM0wkY8Py0RwY4WLoD3303mKWw15X+l94E LvuEjJaJGYjajzNLhOlt+rmHn67oSuZC+5B4rK+3unaQL4buqTivLrgJyP8hL856Zxn5paU9yo8u +M7jrbhLI8HEjDoJ4E+W2hUHDXHsRV/oZinEGNv6+sSotqWxV7RkQlUPaG0Xo+VlDx2o+fWbUgB4 CxW6hyIRZ/pP4SXyvOPkfTFqFAOlYaY55AwaWxIXNCbax7emNEL1f/yp7BPbPnUi8f9usxaFrv4M 6ZzuNbOPnV04zI90ht6YWqCjywo0Dgbyvg1e9l/QU/XwEGTIYpX2yVJCAkgEPJAIPI/JROMNpoNn s8O9zzd2AyxuQYwinRJ1pOf55JGFXZop10jimkOe/gY3LWQlP4W3BHZrw6U62eMqD7LQ6irES+Mo rnSwgSc9MX+lT2jVQ+ZVa74YW1WKmVa5rDlh2x0OC4B8dUyEoima+EWAVNctJdpqJQNwtogsBiHr 1guclnWwbKRAjN5up6OIcdLlnTn2k+WJeiokYVYifo5uFLgCgNH3dYD6HXxSotdZe4Rn6BRr4EB+ dgb0CCblH3se/5EaomY3UJSa3sj7uX6WWDL4AGdeeQAcbfLpEVzFrlW1ITmfg6zlLD2OZsuTDhGq Z5wKe7NaphA7/VR5T7jfO+aY46H6uJ8VjfLcwCfHr03vhqKox7vJQv3BbtdnISAa6Xyvoyl94aNb hdWYMqbaDpOSguXos54tRsLHaKjZSwBtolMIsfm8PuzEyD3pIPcbtSQF05jMVit/s4fM1QE9VVP3 pPmPhtOtCMPj1CPYp0tWrK9kWghyb3V0yLGVB5wycCJFJ3fptqnGIzyGjRMxL3dPFJwn7Q0CjN5R JNR+pdZvkmS0n2Z/r4HNTxzmsFzORCgc6//spcfZgidF97IzP81SEz2TTk4GuG3zt0Byer5G0fnt WKfoVn2qRT8hLEiCkjsTUEfz51BM3dB9+CzMESLxgSyq5Nyr3LmRojags9/sXBr0dbAAtxbNh1IJ qdZYCohtNDTBS13rwyTfN7+9ENewBUub40+YgZzh+7kZEls2lx0Snzr0SPWNAuiBeBD9z42MITOy zeR5IIXqVd5fS0q4ACBQif/gQLi0yAVQOK33hgF+xVVqmpKaK9S66EaYLiFD9J8Bja4IG2jM1Zof RBfZdWYwIF9eZ0a2tJ8OxkAK0y3AUp/YxxXg2cPpFXkHFTYjxOM1ZYj5Kjfa1h+6Jn/GD9MD3uA9 Sh2xXxMzQuwDpQ2xZ4fyqdMlXaLGDkSUvtRkSkXCDNfLSHOvpjmJHhKikcjORmzDTgLcGTatNRjh aEHNBJ4oynwKbVCarWKFsSnsHXX2wtahdMVTpYuuL2fNNWB0Ch/Izn2pGnACQKzWpq7WfU9wc/Sx hXHj6a8i8jQTsYkLMTHDwFGxXRagr9UZYfga2OaEQelNSCLU8QqHduG1kGehY/LRYXIAju6eMNSm SCnXnmLxySvK8Og4ssEGmCv9M3cDVQy5tESzpHmEjOUiOatZqh5RMiv759Gmk/b0EgPiwlsuGRap eEZ+ZqhmjFV5uoy4u+FnArtNpYhBS0IgxmgTvko77Ma/cw8rPGKRbTP3d/dl1KPzRvsxmJgEUrRj YT33cgLicXgsZh1aHKs6IjMyLme1/X9Wjhn9dwFzKDOVp2+ra2g7WCjCtivmI3diQwd875e8c8eC h9ORIMtnF0Q2Na18llAYRh7CLsA1apMyCTc5CswXPI8URvZrsZ5DPdkGjt4oyPkZ+SOPt+p+enQv KYa/49xB482iLXJD6LfNp6caoChRYI1GQT/w35Ixodg978KP4vSqZ6bZIpweviOz9BUTXyEgmdYY XP4LxOfXSeJGi9cmIxXpQnA165RA9CcHzVndAufBebf5bSdcPDRtglg0cpHgrS0XDGo81qpHNnCF 9FeUKr9ja0/I6EpAAKcOFGBpWeLElUORTy7pl7/c48m+z8XdinK/BaHBV730Wt+IxBCBrOYtMkDQ CDxjNSabQk04C+z77jYaoVj1GYZuwaFot8V1vWvYdVz+Ka7KrhjNN9YtPm6bIsxXFNwH6NfGW4Lf x8WC/3Ompfu4FDDDVxPCpZMKX5ZTt0MO5EmTNa19JokAO/fG2opGSnSD+zNOpnW9a5A2FQ005Dyl 4ClVYU4YmZCStfICPorqOqRkF6iHiJd48bPBqdcGMynKnxxfxbFV7PIRRJgWCzyjfFEJKWGAS+D/ 7drKBeo6N9GG9NcP7i2LGQN4hlIK57U/Ehz12QO1kNY3kq4JRsFLfERS7Jwpd1JIpnHlGetHXUxH irJwCPkn6gSPYngswcYW+alSz50ZfX9OAjgwWqGeuIcYfTUGgxwnfsnN22+kC5EMKmOPtZGjvosm 42aHYh8tm6McoCh5rknHLWBuDKSuvs+gvpw5DQZ7PrRDTbdaWB4SdOd1ratLss2wrMtzq7bH66ZK 5LMeW1tV7Ya6EV2riQRXiY4xYWwhdliQoofYzro+/ScVrXXffS3K0JJDDOAh9xv8Ul0ZXGRgGbVl Yf48HPaeOuDdYgwAL0FSHUYbkWJlEfkMaRT1yk7kH/jdyWZC+BUYYGGu+CAiqY8xCqpICkugAawY R97ZxnmGVLtShk/No38bPizju3MD854J16UOIK/B1gJA97N7lw5xw1Om1ZTabyxjsy29X4BuglLt ceLF13ME6LBKsYAkfjV/x7qGbbOWMK3siwvndvaGmUGpDuTT5oFHbZPg9IgDDI2AlH6V0o/OSfqz DKT3m31cMwVAR5ULKqJ7HZHTJW8vbOoCD86HFVkpJOSVySXG+30mxY9tYY9Tyw1+0hlhUVX+2hEm xsYNauMAcSQBmVtwp+M93KU03MjSomPub/Z///54oHvLpXvw85hvxlOCEW0iR1pyYmXCoeMQ+4Ya ibyq+rRcVC2jNpnrFM7uLS2OqFFN8lnuT9GAyJRYR6EDoB+lmggHzeusnikieDx4O1o2PeFPZ/sL gtOKhkV0uRRIEO1AgREgEZOX5G5RVNtiUNcyztYpwEHt6/3IS/durtSdTeBZYf5qiURcr4aJSZZm y6dMQtuv4uWYZZuHVy1dISKU7tnrBESktjJCUxHaVxIrirt2wNr8+CH8rTMkAyrW9/tH/dF63z44 t/jpE7NHdIsHJINyuD+JpUjGvhYTcCdRzXN/iinS5KFulpV/YRIt5jeQALyTIKP/vmN17LU4Hsbw 9IyAaC6GklM+JtDPKrSJHHdUNvNgrHKUkxPu526S4+2QyN40OpQQHOlQu35IRFy1mrQ9kdyNjqjm xSsWy4z8dTIkuE8R3GSCfTBsFsKTbmIL/3/cD6+oIbur+GyCuMcbu+oVG1XollaqC3skeFdsL/rY akqlwtgOZX9l79XB+JoW46Iq2l8nl2+ThhSUveotkeg45juJjUyK39ifoos1S84KH16KheznqPPy rXyaO03TBpjZ/eBpD7AqwUgQGOPpD1uI2SLUV5fFy3cf9wqsgOxMIs9idbKdMQMoSDye6fqJnVUV FTt8u9OnAOTfDZ/q9jNchwaF2rPwDcRdkTCcwCVN+9CuDWELt480mlXF5Y4NAcgat+GQ84KC49MB Rnp0NunsrBBrs+uTcHdLlCtSYpgqQY6jn53OzZRinWO/kCUmDqrgQO0yKRcahSyS2bXnNW8RiDFZ BJkSXAz53RK/kcAHhhnRpQhb8Idm7SNmVnKBq8eD5h4LKYpnLPNR1cMMqWDxqRQrzW+NsSK5FDPA h+81MU2xIq3pjdyDsbYRQE0RFuuhranEjyVeQi7s0HAF7GTMiCSLQalpn3SjY6XwLL2bgQ/GbL7t ZI9hA6PvKFoFEXWbEHsgAnSRBt4BDPE/lneMQq73dl6CDFWUrvUa2UoPQT6bLoF07NMBpWgNNOoM Zmf/18NIdgh+0NkF43gQzxd8SdzdG2ZlIaDjmjWdUG9ZkxUxDKCLYHwpIYfUQKK9OdXhpRUNvCLl 8dlCG5REo8U1+rRnL9qnIffgvvJASYgbT4eeSCqP1kE/iEoZ+4ndRPx4XUdKk0VwTXq8/J4uDYTN LTLAOzHRUHZN6Bc8Ub1a/CRI1+xd7TMqLiJDae9W5O4Ut5BnhXaQ0IM+C50VK04hse4/U1lLzcqI vTcKhnh8vJhx0+5LG7Re2ieeDEfVorklmvP0JwZR5mM6qtKjl36c+FraXY4eqTZWZ/WUCzmBuEZM BgIfd0lS86aSH86a750uVxte5wYnQC6MYBgcokbjjFnzS3SRvcQNJzH5mwwrgpSJpIW5OlAXAa1U 2BsKEWN8WbjKLByH08WYzMvqcWS7YI9FmtIuh1oxYChQZ3B6UifYHDXmb9h17FATz1WFKzp4xhHt DuMV+heqdnTw3+qVcx4xCFlrw6QohIW4GIgk+J+xfWoFWj6Fb7CVyQ7X/AQthUCW/H4lsoWb6Q4/ PMivsyg7jO95dQXwFZICLj0AHcpUHKi8+JgkmQfFAnH7jKLZWw0qeGOgI3zklhCtk0t91g2Zpo5X iEoCdLbOeTadEN99O8XwGX1ysWQ7mfvAhj386q4P+6XidN3OXFaTyAiXSF6jR6fvb0kA2cyS6lN+ V6RIo+Nl4JN95aYdjEzsgKQJnHsbhALfKyR5YlrN8hYrpmqbc8tiwKgoz9c4g9yvx7oWy3hhGh+Y mdPqeDxl8jVw3YwMaGF2gFhvMk21y553FhAKNAPWyBpU+ogaDXpCJWNrYUYJph39s4X0Krf/Yl/Y 46n+TMlSY5TgoLiojHilCsQBnDly2/ckEOqLh7s3olrGESlPYszrEabpXb1Lt/ROsfiGqmQUUE2q 7NyGQ8W+t/ULSKDqRTYoh78BU7DODdIn3l/wqyh4/PAUfhH3ZmvrY18wa2Q/vddM7b3wR2OH3bud htMYnEvwuL0QagB7kJC06R+uIMJqYRFVIUe51HFQ1jsqhET3mLoTILwm2aAQd0Spu8A9Wr7JlHEy Go2rVhzmUhoiVkmHPx8fLERzXkgTa/OiFarJGq7a+2TGVo2cbE+L3Adrg1fpEtF9uBRJn8++nOHj pjjfqrCAQhfQFH6TEHYhf481sh2QRXRA/wnOhkLvXYn0fomJTmBHSfiUjynLVtUcmQbgVhG25s/+ r6zWrYBDaSK6RBJN5k2ukPrtlK1BExlkY/YxXecb9BDgJ8KTmHIoHKK5J4ZUfQv4h56l40L4Mw/5 UJTdYko8XD45eyRgIfJO2IzNwlhkOJEVB7Q88ZKXaNN0DDFob+qTZJkUI1OQH4rACV3bo8OeyPhe G/6S4ciNvG9541Nyiifwmca1YxIdd4hHd0CzwtJ1Bfa9S9m6Lz36zFUyMCjxOfj1LGA/JxnF+ppx k1IJ9FVGY/5uSZh0oXWfTRKDFb5FeBUqa63Hgjw0tRhBXz/NjXDc8NqtSoGWbSOUno17SYGkyzhI lVGTO2E0AJRh/GyR6y4Xv7z8n+hzkpqvmqysdi27Hejzgcfyqj7ZdzDtSuURP2faaYCn884bAE+Z B+oIm1iPoKVzoy0Q2oVi/hap+oJvRdXP0I5uuTY3S5Ju8xbh+DwIL75KzhU9nnN2ZnJqZ4FDOD+k wLJF+UewIe4PmRnAqaG8CX3vyQOJSnyvCORvL0RG4PMreNqsKtXSzXJ7WvP/vwyXlLUs5qFlGoZl Rp2NEYfru1c9w2vJeI0uuDQnE0qeIZ6BD8BVk5kOKSNn3ZLKNtG8NSRMyRaH2eUxu2b/IDs/W/lH JlLt9bibICvbZkfj0Y66FiOyWTk2Y1Ly1GUKoRiUKh7AnKmCIN2fg06W60u20jzAAr0ik4KF7ItO Bmqg2AbONsNke4au2R/Uav6hOKBb7alxY8XLJKww7v69Y5YlD030mboq2Cv2xuy7hCe9FwSldbr4 o1DdDUz5tg4zMj5QFUrOqlxpV8tB+i9X8BjMBq+DZMWrNF7OulXGHJMfF69D7uWG9zpgJAVHI/// WFBbrXDOU2IPHMC09PWtYGMPr6nn6CC7jExe13uDDw97lDdb77/3FeBwv91e01E42pPAsC4Mr2CJ WuTGY12Qymw5QC41Ug7yBDpD/0HekpEZ0R64vr3hFCFWuoNc/TDiSwt/wfiv0RQdZEqC3l45PAk9 SIv7e7pd7zX/W/DBnPT+uz2oYYLz6UF+4ciS71tXx8TTsMgGaPhzff2FZttYmAf7MEzOwEeyHRB3 wCblUWwmBzKUZ1BAYqmvdiezc4yG+X+iVpSpwS9jXJ1FskBSm90mEAdQ84z+ZvQ6gaGxFNYlUNJ2 wtdFFpiHwxii9lGK5GwelrPZzeDfAOL+d6xcOG2YBsWtgaA2lZTqaBigw6SbuqBzRSdYlc6xXfiO awmRRSWuWqylJS+2XmYaKZQWw/gwn6M4AHPaMg9/Eh//B9ZvXc9Nt5lasTqfXswNETw9Zse0ama7 hmw+TDDACpT49jpWeb3D5sR7ZV3OcAzPDCTw8oT68Z/4tNZLilHHOBu7/tMahsxQwfMKwhs19vE5 TtIrsAvlqG0BWTr7foXuvSLGOYiMC9r8fm1Gog0Y3kwlvdItroD81YUm8WRJUya6mri+Kl5S2b4g ZjxaEcCmwaXitcPfiumyOAk2JnaAu4mhdg8Ik5qMpbfPf2ZdP7uOKTCwgE0Ufn4Eh+M8JvFZONA8 w/eYfkba+88GP8B6AhHDZ95DOxpnCCRjChe3WbV/TLz4C/1Z8V7HkLu3UBNtAgw6Y8TNLtJO4FGJ qBgIoSc5IuD+P5ulw+F5FPQTA37JdxPsbcjEAlmA88gRiED/i7VSPZpsUKTL1BzvwNxO/F1ezla5 0ZOeGxu0tJw7w/2nIYkPTageWZAwyiuEhb1Aa/JkCkUcUaWWutvuQEebj6b9y8vAhfpSwKxe9awh gebXMdVyDUSC9GW8KnUEe7ATuGs8ltBvdHoQCzMHdH3xXIJPqVzbIG9yuUGUTIgdFLqer3yHbZxW DCvBC2RXGf+GwCFH1udFGnqc/yhF9byUbfA7ctunmumJStCvYtiv6S4E88C4QRuwj3fktXACdyNS efbfnVkYYZlwXjJRcVCus7BBgsa36QZAunWVuf1VM1Jmj6rGqHOJcSLzkutPB84wmTHF5iMMd8as BIVhlcmPghsbgTSmx8SonMoBLb+zmY9AzUyR8xlGsRz2+C6h6AOYHICLyCGBKqrQFR9422Ndc08Q qVUmhuMd/YTGpWP49LCV5UPaQfsYjfLSDzw4Lw3GdIaL9z/B/Rx2ETXGHpAwzEg4iJNhPiPLq8Y0 x0SW+nkKucopQzcdNlt4su4LDVfdFg5B5xRYQBMF58a+E4TpYhv5o2bD4060hWyPQb58svZOdrWY ha4gc/HBEuclCPmr0bhcVg3UtIAwe2H/Le95Ijv2CIN/8wv105KdqCccc9xTLYwstzMQgM3dPpJ8 BKquWa59sDXpTaiIhMN+UqDUYMGIgPEtaSTWIPPT2klqJqSkZkpQ79EJMmpwrOSaSEtWhqIfz635 yWkaWi/Nd8AFHK8t4IiQhfD4fGNGZon5jVOzgulY2qhXkDtKY4P1um8eHL1DiXv9rF6ZOvok0ZNq HMme6geN6/ZzAVDNUs+tZ5+9Dk1QpH0UrNMjEdHhTukvZV2LJF8EafRltrx4AxPv4aINVzrlNFHR oIJV7NEs8w+HynKjtiVAKBvqKwL7nmQkmjqy3oJk4RlygpiEzCzX2KV9NYmeqsDqyEDhsOt3ZDH6 ruFzp9ed/vANCNhp71kJmv2ZeAPT3j9qcbMy6wzol1Rx2bTWSq9TKQ67kI+AzSKrLWZsUQHy1CZX 9udIgr8cWP4ksIFG8ihttYjubPKIuHxJCQpKoR/Phb09iOLmGvR261fa9uNS2VWWV4OscTbM6Vfz YlPujIUbmENgBeOEF/YnQlSsE1mnKNwcvOnLo5OAjcii5SkUG0J/YXTZ3IB7P95XlltfZzYCsyD4 er8nB4JU+alLxl7eMd3iVVpDoHLeewDsQiL5z/D5zL6tW1mG2T4+3nmwsxVrVh/NDPfqQDZr54up KdC9onXNg/50QlsB8ZQ7TFbzPrVxS0d75vmii01dpABNBl0ImLeK455fmBrMKTHxFevcGxNO981Y yzfHuS/Rs/gvqP5OnSOgVzhoKnty6eQwg3hB5DmPtEl/g5wGivjYlCsZXFbLVj6fiwPlw6r3haok 4rN7fJskoJ35+HFIxTZGldfDWLEXi0RZ7IAQsO7ltvwJIOGrPQy4H3EQpELYmoDmewhZKewAfUVF 4KFj9Q6ERZcGtTUdev3p6GrOLkEq5UnEWiRt1aFrO6L4kTK6/bXA1of/Ga7PQpwaPh0Gq6BxfLsd PTLTtt/Kjyha/U8pc/YCwX8bhzgs430IZHwwe+GVfdgeFxdX6kND4GhIZOXM5L5x42RfziFF27dF DdKM6k1hLVv2x7Bswd8r1Mbcqic3ao8OJW/JUcTvtE3obz4JUVQVBueDmKkj/bDkEDWP3RlSr352 TH1i1SrCgpft/YfyjhzcynY4NL7mCm6CH302HOjMUrtT5KBSEmVZUlHfZzdZIqJHpdorpYu68SBO hm9sYZNusF+arSfTU6uKbt/BZz1qCtAX2EzTff95iUmilaNYsn8aswg+JdBcnCjQZWK8EV6Qc42l phA/tbbgzClUSP5Z0jur+jzI6b7gqRwKpNGv1DSL8nXLOi/Vca57uqmHaES5SRoNNxaU0ngwUmsO 5k0mLdVbiPm+uRykF7Gz5N/uLT0NI156Zf4vquOTa7PNNA8XiKzEaCfhHbE8ABlqViwWmjKlKaR2 Nuu1outBz2LvWvAzfYqGBDWp2DtVQtV9fRzYLwkVPZ/qu/vht9XMTCnKgPzsDAvnq2+JSPyDr8jv cOOLUDO5ejiw5aLKmruQkVJq2Wa4ahFK5PmFtUEaP/jBgCA9ftxoHjb6sYdVCAodPpiNHjjLb/9g YI56VaO/IklgcSQg9tVKnKiO7s3KIJ05GOoZdOc1C4uMkDKAJgUJtHB9ecKlpwb9yewpuv8pAjXp VBOg++hQwxL2B8sMdsmcKW+dBQbZR8XBqbooiMYM53YGP/q9jOn9CwpvCbmKwtvd5DCPWzMzbkn0 6/iV2uvLS15rl4Fg5D1mkTLRKAXaDmnxE4Rs/5y8tzrnLIuYUOkXV1BBDKQmHj2r4yww/+A3tydg 1gSyIH9ZWLX8IduAbD5vCuoADEc9InybdvI4GpNv7nbM53Muf3FLw/oRgUe0CF+nUDdRk0P7WQM7 wCqSHo6+0Lc8Iq7iAeTEfeuTG34v43E2NtTIN6nfWqtLRMqiF7MTdw1GgmWq272B7Ou7a/f7YRQX 2t/nA9hXDZ479+yIIgn3ojUfXg57ebfixkQnTIgyQazh5FdGltjAc1cCjonIU5knxU3hr4C6kRqI AfAL4Xwg1L5kaOObo6VvZpZruZtdEqQCANlN7QW+8eHMS8iLinDR+CY+DpN5a0uNrR30gF+UHDph dbsR/zhMu3d8DLdWltIymYAIEMaK0CsyNGb2MRJ+J4T8xhEHmzLp1i9uQnbmeXh0KEtc85jj1ayg cjggssl9aUwkR796a4RlB0X3iytantXcNOMvbb8X8ZDiNBNt9bqM0MSY3Btna8dteOiceQX5O/f7 b7itTXkyNs+1SVKzk5JQdpET6nRVhCRGWz4ccvSOGBEKam1xU2/px5etdpQ5sB2Aj3cXqfNfbaRJ tqPdlNLcDNAg/syxHXeD+45ejXn2m94RbxCEYfHt0IAxOtuF6/d8LaNQsRVZmgMIAbXdrp8NJHUG 5SSgrfKrTc6CJeIUE62up5wygxbrGgfdtvEmaKEwv62sTXQAhMVSbE/ewMXE3wPKhRN5CbvoxD5K kLxurIFoYU0+w6+FGBTJG+/un8/hyEVdtyS3B8eWUsT8MFLpFiPfj/vPZuoQFP3IJUAh3G9QjulK 8OZL+LOWkQWyx/YaQ/t/FcMfb12YXR0HLGIQ8a2ZMEtQe5vV24StXzaF7CAvtbF2V0N8+LZNicTD mdAwKXerS0+OeNMcpNRkvoCaFOc/Lb+Jn5XOTSsmPn0o22EeYHt89SA3GkSqmGliC/Ta4DQv8fVY mpRZm9lNXZ2/wAbKdADLo+NjyJyGmIFlyiDA3pmL5wbwpxnfINyyyvn2kjzKs6Z5RRNRS+VTm0U4 OdLlj59PnPX0Dt5QqKw0DqVwckd29AsvK8WwCR5+UCkkOAsBqMgL7FDv8ArKzwGi/Wk49sVJ8x5d u4vZnGrM13G5mhyR1rZg4Wo6OQmxKMmyaU5dY8t5e4hTw971hhjXXikP3ECEFUseEuTXl2PgpmUp LKzZ+b/orw5W9XBJ9MqH1F+JP6RfPGKyf60Gzdxqa6jN0j7nl4OA0BLWwZ5xli+oBZlSII4iUnS4 rHk20FKAIZmUmvjRXaddRxk7e27dgugVM5aKfBIAewdpuWqpPcyATf+upTjBp5pzGzeFcDSyNW7p nFNAe1AvpcLQMc5MUUgBVRf+QkMDHp2ioP5C5GZtRWgtdAwmQCb1mxZCJ202Ji9N/HYfgjKbasLx XccAfPvBQ8QAXdx5RitI6yr8DToGZcD1IIy9sD1Zir+iEKl0k8o52zLqI4TWGXxMPBXsG/ga8EYa 43/JgRK0Z0xkFp3LWmbtCHes3IP7tV/vbiaQz6txovzRziB61q6UgG0fi58cHTKYOykj6srA0JnV rx67nVmpL9llF7qxTiq/rZTGx9XzciLlHGhm0BB3UHYW8N+WBrUbvrdVGf+g69i6HMuinXEBL8Za qScNB5HT4pAPhPVkXLl9Bp+jR0IsjTeKrA/l4xEXhoiot8sW+gO/osKxJ4p4YmJ6J0bUx2+IEON0 0hyabfd/n+in9r8ZulxYu0nCCTeh7THnxMAu0LT/43SVQ7CIyKOnlTROj5VUYLBaVjqLAnrnxiqz Jac8Gzzrqt3E3d+VOsJuluh72SOurQqfp2fyH5B0/1lDzgKHn06krOlrbDR1iR4phUON5XG+pkoN FBJoHFzOOKA8mtjL6506Vyn+Bu3/T16U/xsu+TPy9KyDpXxLaaHOTlKwUXjLvck4Sw5UuRaxokw1 804DJ5KI9eaCniRVAfurXQxlY6HCkVMzR7dVB8sX0kHoCxy90hkjaNjJjI2D30xn3rSmXN7ZintD nSkMgteZspkGo+vtnfZqIktOAeGhdo0Ft5zAITXnRv6+TJk20kfYnL3MZh8zCUauWFidWKRfUP8S t7FUVal64DDXQVIi5duditVBKAFm+xVPxoDswdksVLEi5U+QZwJdSNMYTf/dXEv741HQWGMd8dbS mMN3BVt/TIPdMq7AG/YQeElfrqd0Ky6dS6Es2ZZpdCM5CubugNfVochIvBx2yr3lmA1UOsOODqnx jcvn4cxceHyGEf+/c+EgaRAUsbU+6tTbetv7FEj0uiaa744GYwEhrK0Hy5ykmoBA7IloByXKC3hd 9y9OlA/WdLCbxW2PXk3ZQlt5WhsKXP4uozifLg56n4FwV8u4ENQkRYrCJDQXj/Ei2Kdy9vrbkEJD ally9nFI4YNdeZgOz4vlwQ8tPeC8HKRCs8km45GodLdEgexcwp/3SCLcF3y5JswKwGbvhB7Xlt6M S9wSz3OkRTS8BI2nRqsvgRB+NwV1iYJ8gVuHgqgsONPFn4km49ZxnJXW7fbsxvgPWJtIuxojGyPf QaShjD0TyAMCimn0yQTfAALBs65BYxo8N3zi4OQT7wTerNB05Xs9yU4o1/v0nKMD4rjN00kCbHIl WeG4dF/BTP31B1eoQx845onLcrUkQEIOy8iAEILm9nnUH6Pni6KH3h0+dhN4X4iim+7u6OxqfwKL 3gp6Cyx8/j8s9JgpL9gPF8CuXmwzL+Jw0LtGd1fpcaBIvyGL3KkVvlD8ajtD9Z2stZJ1Yaccquup pBZ0agOFSvVq6F+TtXJn529Igzf2W2fT1yeut8D5uBYwt21wITJEZd+uO7Y1sdOjNtBwNbwQSwId lCOPlCWo05neMqEm3pxMI4jgZTC6hPstD9e09w17I3h/EQ8jeOsuDyu4PUgqShY6B93uGuf3jGGA I0DViNpGgvZ1x5Vw0A+ULgfjD15Yy7C44DW3gWQwpIQzn2eXoXvvoeMhxJ8uND/xeTD9BAv9vkZo p6g2ogF+HNkeWHv6D+wRLG/CuRUq98Bi+t+yErjoOyDYPWm2mmnm0xRYGMjtqN5yNa7MHi0q3yMn gSGQP6aJvX5m61dlshZOFJaN3STO4nUAwlKe3wYTJkY2Me21I5zY17rn9j17+ZmHYU4kh39DIHfF nTHQhH3BkXZbGDz6BSJC+j09VS6+SI2W3jQHA+ogb2ZOJF4NO3ljMv8moTpvbHsP+CF5rU62Zcst drD6T4kLB4LECoB7gzQO7a9JqXxntkMZ7vORSwFHALYiiurNXjDzbkW1eLETrhdSwNWAkuWegcS8 xWJwaEwLpolpKLSePf927+XKqE1wNlItpMGp4AJ3wvs6WPytDvwWG3SQ2UP6FO5O7BnZpRkq3VAB NZzRI995gpoetgMD46U7o6TDCl7m/OT7dCJo6dY8pTWZWVgFBaVouP1Uehbt+7eACnxiYG4W8cLM GDIbFL/qeASqSDbzjUF24HYNAUFoe8qffTldnyANpjDqMgHIVdO+zyo2kEav48RCtubRKzlbOpbJ U9H9n6g1CB852drc7xu134KaBXPZGBYz36aCalL5E5aWlho8Q6Sh3wBxSlCrt6cr9dpZOxAFpEK3 N33i1/ESf7yuzcPXd271uIBVY2mpJPlB6kidlrgbsVrt5k+cvDySjm6Yi9qUC2x8U3cNhSiZw6Tv sqhub2qCaiONHbAcWDbbFsYd7ddwZg3UElegbzzQapUgTyLn5ilFr5JmNXeLAaUu8XdiedfIih7B 9plJ/Ie2Y68NISPOkBbIPuj4czQo2eCUhDLcD5yhf7Iov/K5BLEJZd/OimFl1I4sSj/Ot5hM9PtE 1INK29nrwyCT1T47/v2P595N2Eb0elQ732ZouxCrOgu9yWecjGkIy8fwOOmyeaTmQS3JK1sQj8YG Qln4DNprJrCWHsVPZdCMO4KwedI0nqytSEz/TlSDdC+WUq1SbSuARv6hmv8XqPZQwLWrIMBWHjyb sLPgqR/Egwm+YVG6pECDjPkAXoHTHiAT6GN4avWJoS7Gsdsz07pcjEyzdpLnH+S6NHhHAdRSHbxD ByKfdEvTyOeMUfNkSfkmymFeswbsc7eLXj/QjVo/8U8H6xQwaUFdrFTt06pbIrT8GWOmObD5J+jE e0Tw+bPBbJiBi8O9OmTvne6ZbGoqPVV9rtDbNVa/vcDpC/KnE4eepp8RPephE8+Uq0SOkvx+LiFa Kyls9pS/qpx0VS4yFKD0UZBbggbKuU8RplY5LrrHoq8vQSgir4H6llBudWTRMn50SN93VD3FRoaN Da6QW66Vw3/U1v3buOKaonNkPCgQcra4GKMM7EynkVGKfK34GasIuu2Xyb3aVK6utbdr9OcflRaZ dj5iOyuNBeQRpdRItf4hkPpFtCV9xU+SaS72VGtJBnKT+X2gNl+wRZhMHIAJG7Jjh8s2DiEeqVRB QXT6nftn6vHHYo6Vqt2aNStJc72bDjGOtXm7CSCkaUaU1HSDfNx7cXKSV4XQecAEFNUmrWR9msP6 A3I7wQHEXJqCydh/rjA/axFa8bhRl9r6f+xzJ4zNvgxsEySZ4dD/2gyM5UZBEQU83t3q/a8DtC3D HEzTN/PnjmO7Gsm/DkrHPllCrbSJhWwylGPVTAoyNPmi9h/tE728ewnlRMKRF6AIqAi86P5rD4La Op6SE4hD+mQYcc0GYV8vkIUuLWMwrHqD4jVXXzYUDMun0q2LbwHBgS1UeyG+5zLfDGvCXpvgPzyH G8AkccNYiJmrnA4JGCMBuKOdDldgeg+MoISfCz4qU0dNLEfJCPvcQ+IqROx3nBvsdFt+vyOs2myE cxo6/otty6Wcl/jo6KqKbyiakVm4MfEM6opRsnLBlvfl3jUfP7xyv1dD7E1NmhoK+viCvchlGtwO eWq0P8gsaxr8H6sesd/+O4u6qWb+tMnwgjAoyzhzpumQrzFPU2ogBWyBX/HbowiY/4s7Vi1qKcxQ Yyd4D/TasRc5SUU5NhZlGXvjW2O/BTSH4Lx3U4hUGeZNRGT+gOMQ4cItDMlPaWs+3V7x1XClVb/8 YEMXrJu/OXPAEqvtatBUA1uTDhBMQhb1apyHOkCi6EkA+OZKEi6odV9bPfyB2pLAlErFtijlNao1 EYpBLmaemdD1ZPuo6/LiNwQq6CZSm67HhgPbo83oa8Op1QPra+0KiiNeW6SLqWeV41SZunQChNJ3 lttJIUrv9PIGE9itkotZ0/U5iU/L8fP7ZsmMUioRDo5LPt6uiuwuGta2SausBriXek9sP9AqGylO Jj9ruqweIrraj3qydNt54P+wlIyFA03JCOvumupkCA0aEi+t9sEDUsOp+H+uzhEqEHRhcpOI7Z6S 903035ocQ6Syjm6Zhy2MKeGORlilfonhACB6KtBWojalEY2h60MPa9Cvmgqxa1AZHZDLi8JPtQkt ClqN4o0bPNNArABlRkur8QAQ0EqOZNHHk4m0eRJsUPA1RIRJLJIRPUEwCg0pNsq6YTvqoIfPwe75 kjQvc2JxEhJpUfgWyYA9hZps8yWGPBoStdoG0UHZiAMpSDA7PspPSa/4UpizVhqRABfVZTHGYfzz ZIQykUGqHrPppRPsia9O6tMEY2ZnUYfOHO73iWfUJYF/Vl5mGvWoumhAjiB7uD8Yf04sv4DEoKUa m4SD+29rdwjvSEF5CPEkCUE/b21P+08IM9mlIBTOgP89th7wAvzIPHFxytN//0bCU7SLAKEEV4p5 sC2G/oexyzz2dzfyWPnwtRcEJ+rCD/IfEI9hQS7G7WjHIoq20Dnn2pFK03hNMBvV5WgwlHjmXO4e sIIjP6SUxbLWKw630hsKfEME/cLlxk5JRA0Ctug1Jv2uRhIOQCUxH//Y+UXli3Uqo9FLIm4cS/jY AnM7Up3nTNpHlai7tlZk8wk5/YANIP9ZOgcCvSxzI+OWsOyRVuzlO272pm2Gi66uDQxeeQY+9F4C jxZCGbT7VTR6X9TX8MtDG8ahK6vtTuI+t0a28mKQNJg/J2xlHyf3Ryxyc8pdf0c07TqQM6BgdsQ7 ZPPn7yPGaqAegRwm09+wasm/ljln8/fg/N/r2wgc0vV/yTfp0iXdsUsMeWBNe3HgxabMwLBRn6dz OMzvYWig31cOxE2aKBFjUGonZ8mMnWPOka1L56W0vs43i9nFD1FUT77/wFDpZJ4ZYiKSOjbIzR// maOR20OF2+ODn9RjOiQgDRdk9B3Q+owFk/dNiayMzsqOrAjrJP4IinLD2PbWFyGcrEs29Q1TGkOg SP0RmXI+g/9oBD7hmVjsAV1RkFDgaidkj/jibROCGsXUK6sbzMv0UHITg8x4Eqm54p74BZbDL4Yx FpX1128JBf+81BPErFa8QV5VMkaGqIY1tasIXfO8rFoao6GKFDuxG85KwuEFqenzlGmZljIO+X2T porInv2VgvHY1yNy1zH8Uuy4ceCHgpa3zca/Llr7Snv4E3zGbWouadTnEcscChxcF1hQk+yTxzKu habFIRMLp+5kh4jUBY+F4sWV2Cpp9ns2DZ6x6NUVyKDTYdLjZUCvzy+cjmFqCP9bjzQaUBAbrKl+ Mr26AqeZZf6ESGByWKbKq/c4onb8X81R33ZcydP4mu2T+ehyHNEUs5622yQj4WpdbOgewsArfPTB ALgXtY8DYYsdwjyUexO8xFL8vQDoWpRVzeoshuKQhwytxYEPSdF3SNSJYPm4aKmz5RdQRT7Il0KL cfBIuMcURgSEBHyossoUXLO2fdyGBr3ay2y7zmrc/pNHnUBs6BgG0Dbk/rCFPfNudyW/l5xl5eqO F+IBA5v4gGT+sq+VTmFsae3A1EwN5vf8iTJjd2erbPvRFzE4WAzdSzZF7Irkbf/l8+hAEo4+iJw/ M15DxgFHjpQNV3415OAMkIv3wVT61fKL2NN/FWb92jjL67/RXdxga4agcf6qIId72UGJ8E3F4/+r 1BG4xDxNH8Q6rpXEhqjWJXYc5/LSCEAGFj41ukXjSHepxHX1sEPU8G5p+B8IMPUPgy/ngpK2ymD8 6p/ZTa+3acAhPZG2BI6WW76uErWMxr92lqDK7wX011yyZ6K//s9RB/gmWtINv+lzEl1X1ptd4/Af TNeE4GFz/NTXvqlw++gTCSVu4f2il8DidypzkxGslQmbNsrGQQmLhmz/JtNltg8yUhWelKwVpAwg sUFN9sLRJdzyckzxiSoKNANrhWViMic4QhrkChqs2qI4K2ILFM8HXjWJn+cOqngRSIzCfjGaVqsn oAQ95+QoEJrIenAEwR2lbm/7hE7RmU/ItIsorF/pc2xz7oPQvM5StonRK6OuyXEtR4VhxutEM965 275uLOBiaWJ7sFisRqx4qE6HVaFUbVVPoLgvWLAA/9c956yInlKkfcUs0Y21dWcEsW/GfE1TbMIL +Cg1qqVrK1fDwkXtjXDrPqSrUNMAXnjRhVWcN98w/3Poe+aeYO3TIZJJjzlYtN76r0Fe3dJBTxHi mxFIqh+Z0kqTrpTKQzA+T1nX7NUEqfTq99eGaxbK6POlfsuDy7das2eEd+vHjYBfFY8kv0PKuxkn UvdT6JuJrpj9ha5BHzy/m784QLEgDAPf/JGFf6PVL/BV6rguZN5QltyIX61udo3lSFXcPJVXXY6T 91PGVI/3/kMj11yEh+hGfeqApoXde0L0bqTIMLJf0F5cCf9uM9Nw8/NE3Psjz3EpfZw+tbH5B6Ey Vy1mv0kHi1/yrOYPO241LXXd347sjJuQoNefLywxVWLiFmJY3pnlTbEX0csyK+Wsk9g6VdkDbpI3 2paw17LeHBhrUehSF1OGNT8Jsc5PmloFSmU61ZonsBr3JIP8vYj20ZYhqAxEd8PEBUcENQiA/a43 8TrKZdvP/CEKQETSnR+M94ttMbPvgSVvpFKbJoaPdnP+j7yoO4RjwTi6a2cPYSc6PUAxLHJKAnni FTmi0fObrwxF11uD96MaWlugduJGD79/QKnenH/pkkWIwBrXNzhhUtG4+8ZfOhhslIKRCxtNUNXS fEEtW4iaZtiUMo/DI6fgzSc4nNeSCB27UPOixgrEsChAmW4UQNkAFw4f79ijHYqvPZJfwGZhaK2B x4RstykbeghHthblfW4XLEal60p7ibkRJegG0fMRgrkMfKV4cEZrqaA0/eQ9ZiND0X+QoUam1lru vhju0ah5ulWVXL+r3BnINKfYr31eWkccZ5IrwHz2O8ZTocdnheBYzsqJCQPCGuvoDRXBmO7duN7b Rfdmifv2KYIcjh1t5DZDRDx8TTsGf0riIYAlXCTCAm60Hmamzpp7T/14v2W7R28kBvTP5x506cmb 0s4NF4CrvqidojD/f9RGj3SHB0hyT6wvG9T/FbRpaacXXw+f/QDSVUmwEjhD/NiJCwn4bYkUejQc 85+8AGgGf2V73ZvD1A9LMOX4i0plq3NjzS8els1CTtXkKULwzzlMUQGGMFr2aiR4cqKR1gr/FL4N iTKbjJYoelJWiFR1u0V4XKx3qsppu73TSzDKxVxu/xWviSQXr1cPzqSaqZHH/XChzVl+tjijeelN GMXMW7IXlLWFg6rX0fs2ggRpOdjXBv5wCkdNGonPP96USXAf7AJgGaIjJYX6Rh4VVcPQlReFyyw+ emHH4DbEDlHB2xdsNaVpJcQGBhEMy/HvEP6OlNylaf0Cbx8epm2/EIVqwtfibCiSa5tE7YhRrvOX 1fqoDiZZAOUBvJjEHazUF0LTEKu2AnhepiV1DgBmlK2vmx6H38Vj/2xEzn14Pt1oGEwUqo3Wdts3 svWOG8BXb9xNVMmTByFvxv30s17VkISLZv1bGCHpjgRlE6rFQjGErpirNqBM/fkfgNjwt5vDxpLC 4Is6sMu2DRVd7c93mnAEqdDYioL81x465c6as91AtojZFiKurDecjnbobzaUboaq45hT/p3TiFPd 6I6eRHbHEDosBIFfoxnBFIc2nVK1f3lgFLgQu89URSyq9uB51XAl3KBLQ/LUKyab2maBtnmIgrgM ex+ndj+RtQJ8liD/2zVgPdJxf5F9JPuYDM7O7SeoyQce1dIxgoS1LSzf1fs0L0AYFlUr9apLbbw7 hnGYJ9l0eB4UwWqG1fsIlHYztYm1vGMqgU+6WeUkhFUQ22prsqFCwhUbhgnaZi25a4KOFA2EruqO gJyV+iX9hVuXaQ/abxfUz2u1WViWOlLvmOD3T1n6yJonArrFv+Ut/ZWD8cDCrdGZknz7hKdI7VCA Sseh1dtg8uCNnNRvLcpiaIOBHHDTPcWjsI0/hZDMXgm5G7SCg5lRnxaUP9NvKlq/iel9MlM6AZ8o AuFnbB4ZAikBHSWFsJydUCm20y0jXmeTUD5RMRyQR4wkL9jFxUia4/14tm1bgzZ0okaNJg5r/4Cf 4CAgbkkEo4juXOnQL5Sr7a+zw33B1NjSx3m1gYS/Iv47ibwGLTfo6QTbyn0ygWhRZJKScknEt3qw QdF0ExIcCzWOb9fkIhv5250OJTQtsv3ZQzU/tORHSKTWNjwLJOQ55aqQhALuYc6Yig+ZEZlIPZJy CS8fZxc7U5jk0xYRTfEmS8orvbXmrkjEknIwU0PKFCr3DyiQ5H9/VTpOwM1PXdDFRqMWU+n41Fhk 8xEzfTB0fgCwkAZvaDzvS+Bqb9GH/FSY/mN5jxLYjHQ40gGwYBpuPZtg/uZ1tjwTISzWemFiY5F0 GJCRi+6fVBTtca0MnTyE5y3WVpyqWN6sU2s9ciK341CRiWeG9bVYMVQ9iA1Img0/WUHfBeG5C6ic 9/wTNJ65zFG+Js9Tk0ni+6/Alamy4mdXOhUKiAVDEpIYdLYRocF0dXTmYiy56oJgl/8DEqxk9vKq pzcrCgVK6tSAer24NIhZe1vVlHBTIkPSBBPKKkKrKW7id3fCpnbYhEZmuN+gFNUCX4rUmWkh1YTS 3TYSNg9hMVRFGCxl6K0LFrG44pAjgAr0164jdhuerrCYeEDneWgAetESZnOf3jN1u8OEmkiAjbwk kVK+0ex4n4j4br1/exuuwHEGyUzO7IupMjPodrafKxbesMN86/zEIo8T1ASg0fJiF/zGVUXpO4M/ 6VM6z1cdlWAYn4vMYqEPc0hlFUXe/0B8ZSLC3DpaFk0i7kx2mz7EPgujnZgZT7Sms5zsa/43w4Ef gneO0JbEcuPl/gzvfFvW0QpC3DYWDPQYNaTiQ77GtGeS9cXDFoxxrbH4eU+8gWGOYUPWVg5N91Gr dJjcQdfDcNcFbUV3zaVbeYRSFR71LWuTNQ9/ewEBDl4CH5jniyxhwywpilK6wBXH0BPAnrxBBAsU AvO/kd2iCVN/L+LYYHPSH6dYKv36ANYpBZr0wMu1MA9ENDUfbbMyu7T3rctElQlMxlC+8CY5gfoz bJ91hC2ST1I6+omM8azeks4fBNQda83/xMCwoGYsMASM0KgSbuD7SXnQiHy5zS5K/xqWp0osiG1C dT6iUapsXQE6b4c3fNX3lugnfPEtJajLv3RXrXGXB7fR+kso4e3pi+GrPYY6n5pc2gHRemtyfLz/ HeMzAwMjSunDbzPj6ol5oBuRoaURl7Ldq9dPKPoR4rlCFinUJc+M0KVHWKm5zivXctxIADvoQY0R RrOx2X9Sm6Kp6qboFa1xwiPzrfMd9iOi63Zg2Bj656WQALatpzwfm84d6DQz4/Z6fsvlUlB4DYBe qVwCEogQYYByZ82Uzz7PLJAXNkdDCIx/PLvlYdHcrzQA80gt3Ko2Vgh2UEMpED5Z1YJdM4ogCNRn I6KUovry1DYdkKvsvqulMcBK9JYw5ZMugeun6LNWby0EJ6eyA7kwxlamYspks+0bvPmTjCG9EhgP IMJUGV0aKeNnkEkxELWkj7XG7tdQwoWILTnLoqkeLP9/2KFb3qTs3yhUQybi5mgNNMaz9xxDgIBj +6s4ENPlCEvCxCzhUfjHs0CQJykDxOW/qCwgwGG54ubRosM5nDWc8HQEjbv5RZkcl+G6aDEcpvs4 4uLYju2wn0jPUsKaoJqZ6cj3TVECzQaac0/11fTiU87MzCJcJcWbvgdj/BpE5AfxVRnRSjm9SBqj rgJ0Z3l6enrUiTviUuR6lDrQKObr4BgD7KdwDQcZZ1MTxb5UEprjD+zzIX5AzT5XPqr+XxqskP4j fwcHo/7YcXAFlC4HhGh6GwGcGalzhUhHQL8VUM+YetbA/5IdGbICV2T1tpGEcc8ovNGLEbQF2c5D QBXQrYPpWDjJCGX5cQRk6ZTevCqWYu0jlSD9LCbpR7m/bVp2GuDZHzMsD5lEtk+1lnJ4cI5FNqUy eDAWGA3Ds3DlUEj5qCemYWw0r1eYrWjnODXzpnzMmbop5rrTxcE9OwR849tqUbKjfqY6Gsbsc3ir QIKh0qn3lNq/MbKJt8uRxCIlw27s3Rgy+tI917holGavB2KuaSC657vtWx0b9ice3pZW+3gjKQ8X UK8vbD1HGxSbBCACut6ymK0AUlUHcyAyM6gJ55ffxi+A0pwqAw4atAeLDBULKXsKSV+BOujLJAvN j409GlBzsRnSjnfZS5iE/HUL6EktnXHvcwHYcX3Ei4risi41GaMXDdUbMTpm/Yvk6elsjcKSn6mS gGgR4f/FPqdwd+N2t+Duz+n9eqzXyya7vPn9VEedXAWwhhupDbKF9VSF+VmkpPmPQrwqcjEs+UGB JRa54Aqthxv9ARQAO3ZyJEdeXfwLTt5Fl1AYV8u+ixYHWIL7z4kxXBonN4AL4cMjdHZY4svdcpgJ PwPvmXiO+Z4k+uFTAN+pZSldesjVhsfPl5pjPsMrmQU+PE7MEGYVWPUhA6mKPnuBzJexHnM+kOuz t7ARnlUYmGq+RD9v1Zi4EMhybkw5idzKp9y0WMRPiF9WbAcQJErdMk0gHwfWE9JZPi20PGV+8YIb zk7M2gIJznfLerdKCCySARzCibRezVjLL07GQKfZcUFi0o4nuG6Zyhtu7mxSWymyQNVBW02GW8m6 +dRjn7JNDQD3FFv24svX9+bv1CWL5CEjwvHMhYZnGBrPHDNVY5c8hEK6SYekGKf7LX91NtJ20vN8 OtLDYoyvVTyphLVEC96Knr3QTb7lXUWUAs7JUq0G9cdyfD4vw/qE/Ibi+hjg3LJhV3Vp8w/aQ8CJ FsnGXlYGUjAQ3W5TIAxlTpKoAAMlrNAVOQxmxRanDow/kxusNuyVnkC0eeYX7VrdK4VGCYjOcoV2 prMFJOxYOFrJviUPO0bviRAx8Cispx/FLW4SaElU2OMVxhyx+stTchiMYpX3yqOCrdiFH8sSSzk5 qELMk5K8ci6LBQNA8nQuLmK47ODsr0RIC0Lh3DJVW+BNqRrbVJ/oUhHJJyQNZZB3RMK8mwOU4VxD FcwjFQaTtPDxzQV7DYOmmdSowIScL1fJdxurXmucj1wPsspi/+IIdm2mVUTObNvBpWyi1bgtOb+r 4l5G9vnKS7j0mcONpDmxzCpSHUGaG4p1qbDYA/bDnpmnI9vU5Ddb1e/AB2luWBgMmzU4WYQQoScV ULBe7IN6Msbdm2E191Cezi0iPhhBYuvI0g/EV0g8n5O3atwifmslalko5AjtkzHaZ+QQOv3x0FTS 4nrs8YI2r2o0J2vaXy2qRSVjXgDuLlxhlk+c99gNwy+jbFdzSlbR4HHMKKSZnoEMOG3+sSAZcWrY PDX7hpFpOy1DiT5SVXSvVxxECHyUyBcPPRv4wh6Wwy2pbyfS4hFR9xRbtvBLGLAfTz6cRpQ1t74j Sc6Q9IEwCQnRw8myipcxV6YkWnROVy9LLqKhvFYLyEKuTuBzYwDo4U8dG2ephkAhjCNPMH2VZsH7 784cWdIloFNd1niQ22hUJGsPSoGXDgYCiZYdruny3Pm7YGBcGxQ9iumo/IuZXUdw7Yq5dCU5epMQ wxxmaZJ8Qclx5w0ynioDzS/kn24goFudY7SWChVU6hUX+n2Qo+lXWxaOdw/WatDbdNV209xv6Dxm 0dXOmRgMPQy2fQppGVfJRmNe/wUqAY4X6LLv0iFzXd3nJjVQnM+wXf/TC3YVJpcptFdkiwfuecIn KdjDhklHAtOg2/rmEhgwZi0IyjPjk16cRPjvylc+kIuypYGDDZqv2macdRxws3igJYJ8CPUByxkO ul0ZBtAOfUZ6VfZag4ul4fVQrCX33TmhijQQgqVhPA0BvO6UksdDFwCu6bYFk/xjOGuKV7KJGn6V wpKsleh7t/E1BL71b0SjChZcW3KuLIdLFPptBWyX0gEc5PUE9qORlPRHm5qg11SjHPN2lgv4kHP3 mINduAmXob1KjckwkUdo513TBtacpUvHqpMQANHTuD0nI0hu7USnznDhZQUNoX7/9KE/GJmEkEiP iguMzJ1DMoMGuLuA6SXXKh+9aqV9Y/NZIpwdAVEfz0K8F3dTujXt+h8lsp1jpm29AwxbJiRaUq9K wfgF4TOwWVrH8beIRUIBTp4u+EWZOvHFP5j/xLzaVuwxCgS7AqxklZSXT07e4X1f8SFOhE7Cl4Cr MH0Z193OsUO+9sCo4icCaLBNi7t0aB9yjg50sFYrBEDxcrnTfIoBRKODBa9HLedUwXsOaTi2lG5B l5t5ppvlpMzHfsOMEUA4Y2WhNc2Ku3gbEZANydezfEobPZ09bjdy/7SJhJAUfduA8v3lxdNVNNq6 Guw+MSXHNnYx6ooH8RLKH8ZvmPpBNdujiE2661RGQTggltb5NUoVaYEpM9ZsSuX8/mdc82ryLVsL dAeOr+tPy6aXae96XuplR9QujDSdos0UaUDnshQKat9+diu2pLrLro71+8agM1CMyZoWKt39Ylun CKtYdaZsCxbCdlafP1HZ1PIpbCeSu8qzmpqA12sxs8t7FT/RRWWVbiGrLXeBtNe018/kGXBty+jE TvL8CddCIjZjFxEQ/5nN+7V4RHP5YiQtMVD8WqidQZxY2eT7qZXOTA6U+pyiOOmNLKGu1lLbkXb+ xluILH9EaSRQxg4a78p6rK48a5t1sNUYM23/aO5dceNyLbV/xaXEA10h14F4cfAspX5QF3eF+ELu XoUQwelQktE2J+dNCeHHXfrHwZk1IDNDgMPF6/HHsbetE/wKWgRGx0VOQu9BdRSoXuqIh/8sqi0S iVUT8YMM6vzsmwyApkSoW1SAT3jAZKqrVJ63Xidx6g5HrhSvmBxy/o8ENpU6S9ymKo1nUeuUVRAb NTOx1d1EJFYXFaYoVW5I8Hz3Jq6kPVq1K0yV53thsusBAhw4IVGJIbgBqcViu6uWB+prDtFIkxni 1yPXcbsKlbDB9yyUScv2a65fhMejiJBNzFcizWZ/my+BFEYSir1qPyWzSjizZN0BsBTcepK8Uqjh kKnvl0ebqpJfW2k/LTmJg1oAYhDgIpvTby97H8o1PSeOfIzb9RQJCnoLUGzhJPLk07+F83YbTbgW gSZMs7DtgjzGgIY+iZy/FWkbzXVYnUe1H4QNY/axlE/bWpDLh0D0rd9SmIdF1QhjiepkqAXN4fzC D1TGT52vmfKqU5WF+1kd4inPXSgHPIrsoOfoixn80jy8Y72JlHduw/jyVI2eYDbl6s+DI3ZUCTz4 n0dDymL5/+PfrfUJwHBJPB+zApvv2NsdUK1I7HDieRtkay7yimT+twRdsgsLgEj99GoGgRG7Q6cC gt19otrrUeZ/CQreHWsVQ0ArbDohRpOb1iuPXiBxPolRJgAlhLYiuCRXFZmlTk2JgmSYsjbh0EFg 4u+wnUjMoxkJKGM4c+6SZ0ETF0pTTZp2ScM/VIeSqeKaooOED11S51qBvrtTQSr4PYlKKt5lIz4G WcQyTlupTJeNUDtbuhN6xPKm56lYyakiRl8jKBhcpvbGPxy6YoBg0EHQynTxR17TXy6Nv/UuALdk XF3MccxzFDUgKt0+n3O3LwYzO/OQYQWzwPzBNkES64a2OyOaijsMeyR0Yxi1zoZErMqUYK62U1P2 TZkIumL5/jEUZHunLKqysslRwhhepqgq+GvoDr3mLffyMe0VNqiK/FfMWmokfXDqlxdDZk+Pb9wd QXdz6g08LnXrOzA0PqfdIrdTrFsfPRDZLucFutZF3Sc+pwEz2Sj/hJdRnYcpmYwKZR8dPqjrflSz 9F9KuMiZs4apEKnNdAjx7BYakI3Yj5qEMgNE3LXxbkGxV6k2uRroW7/+jmt5FRXf0SIx7FwNzaXZ bKUWBZO3ZX+NkxONVVxKQz0wFvhWSpU2/+J23X0fsYygCGKp/r3GGF7zgEiJIUHD8qzYUKR18yvt XB6R5mFR7xbVRgzwAJ29qwMLA865KF0VA1uM5SRsjhPLBtjVkvxj1cqMSudycxRZfVqNhFmW06Mj DTCbXVXWLkL5KMXc+BcYAkBb7YmMPnoIX0NNvRDa8CZ3ZdQt47c0DeQZkWTGDsmKu+kT/AZd4q5Q 2P8Qtiwf0UtzHM0YBhkupfrR8UxQxz+UpifZSxVYRu65T260fNxDSfIOp5NiecOdaORuQ1U2yyqG lhHfDMh+TKmtD9nfwSsojL19km40FX1rbV3dqGX7M1IJjaf/shzkyfNEZ8EEncI7spXPzAuvuElm Av1SRnZH8JUZdJbe0ASePDQO6q6MAna24P5IoZi/pyNtJnEFJSh4De52w9FvluRuCjxT8aC3yLFa rncstb+tuOgY8aTRjpNWF+x4bgb3BONWayJiYPPYOQy60isDROAYNAoqgUHlR1GTGGNSrETDztb9 BZM9HvDxcopQ0rAlgMIoRMVS6e3U+idpmT21cB83pIw+zsnTX+4pU1LCqxikcX8dwdWkdX1iLhAl b04mGI8SQCFdwtlyY1Wziq32eVym7Ka0OHdagDmKx2m/PeBQiiZZiKgNBMIuZRB9ZoybfVyqphrT rsfStdcNm6hvfg06HvzY+UTh4Q1QPG/9VWsltxGtdyEhY0skY0UoQdQ4zKeFLu8nyClZMjlgCzsu i98eXWErRqKjgLP28kJiO+N4YNXSrrVzK1w5k+2woL4uCcClDihPiXg/D7gy00rN/dDaN5XxF0Di r8z6Cd5exRpC4jidP9ZBuvuG/tEv1Vkri49UXhkVunia/i4gpsqLEzHD6ALDGpQMLFVcqifYhvPl /+IJQlWQvQMGdk0wDv90VA2DMGEGsMk0ZqYt6TIhb7VoHf5TD1bmHjB0rDjyVmXzCTUWiAHk5zxd EfEltdKTdDVZLhZ+1OxZ/ak2QgBFCMMfGRX5wzOjURkcmBxOdWSTW1WBdo+Kl106v8GmUE72FUUl 6jSa9SbxRaQKHJdSAq/CgPF5ByiJA9XdgNyolAzWHx5gEjyflZHtO66LAB+op+ADFwzdGJvEKV8V 1+m+j9XlnP1fZbivWPooEMYCsAAdyq//2QCQcGtom7d96+y+xvEwhJa9lem+ERvYLn2eVPop1d2c lfVkgbcBT5CBV49fNTREIb5KMGtFjOCBrTAeoxbQAqHjzzjoyLnhjCjq9O4ixOGKvLFfZWHH2nQD 99tuY71UCVssQBYfzm5zt9WYY4qaedT7my/1moWYGNIaO21gHWbnlfKip9QxtOljR8xee9jw84+1 XOPjqOv+bfS8tgAnBSPXVbtDR6G5uPvvehTunO2EXmbPc9WrNJgHM5aTPiYd25iQ32DS6LNcl0ER LNiY5qwypSvyJl+6ielmu7TeUn4dEs/IJQEU87doBKlCcRDRmtOQEEMURZkb4hXfjpe4yeuyPmh9 laUb6PYI+B7HYRlirxrjuRn17iCrW2UMrnepE8EmpaXgxS6TCJEGrHPXxK1afKlK2lqAeqVJu3yU 9fbQ/g72wZLN0qgfRvT56rrAY2mbGsv32iglzxNXhOfg0vjgwacp8pnoeAMH4OlLlWYRwgJ8dHb0 2CLwUb2PIWH2ZtVpZ7A13SFa/mmrsOj/AFAxFqp77OcGMImOxbWC7Cx9Ew3wUFnuaxlDID0BaRvk DG3ToQNreLDqJlDao52tMqMLI91tWEwk6zcKaY+JV7fU1t8UVYF1ZLzbib+Y9CubdNlNZSUMq3B9 /yZHQ2TPOQxOxDuUP8RUjrtpIQLMWo1yM2WVy1DH+/RetU+dIoFkOh74v+ESRI3EPCjXpqV28FcG F3anVzwE/rlJs3QmaccfOQF7re+psMnu7ZrGTH1G//6xVOOMtKQvQexrGKG5GUBtExoam/esE3y5 IjCy+gUQbWEix2VGHWL1y/Com1xf8qLf1KZbCTpz5xkMMRHvQJMvBUqZspJQmMtdrzKMZrkev+8I xMYrZooiCpfGYOnxeGGoAqO/64HnJCR8aa6RWbAARiDjJ1UOVKnOTivU6J2fgd3ilueF9fGq19aE ol3UN+N+sFHsAUuxlk1z/1PDB9StAUNKDCjLQbdQrm/iDE+Qq19rLpLBvrPN0Fc+vnjoYP951FMp kZyeiOioEJmtPCLtx3qMgewUr6He++j0Xf6Q3iytscZgQBr8kDwsLKYwtOgTfECstqACf5DlqQRO QF8I1bvlJ9m9J+T6UBLtYrPIquxu5ZWJkfg+jUd+T3erjfVlyeAF8KXpiOJrAnLCrehZ4VhFKBVq md3bABVwXWpSeRudrn0LN0UxJ5CFso8dt5A9kaEmSljGXFdpvktCDAienBS/cLLyTKiFBxlAHQLb 2UamIABi1QWp3B/rbl/7azX+GK+dNrIgUGgyQ0ZcD3Z4nTNnEcZLDtdC/j0iPEMVmpgkQDev8LZz g982ABLTF/6iroUrlixN+Ow3NiUy+7BHNNcBvEHsZTp3lCJreLssJ6nbfoP0APeT2cmW7rDwaxO/ TG8tMKdgI9FzzaIzA8HyokzLycsyQUE/PKdiz9frNnpd7l15McDMCl2U6E3hIRBtOGYGyUnTgn81 O+/9/Q6GL9sqwsR2mA5Z/0OwnhmiG/C3BJ/vbGruileBNKzA6ap0eLIQbqHkqpPaUgsNvVomEFp6 X6MYFqKGWXkYENBE05oggq9OGDbEVePOT9B9wO3e6QA22AST+ZtElQ89bINgN3muIvLbfVn6Pyla HfCQODwKe2qtE3NFygEseF+2V96KUj9OgymJWAZDT3j/T2VDxBfuKTuZvdqV7qvSZ0nwpf2D6bUW NUVS50obBT0aEmOq+5j5Drfm41SFh2UNK8aoN1Iko9CURsOaVl46GEplTxixyEOvQnnPpYbbZ5zs Za9EP8HGuwiduAp1R/ndGaOVacmj2viPs21QIryJEDqGDl3sBJMNKjW3sMrRjlYJufjKPH0yGOs7 y+wXVZPdaH3P+A5JQgbkPtZBoKa1FC9DiSjc3dwFLATGwxxTiqrnhIljhQcCsmb2bJnc9M2qI8L8 wbHcjQJCqyu3mDeuLsy9StWPAZehbq2MxZFryY3HE3t3RVjZwVyoBz7cu44YXAxe3lqOduGKDQ54 v5ydIHmkmc7z/abHbJ4r96nQ/RK0tS6H3nVe4iVorXM9F11O6/9TE29Sr+Zb5bRh9IzeAGLQ1QMQ mkcxOcEp87ZYYAQYsTnae6B+33XleKawdm/5D4N+L+NrD1gN7qLeM9N7N7S+4e2IS484CzCPbuyg WVmxbeiA+nyoV2DORYtJIJb4imuO2bMzCnxoVJgUyZAABykahV0u8bt/YK9rJTx9n0gOnT532a6b d0hcUAR2OlwVEXA//HEGrDvdwQl3v8WvHAyITsTxvp+4pQaq8Mm9AW8rEe9F2Uj4V4iBuOJvq7MW D3+izkfAwba9vtA6x60RTjOcALz4uAr70oKwMjEL70zSSbeJNjWRA7InVNPlzD3PbVm0NTyre6bO Er/t7EV2ik2zHti055aPo8ymU0ZaywmtZ3qu3Pqr85A1XP80LHIyGIrC9hM2LU2Y3mm1qtKQ3gFV DN1yh03aEzkCROWmwPl5og45Y8To/AG4uF6jwMpic/lHCXjOlCYhw8Q4Co7LAh7aqOXn+QwN9Qfl aEcUfSnvenag6h8tOVxk5grD3wQ8CDCluJBbHbiRlo9AhybrTFirpRkh6BH72FlrpbXkdlHiDbNc 0tFTGWUWUDs/No7ROwoYQXWyDNAKryH1fMri6cA8FrHRl2X6m9NmvHW6AptBYmkjsbfB2F2XmVQT 6O9G1euYDL7e0tU9+EEkP6Vb7/UUAduSnKLfbA042V/yL7Kb8WWDRNvZg9NiRZe86Px/MbDrArgl 0ix4HO45ZqSgK83qzjqinvrnPRu/W/PPCEzyLOgIOJnHuSo0tnjF1R7BZux/dXTJbqjsv3fdfNZ2 RfqK2AsDYH0Ss5bw9h6qcvF6TQErK77ybpfC8AVY6F7SMF0FSe54Y4ZZMisYUj+PAYRKhAztJE6y O8tMfxYBja9cEIFkX8YYEvR71HP6kjci7HCNaK8a4XjnFXG1mVyIxhbvy3Fht2NADTJFHO7aMgTt 3g4BkegqgGN6i/+grod0PQUvGsPvOlpJvwZF5E7BjdD/yM/LMiJ7PvwhZYotLX/kwT215zFTzsJZ 1xF74YPHcYJO6ZKEZoaapVLbkST9GOuCZ5+BHIgOOXU+KKtSGxBYCUOOqeEGWA6eTxDySRzGa7qz LoXwZqudwsx6qXVFV8htjDcW6hobKuV/kQTc1xKDuHtfWUQMdvaR/XntZ0CxnCl/wiXUBhtgG7Jc 4HyGKLLQrBAKXNw62QbzPZNdbMiBJECuTLjlCo7TASMSZJmK3akNwN0KEcg3gqSU798TRIgvsih0 y3yo+l/HBr6bsZ3JU6xugH4ZhPXuY33FF6U9UV3pfWhlSWaP0VlJWUTRISpgIcrvf3obUqVhFAHs 6XdXVsFT3kzAlB7Y5F5mJZj5zsCv2Lhwqe24hznjZl1InJ6EUXFXkj9Owfi8TeJbbzMtQTCj1lkM OW6JS4XKYqsGPI4DYaPQviTYOSuOG0rNltI5dbjGAFGF+YuaE9PYCkfmrKBYs1vdByvhxHt3shvf xbhwSJwaCxq0ewK2DGlYIgGtytqH+6VWwu00RMGuHMCrwM3FNH59D4X84G8FEdfFsv9/SwBJH8L9 EYStTB4f6FHa1C7pNYtMyIQHL1poyLVr/QgusYJUtRN4jlHTJ/ikPJcCw1ufKsy0aCj7VPasj2ZL CohXymvIJSTk1ycpORxEUoxFZfelaRj0dbrr7rvcai+ErkZ20LtbwwInSZsogC2n/eWSGM+ahrPO 4STloTosLjGoiqx78LePA/IrxBUo+QIESh5MiwWX1nwkxGeuHE0ZVSmBYMLCCJxB0CE689sylTEX qbUteue+EV3B+Hm3q7R0nd3E33Vr/5ZGBMqmH+Y0xKwJrTXipm7o+H4sAUexMzUBZqgGiykhPRXF iNA4Kd64/k6Uh5NOVuPpEsAiaRj9ri+N+VQDWhX9qxBkXNFb2qsR7qhPgGROtabqypJw5dhOcCDx di3rWITaChXFDu0JCZmB8Fos/lIXdqTcjQs9kJOE1iAGY26uBRED1EuP4yZwk29T7pefSiVLqYd1 lYMrGRODvGNfEqvO9+4ymbxU9IYBFpeGHl2tvSICUH4GN3h+aMQ3ugdGwhlInVrowID+UQB78oUM ufwn2UrucIlkTiwNR29A/ekVcNKT5SogYgGeLmomUCdxa7/VvHDl11UsS19U9jPslGdm+Ze+/IXP O/4m96REKc33a+aEys/T2SdusZ1NxduWU+BZ6Bh3Z1RvMt2UIGbIRPwjLopvl7u/w36DZkyNb8Xk qMQIfcySaa0qcM3g1PKPEFiIowINVWJvVwoTrmItIifc1T6uJLnkuT1bWkCv5Nea+aOP2Bl/x4Uc IcEmPziAt+BZdNItBlXpzrcVTDxTi3KGkJecuyC/G9PABIx40BBx6Et2toBz9jUd+eLZN/Kt92Mv id//imNA2nm1Sv0pqxLxVwo3gwFYrQyOzUYTIQOCZPEgZESXO3+ymQK5gHgaIu4q+Q4FWFvyNJ/q lhA4bZtiSmHMPN2GNTI4rHr5wMxY/7n8NB2onV1CJMwdOexQHgY2VEbpSYeVINQX2Elglm+Czwlx 8kGmDbk+HsbOU638H9tlZkrr+unR0u9/ZJ1vw9Bemjg6SbP0GzviXM8vCIuw7+f6I1MDspovRBIk NORcDwg9bmy0FrFbcACZVPy0ySN9xZ5+XFmT3CMcTpjxYffvEvjyKaxnKHG/4z+0zLzXrERq5iAP tMYhOzkMRhBckSKzgEbrzeuEJ1yGsPky7MOVkNjKh7FHBV1BKDkhrijxwW5dGXt74ksiqRJSJOWo o5DCvPvK+KhQMo+PQYrdmN9D1oSt+cNQpR7y2/fb6/wkctvJYjU8tMfFvhK+hI7bsWkaKxVOW5KA 8WKiesgBaGF9XD4afHSYY9nN1gbstKfHr/cJ4WiNstBeRIjRV5wLBjxI5B3xm3Kerhqt6BCQgQiV YPbIuyBB/SaQFToGIm7NXG69cOKPuQ791vye7NrN8G2kA3rM+y0YU9hjh0UhwuNX4zEU2TNtwbtl 2/q88vrTypUodyBohQ+oryAopokHhHr3O6pxOCQW+0Z5N9Fh7QzVwolywuKBu5XTzgmki1eLsm46 TGUuWC4In3kHrf76ONY9IwoiT9t/MfwwKc3ZQuvSKv04GiQZ7R2NtsXNXX4BfL6Xxg2hOT+NqC0B yvvlV9RWNkhdqofcsbb5BltnwzH5aqU0FJwAQpz7KpA0kIwc0YDTglqhExLZ8unrQohvBA27vUMF 3f2FmXV5MoKSUGEywS5kxKZNZuHnpjR/YsbAdss0dr8R8JM0umMhBUxJs0Nsc8Uxv4dHO8niyMPh WXzpA2JWMA0c0hP4jP2WBxCo330Pgt7hfLNXYgb+uEwHQhM5M/Br+X988eOpODLGdE/sWgj34rtP 9vymej9ODXE+BLLP4IFZsj+8/z879d5LaUNjkjZeHeozvzUZo738v1zTazbi1+vZAv8bXUWPDtLz Zm0t7LXq5hzWHgmuEcH8aNeoPo/SygCUoOH23pLji52W9lDDCPBIa3om8RbVBqVj9D59ydsqQiFB g/FttolKEbpNXa3LLZNrSm83sTWEtQ567ghE3vVox4LMkPDzFK+nacp6KQ0TZ3KQ78iOPP52ukDO SnNI7GX8VxK9G1BdALp49EZKyXMgrY245brxKbNNkZeDFuWjroxhMwVocrpy1ZGThtkTYzdpvdES CDx1ZV7wYaWZ1O2HCDU0RATegxsM6eEMI+ma8YmhEUg93yfw23S00/5UOLs/kFUDwof03+wnK90L m3lx47CPxO8U08qIFHpZFjJPOOT7sXiVRHzWiLpmQ5G/cml1kZxihcibq0OhrOs9MRsJT/1VYdyk 9zOrkDfiGLQmn4FFp8fICGuPKudbaCIp6/S36JClNq0FPlBiC8PsKWGSDKTqnO9VvuZwind7XMcx nZLvUdTOcfDYCrYUtVTQNcbRi88FWvU7hmhwyOB2oiYVETwwDbvkNcgtzX1FDk9L13jrMQYbBuqI 2IvQeD/HSu609ni+1L91XtFpe4V5o72t811BiGUummK1Sn/vzix4+0Z+rPp9lKt1/lSsztZMAyky EYGQTKtHN71OAjYU5E+ey/uKZ1HDJM8f9UjGSLV8wT09upgkNDVUVGjazbjM8HUMK15vP/TubNh7 aw2X6eNQM+ms8wAIgXFx7k6EjnAQip3XeepU4nG91YOx73cA/xbkFGBBQyuED0B2auVUd2qpJ/w3 EZCIjJI07A7YX9bCqXELZNtiHSoiFnax+76hzuSIOeJc2/x2fiyGGC7riRckZU8ZVr0o/F9fZApn SlTf2SjYXwu3sg9jbGTwdqwj34+gmb8acgipBJA8INoMsP4OQb+SP5yYX+5aZ5CfbqZhRJNeyavm BoiCxbXcxaVErUcTLh35On27QJNH2AONTipyK8MoaODlVR3SSYvs8m57bzh9JplEttfEZRDAstXC hRRC/8MdZPgVhieDz5JkQKRAIYCA6QP8pOpauUPGfwJG1IqBIMrek470C6jQRWVCUNkKXFwKc1Zf o2LhK4+ixVDpsNQu7mz8Bl5kDyLWahi7gpVxCdmWc7dWdSxFlF3VSAREnrg0AYf8lH/JpHdS7jZS CVXigPJWmoLDUFvjSAjW/6yFN/Caf9eZeT0uCfF1y6JgBqyfocS6dEx0fTr3Nyftlk4Yz/kGAHCw AUramN0GpcTzEASK+gGyDSU1zef4B2Kx4/1TGDJ9qWxwHjDP9b8f+EIu5EKoVsdQbpLkfuy5egjE p6oZIjt2TWul1mPaCkqLV0QNi5MrJHrdKgF84xD0qITss5O7KqdY2DPSENyKNudkaqYTUExX9+sx 6jOsn78FeEknsRQw1f3CihNH4jFa0BADQAO/6w8kkOtAHx8FpLClFNKBq3JnGdkeIAwi8LxO/alL 3zVM/BiavKQyW4s+PDU3ufSQxXNGTsBxKofHZb2vE3MyIEGzhNO3O2zKL3IiytPs/1F+7ECEZcVn JG+Lv33NhblURsTv6jg3yPEdJWuXItky5fEcBEcEOv6Wap8Bn2x8JEhYed1GU7+cbAt7zirc6GkV 3WqUagpTxABxXHlghK1FO9WyrlzkmxPcD1yEtSEDQMZT577EG94OXGpa9hFhyaOFhsx+87S8P4XJ 1nMGbySAH+bv68RqbDpCJhIjPqJSo4ZSidn1Oz2roGqEWrf02tIeT2L2y6dB4/c5N5XdL+lgxru5 HIfkPOTS47FoW6qNlwTaxn2Uu6GbyLuvne0cWXm4WL37/x1VcpSEle5/jUPmIoPNm6vlZ7QqWJ+u ia69wWF+UaCrhsQkbICicQYcYxZBpLgclP+lTRYM9kHER1tKsNFbc/z6t0/e9WEm/NIqAbXfrUMl DwbvHqnDpwYem8h8cIEmBdFpNPMcWe6IhMEUXOXXVgdjaPmuKNH2qZiVhcxmjLHIuR+RPQVUQ/fN 3Bwxq3dMUvJl4zVUzpJl/ZhUfDXWSaqSUn+tMDTaHGc1t8cRT3HXfkN9LKy9GctxXEgPrFFIsrFz nkvlnMaqOy4TDvNagiWG2e2XtbkqL1zDR70sA3+vGWvkP6oKu0lS4fkpyZMOaXTu1YG6oEN2A6+p VQgEq+O6TGFdXXDg7rjATFfVv/5KgzItQj20srAErpxz137mBIPPAZpQDwpu6WfH/Hp9k/ONbDTv AtkMNb7iS0HAdk+HODABlUtmXE1B8gbOsCpZNcBiXuFVvR/gcH8KGDnBQ2f6fU8LJce20j8zrKX7 azafOtgg42JJLOiCzr8YR3bs0n2K3ViFrZxA4xszLF/1G88/1Vom0W7Zj7L0QAuDsbMUuBsDPx8u OyEgTKPHO6wl4aKr+TOy2sDWaS/GyGPnMs61TSAY+oy4W7gAwsEMHP95uCMqK0WqUEYIjKU6U+Lr wRwY7iWEsOEzlt3HbMuBl9kABc2dRVqHga6inzxu/0PKVIhZuhoPd08MzJwdxR1iSiPxx2KtjeqI UBrb2he5sCux7ZhC/EC91Dhm9cqOECNFU2nUDA9yM+DPvMxo9V9Umth8+fcitsqQi4vjUfVFINMK cTvSQjWyR58imZHLY0oU1e2T49zh7+qNIjF7x2bBqiauxTd/zIWy04fYowCX3ItRIaYYbYYULhPo WX75gGZbFYU5p6Gsg5z05awiFgWutYfvEdicP01lZyYo/AwQg8UT1ZyBa6+DwySv/7zO7Zn7vZtL dXhfUadTikhV9lS+sNrDWy9PA0/huDJUQqEoF7iqWmqoPbvyBxdWs/SsrrZgY4j6HwngLF18O5GZ Bb4vJwhdEc2LPu6qiyNpTFMVl0dfX81Bzc3OxJra0bKClknHi+2JE9866W2dSzVIuku1+NSzOI02 rPqBFfSP6eKxGScw/VQeBPBNr78+zLLN/rgS5MeY1RwyLe0D0tMFQ7sKevgrs6OvYCtHJDYFUt56 P2C1/o4JVzFRvfXy46dNfW58AhBUvMkGNNWy3eSsVMi1cfHYFIAMAgj4KwDj/Oy5QxnX/Zc8nPsw V9wggmjaaCrFYZvWYstCSuqxuGL/tkXziIdT49Rv7ttcQcG3RJdvptrnOWE/z82yOxxhULiZPZcn FkDLiZtBkM+mQPsakfpHKD2aCJYG1CKJJuu+kpJpR8xY1U1flNN+DUgaqsaNahDaFK6SHvbGQgIk YKEy1XmhuBMqQY/QEQ4e6pq2jvb5Jg++wCbLpUw3GmCObMBrlxQ/Lnq+se16RiS5AXVQoFi96Mtc ZrmaT6Np8W41CKOK/GwWFy6ztgKwlaVo2Dtx0aL53IBSTdTdzfXznoS9VBtVqkZV6gfY9s/s6zl0 fAT+DCX6fZiZ0ZOn3N6IWU7b2LMByWUqd3pJms4y0uH2cMDXSREgOM+x5q6wOB3nenR0EGyP/i1R AOiazrOccRdLhnumo4gAbgFlz+k3idJ0r/hYRZm8Xeqy4QF20QN7p/b+evHgzX46rVrRSWWE2Wor JHVveMJT2KJOtD5Rw4PfW/JfPhNUj8hB5hTxSuNOdtgcISQ7K1DxtqFaCCH+ciypJTQFXCsA8tiw GwdMkTKajmc+0A6+YgRMmaFltH/tLnHdW9WXX6Mf0Wbz+kAluth/aTjXaWpeMcqJy7gBADiiSxGp baMvywTj9Gam1zyfox61E2Bof0IssIWWaoDrpD6tSD2k2JNccr9ac3uG+tffbxwTeduyzYTfev+B p4gFluL6ArGZsvcbHcVT8ys9HhmGWiTIk5jMsHtIPqDU2GSnQ+nJpDZA52VuSqEXR0yED3Nu0NVh E9KNGDH0x1IOHmYcTLS9t/NPyxfuDeCfIjD2971wWDEA0YWSsdd3SXLFgXvnXixtPWQPfFolIwbd n3qjYLGJZwxhcs+zEVHgrcH5/Txtwlq4t8HZWIQybj9iK6pTLpBIwm8kgaewE7PgM+r7KvChSF0V S67Cm/xGNVj1NqeCyYvhk8NPaC7apdLqL8bS7XwoH+U5piI7Qa2FtZd38q+szxrzTZ7I4fhGgoXP zt93zdN/SQLu6mgwKx8imgwL53r/gMbUQJcYbSxVyYVyXJsBLnTqAfxhBWdzWH00ecHGkZZLv52a 7Y0HpriisjxQIOVXCvJd/Y1IuwQg1iq4Nyi3IwiO6piX7jgI9Lozspq9qNY7oeoq7HlsP5lUH5Xg Ye+rhUjjNaaIU0H8pPLM3l8Dr4QIce44CjhbqFAX2u8IVWONyEidE/p7zJw3BTMCPEhb073HfFCK E50caTicuxU4grcuGBZw0blMe2OMiVerMRBi77SC4M3HUkWgaOJb/y3d1jom2oTWE7WZDmwDka9l SvpYOkJsp9EV009WIiaTbq8f/piD+lTX11Lw12aDp/sKgYM+AdTpApxDNQJFe60Jx0rc2OwVW2hr oL9ViA5Sfiz9x4HYdrhYDliyLm+LYOQl0nWD/5YQlyHHpDe1EUrxNRPmyedCcxl9PLloz0fqyfn5 MtHuHlMtvOVOUy+4cPtYeV2xSrK0Gft2aLlrU0xgSfoFfU6QrXOngH/AIdZ8KbTsw4lIq9Scztn+ NPHDL9nQLtc/J/OCjbGgw8G+cN5neOZC6s7fVvtJXRlLEpnh5od1LK0Y80zjDXAz0BG4bQiaFOMV Tb77jizp0/eR6yJu0dkh8QuWULSUWHGXA//ppggKZoN/3yiqega8BqwkjnWzjmmQdxfqwphvY4VA IZJYU7P8OvJZGq4OQ12ZL5fyh9a2pgLbMpyVXaIgmXs0YxTxgtKgZvHFQX03P4oHWpUC24vCb2u2 fxIoDZweLg8k3KMdguxpob9awnis/kxxBcQTEtSn0pDI2g64dOg3Ywx1jsj6cpCowYwhm06ngmIu W7ILRR51B3KTY/6jjdUVvhWDcuJRAHyk6v5W/qLZvSK0EvIqaQnBbWhTWe0Nwddd7SiViPyhruRR DRj7PoJ0XgBpxYTZSt3zuJRgepKxOR6pqkQdQPy0usWeDNCc8jC7AN+gyGW6uXJZXl+8nR1xF/N4 6DAEpL0ryFNFwwM2rwBMavt0rurxirnPKXaXPSg2Wqgh/c26A5y8kWif53h7vfIPbKFkUL4iaL1v COxXJV4V+1Iqj3Ny0Q/FSyM5Cr9uQCXaz2bVIPOWMUY3q0vt9tpNpdn2A9yMcLTJBClCpRcXcbmu SqoIc1ssaV9rVndo+2FMBg0vguWUXsHk3pk7Aj1fjtpo1ZC7PTCofj/EcbSGlJ6IviqJXXDE1QR1 r8g0mG0KF/apgh1W7sEgGUzUct6wy4waygwBTw3kNmPegYc2GswhE1aHnEvdO3Os9YIyjZmSOOL1 Fm6GrbQpbTjPvkh9uz3RDxFk7xCqSztJRozq9G0308DzWB5Tq7q2kaw0mOYEGa31KxGm2C8FuyCr wFled4Baa8v7pqJ8fwmdIXHS9tkrMEzafXWvf3Hk+tuKimgNW7NaI4qKZuEexmzIVkzBaZw970Dm K+tJ33dMC0EzyoscW7v/D7fT1wXLduKwlXVWhSUBahECDDw3VZGKjhRNZdUd9msw9eFsnJC3KKHF lNj40CnZCWDeoxZt9oBDgqvW5G3xhwd/qp4eGrWMRglZBhOEWXoiFhoSzTU+VyUWnLpLtkcJDLsh 0zpo3O9u24Avweoj6GDWWOEwvOay4TUxplFgXHcfDkTUn0f1DAV1D0f/54zJ71SK3zcH+GYJyz4a Zb8AOdTvjk3XHwGSVJDnZpGlXx/loOUQeeXSvzCX46bBfmBlnZhg0iuan6Coq60pEmxqJi73y5+0 loesGp9VZXBtnXK480GZORJ5WkAIAYZpXQIET2+Yx/Kwo13EbfBPzaQI4HGos9fWl7MxTdK/+eAU OjmuFWtYfiDJsFak0A1A7yMOXzCsTePQCU9iEt6OwyJwI2vI0xT4JGNkzIDa2HJ9SIHs8V4oSTG9 jUWXt43vFnpPAUOujZlmzzDzzUi4sha4Uh2K5lPVCqVT/HM01SgHZshsYK3T7m5UmDOFl0Y8AG8x yo9ItcJFxbeUqDzrpttxF09PkewFWNiQdI6K8GmA7nOl/SbR+H+3t+VbGN/x6gTMMlRkFgSqyLLG cn8S/EkmFyVIvjggsNoGbSWKczoECXee0AXL13Dz24mJtWENk5U7jcZbZhl1XvPP1iPDe3EA/PU7 NLbPrW/9dcO3jelrHUKftR1dKgmj/KeSRpJYck4gRHNMnQfE/yY8CHI9kb8wMQhvMB8KgwNMYXNo X3CqjSjoQ9bu50NNwJBmrDMifb0SR/6xnVEU7Ex18Rxl+PfdNK8IDZxn/pgfb+28KUxs47lkUBUO L3hkDn57y3p1KtqStYY0WFhfyQy2ElQs3Er3M8LCNe7YRNlUj8QnVA/d/ru5P3kcz8aT4rXD2Eh9 EKWu3LcO5cvwYLq+czGV7MTHJZ8WgfqU/fdMWC2cQjVsAsCZ9xhxUbI1k2l7ie3xKMV5nrvlOSHi McdJvy4p26kZbiYV2M78PBLNbwN02HOBnz9TtzseI9HjQQmbpM5+uBEpzjyPqzbl/l4ihs8cdzwD Se+gfB8QyvsuP2715dO/ZGTpKpL1QItM6yk6o4biOSPeOCudLJ7EkbmdtmKlJQ2CdvdLhQsStIWH 2zp0DqKz+BG2lS98Q/1q3VlUbbkzPCz1tgN7wqc/yuN6SYWo46C/f9x5dnBxhii3FCub3r3mgWYu W6sgi+gk8BN2rVF241RH4VqOKWdAtj3P/kInasFgyUkkKLs2q4Z3jACPcsR/BH5QY3ibIkeXzk9q oeTGc974ko8WpL5HVwYSM0GOLiI59RknKSoUwfljFJDHOU5+koGLb5MQ/Wz1SIlO+dxFqPwGgney R9IjLF01Vu6acPaVE/D8jnFlP4eaAxOugyfnLiDcyct3GLRtMmS98qhy3ukfTv2oACjxhf7zTUTH gWME1FZ9EyDXbK+xsVulBLb1aO67+7sP4MmefP7EZjm+fNCJ97lcy4CZ/iq6Lysk/J6XntrnHPqG 2KPkINmls50Dk1sHq3sM/BAvAHSVufqMf063yiowA/24MbtydtYCZuJ1AGlVvj6UemjTDadq7U0W cGZ+oWtJdWybfOGVlYkG+4qRZZnnOiTfSaQgy5kFTelZxit8ttXGuwSpbKe2A9IcuEiKx8r6AcPL 5SQKCVAu385WWmaQnH5s1UmE1hyshm2o09FetzpF+N99U6VPoHTXvwePZpBsttbCZLMxQGHA0qIV e/1MUCJ2IeHdkStg5oIofxEZcQMqz4pQtDQyRJr1tQElXDah2NeLjCQ3lVTVwBsuPlCLa0pME2nl A0tIGyx7LiMyt1oDkb8BnvGEPcNAiltVIpgcX3BpQhSOqt7Jq8YvSM13nZclG/r5R0vN5ZJlSDgP A6Wl1V2aoy0VI82AgsPT+dSqF4EfdcXnZgGFMVM5jNWZLB7YHP6W11q9EVIauuGUavG0LB1H9ZRg 257GzV6p3udnnfd4GJ9TkltdL581+K9EylQP+YkWmMdY/vgrPf9t6Qa5HPxBpdt5Uj9/hmA7dHBE eHWM+XVr9w/AsxkLsdnRZZgr9KwheC6vKQawD+f3mwLG6mis7OwtgGSmJWSjCoYVGSzA5Ctk40O/ nTlu7wZO+fXAY12KThUMWHvVgecSOnoYd1jwWvyf3aw0r2uxp78aXrtquCRt1AtFYQo1lOrX8Crp g66kWeTn0P3NA2yl4yYdpY8Xzs98nT/4L8ZeMxtU5ezu93nuNI+O72TjG6vKPgdDiKNxTd+yEPOw ghogzMA4cSjCCAjCOu6V64mTy3+su6wPxc0VsK2GJ4dMSbv8m2alJeVi2+QQ/PLZmaNlXAllX/wG I6xqug2oziRAbhdYS4X3KSw22hhl7ImdfH9vumJjZLQVmOOxDElFWeQRgvcxYtKws7KJZ6XylGW6 3GDSG52ZXYhRO+QDzSB01O1LWpqaSOEgs+iMtTr3L3mCCc41ly/+JJeIndl9DisFBkWNaQm18oXM 93uMbWFmvQKYMv93EGMcUwr+6xWVe5tH2L4OUyPYro8Fu9DuB1MvyMicNkGII3AkEkCCgW56AOfz nOnvYd2Z7k9OXzI3bbBLH1i2PRyG7K6JfYQ91+ympwGMcQdOMt5LuscZCvUIUUussgWssd9WX1ya xahEE0Rq02Tmk9pp36UbD65j3xS1cltRMNyDh99cMBrBlx0xwHmd/b5B2F30Nvk7xp+wFAITNgYU sNHSOokp2wOF4qoDjuy/1iEy1zlWEnNNUjMgDVbCiqLaFO+nUtw0R8YSdhHKLBxXjmA8Waz8lqbU ynxvC0DjIOj5/WQ5b2DT+869OFaHmmkVdaFVIABDN5LMG8k6zD/B6oyQgHL6X916AvT7GYSrys8l m4wVWISTY2iPT9w+PLP9w3jhINseG2yeLj2jAcoEyD3GS6CKxb84g5l4Im5UMc0VBrBPH3YOL4kt s4kM63u7gE3szW02aeHr/1lMDMfXIgZttmn/n8SLuG37GFICgA0IekgX33KkVIGN2Nfm2LCVk5WN 7UIsuEQOfY9/U9199nB30RNfwfvAD7VYrqvyuz775OpV1i2mJhOCrJoRyB+xuDOJFxgcD5CIQeJV BOk4+0slRfOPoLuX3CByxJ337gB1fH5qpPCgOzzIobdexl2k1qxH6EESMZqy7I/3ICm8HrqXWaro IcelXUOv3naJ9euBWj5Eq0a3MyYPpTMHANq44jJA5MiJq8YP8bC8eh9tbRSDR6S6FanJQUo1Hs6c kpr33xvckLIVjx5py0QG+4WbTCgvta/EChOaQVguvV6CkGw27A8qR51dUdpBJkVUe9ae6iJ4ZL9k Oxx0FYvIIPEZLanAQBuLJb1k7v/2kSLFHVLYnTwF9XzteIefGNiI7N1ePXS7aRYJmAkzurGaTQw3 q6PHe2IpXA1azocOV3bfB3kJUh8AwwH4P4a9JjWmJ0wdC7ADlgvDvWyoUrS+HZmU9gIuGboWgLrr 8BBt+kWSH28KbW7hStL/MyYgJ0PtKjrVGKp7SfbgrAFI80lFX6yC3QhDeMlEBjwdKvRh3qmfrdD5 uEVdwPh/+Pn5KfgZqJkQA+G7SmsH9LrkzppnZ6QAAFD0fY5iJ2sLvC2J/eylDqGpbXjeLHw9VpdK rpG+KmIWw6FdbsaCh8ii7HNypsNNRsHWKuLR3EMsIFr8Agvc3wDKJVDUtsE2gW2Y5JkOK9i9fBRT Lng9ugeDt35+Gwdn8ttb/Pn7mTauZ5eaUrnhLArctoXscJLbgyhCW6zJvNDDvoFOBLL2vpI6aF+h Ya+Bc4NMYgQSmwLTgRqyvL5QsrZpBUDYOVbSzRIaUivAMybaN0q5GwE2AMR+2kc+PaMt6tqQ9ZdL XpOl4/KeXPLN1jEFaB8mUE+gdJnNADZWAQkjUyo6vW2pFxNJ+DVU5GGTyzB+gI9VRdaqnsQzIMYE C94hcSZUT6CfrAiVc0irbF20g30HKQwUjEL2AgBvU6dH87quwSmH7RDPtspkcFHHuUTxy8JN6ryf ES7qLsa3+exheFPiRXEvAJ9VA/lS3O59Gzi8fw3wPsS2Ea1sMOfgjD2fznq+Gd6PW1yWalRmWzKn /gxNe4Y39BVLZetzugwLeN8O6CREZEqkdXmRNpo1T07P/jZZcW9grstaQQ/84e8of40lgtwe70Qc UNLAcqSkgc6RRnC5WKt8MlWNjccrF82CIwD3Xoja6edkLHVjO7B6Y69xuPlumssCiD5ay0nGyM69 CI1Lu848zohdEn9koFY9IAuEInSHLcWxKPmMg3H2nMmcPPAwz3owgYxzkQSZNnnVhOSqOFDNysBL 7UquiEUID63WAUNVvsCkwgHhjHBsBjCQtLBw+ZDHcAjTIQ69syL6WGhV7xz8G/dpjmHMcvGY77G+ DbQOq6cgPItvbMtfjRuhKTyiNJ8fnSQr1YJTsmwL3rWNns7qj2yZ08XEhY/tPX/0m6ywhK6k8eyc UQkeN3WOFLdihrZsEv0XZjXWRtoii4kwOGyOccbM+unviJJBJTcs0WSL2UU3y1pDzVOe7ZlHPVea xRsYtHd10LY9J9x3S4g+NZL5Z6QJ758AI58XcdXhqh4HWAPJfSBUYkV81zdp9H2NaMAH0MQQTEf3 iLVoY8gihrQvwK79eKF48JzJS3Z7ExuStXEqcDnQ4SMAy/4yp1ij0Gs5Ypmw7ju+Pj7ZBxMFRM1B 6zY7nlrYBxwLC+9c+/KHc2ZcQpb/gqBsLpPcWW/LTDpbVpzztoJhkWJg7b6lqN55CKm3tXWoyuDq 7UiZv2Nq4LW5SPdCuRcWcIRmxffMtU/Zf0/FbdsJSuglNqkAEh6pZcu/01khgmlN1ZYVx/BpmbA9 OEWVok+bVFanjWDMQJ5oSR9m+PkZqxmOCH/aZeJCvnUIkWvOf5ig0rm4hcLQYbEcLbs1sY4rXkD/ yqV9ywZmFLG4XyK0Nj7q8EZylSKbOqpb4J/m5l5CpaYf2LJby7DEiykky2eaxlnftpPCfzvws/6g tzzRvjSW0aVpik99i9CBmeTpiQUY3EMXkTDLtiTPjfh66hdkMJXzV2yORDpdH6dZpSptIVmmWMBu 0MsfCEzc18T0Rwe3BB2uRKuBKEGXgjo4Cv8mXrrJVQWUZNJ8BYaN60eXfJA0sglsHrxPs4XtWGUM doF6qDIQS7HjN/EcYKFSOA1uSCphJbXyYK0Pch68vipHjGW92wQFSaVxNk391625jjxscK1b3jMo WKGLL8deTZpnBNIhQ7j1/c7cNmJtmWccHOnyjWqVoTJLdMhZfUdNtc/ABJZ8qMDqfbtyachI3RK/ FGgHAYTkLpVIDXVeA6VedOAtLIlu6EGjAC3SchAdrN0oMQq4O5Oq5nu+Rqo66lbGw91hL7Tu/kIR hO3nYrLDxnCBoQqvtsWmLCUT3jnI7tfCnJTG8ElfqE1EsBj/VqZfumuB0qW4YTW6vxJojdl4yWRT WwxP2P33/5iNQlZDVC9DEFQv03s5HfAFdd/TmMROe0cKTjoOo9T1mYUL7g4jUvVsfIQdREhhXoGE khz7zrBXpKeW1Np7PdEkYvXGwQ/xPrX23O7UOlTxHGFKMkMuyo+vXA+/sZFgvs9bj3kyd949g9b4 PdQDmhlHN6EmSaA2WFedY65HRXki6ziAyG02jspGzl5lPAlLbjjbvVL1tLwj6xKx1Q3kVQ5nLebu dt9/X6hxppv50btE1m6KHphtQhkCTPmQhYcdVi1urruQfigHE5cR1IskteydnWM4tG4G4qW4mi58 MLlXVO0/iSY36ai/sPb18q6oa8b9CO7b2mOGD1TLQNJe3GyuW+SVZLN0hjusFxOMT7qL8n0pwRJp gJfOfUUgrs9xzNW9LrnJryeCUHZG1Y0tgoX5on0xXE8wTyCaPWdKScztmNE5DRqqkyHEEz3PjEr6 aDzdr1xRdJ1f4dWOOPlskPKd9CDHek0dhR8lt/xvoBn5d9YjXea+rFNzO0nizmJWUr7u5u5ukv2S ckYdNY0qhEa3oByjIn1iit/oNIIP1Gz8TSUEhzeBWb8mZA9Li9vN+hJaFZWCuGNiWdWPi0ocVaZd O0DTxsiodHMZCRFLePhC/Yz+b072wwAd55XgXavkSDP5QWJ9J5XqbutGMi9Yd2EbuZnn9/QbMbl0 fSzoEpJbGVjyK4EbualfS0QGEiJJLSRs+PcxHW/7toMJsloysvVb5Fv3h4iG0Jw+z3ntMQxyqA0U eeyABI3D1/yhnzRwepTn84RgfImNffm1j7EGfFx8YjacouTIpuvayCmtufGsAif5nE5bi0cRO9K9 CAynFZpXCKljD60SejrFEjo+IYrbAjhYxeGSe4OjiiwCfo5mvfMAiUgd3jCzAGnhpB5DTmQ70xfS lXLVaijCKdOh/zZ5JfDIR8mA6yMiV1bmpWfdPh5pKRi3JAevrUBVf5cCrCKT8RrQ6vzDsX8df+1G MHlha5LX4+Xs4fAZeDWCkh3Tm2+7n2nl7xFrcjQXiTH+GPdm+a/ZbOKig+s7GCWBuC6Fz72Hlohv p33tKDB/6XX1m7+aKRV0/HTmNR5a8mIZGV/OPHPYkd95JRUlBdJFmrlLQn+8k2DI9fsRiTbZstjI LxAtdfwmpUyY3Fmx7Jz8Qz+PKSv0OeksiBZpZDRjLUZFjMHE6L2mE2asbVOgQkgilvlI0BWm3JIb 5PrOkx5FUFm+MmQtHZyaeQnJKgkFTLGcrJ518PUvZIhcGkAEqXKbByspTfKtVzTotUN7Z9VN5ldE 5pCzW6WjnBC4Jaej39LPHcvGVj0QSDxR1HrbTmwi87pfbsnIObQMgLN6D2qlBMIhAqnIYh1ErXYA tR+6zgE/alMRxtHSsAbnHFrZs3OZ8/3bSslyk1qXd50XhRLyZerfd2LTKlN6Zsn4YiYh5QrN8Oye kmS1A8WpaO6bj3owsUsfzYGsE4+qQZ6NqNZ3RR1Yp1uiAZIy5gU9SiYl7qhk/2iUfx1RnuqkOq1+ iiIWW+sWCIiK3CyK8RWyaRkkpziv+TsioYJgmXeHfQbnzL+FNuAShNDXsrhsevM63JjQ1jA0YeoU NwKauoPI9GrW4Q8RJf0DDyePSu+CrICYBglf7yslqWMYf5ZKmIjTU4Mt1Q7X0T2fdnCYrhwqRHmy SlgFTdkiql4idz0JH0Vs2nbEJ1Te0JV3xcEkIwkYcIgidi7G6lSFVNGUtvFPb1Ta02JOKjG89LMc pG2jl4Re6rJuF+52/DxNnMW8ISyUip+t8R3hbCXEL18avMyugI1Huq8RDzZS7TiHajuuVdxsvPf1 RHa8/7WXvKwh8eQ/tGaZxPovdbpzG4AGJA2CxdTSYLGRYAavV5YB3NebQ9cIi6oB9JHWM7TIOZEB URtizkZEmtH+3VXU8gdxentMIcpUeNhbEwmI6uoL6rY3Bf6+5NeZnY6BegFV7G+m4Hlp5SOZJ+ft YkIC1Z4f5Aawo0MdkVEty1lOXcStrQRsan+8xbCOUwb1aURCR1fHuNk1CgvNAAhhkvsy3pCE7ARa bR/FXgEN3UObLoIQweNSOKlFsv+SnclgeKDbi1EFeuS6a6IKL5JmYcRsaWhyYkS7GrH2oPZzI2nM OR60nFN0LW24XNPJ0T5PceSUqgyoK76OhQp+XX3oGos7abvzGTgKTZJCeReY2t0MAipCpYHThY33 QoJxfBmh6frkN92LCQ48BaDwSWp1r9FV/TNzpk7WPb49w2sae6l9hrzqVQdfD1tSE8Sy5pIlrgU7 aNYdiCNPd56aGxZ8owzIhbk8kCZiLtVLDAmCJGyklCopAS68tiFGBHhLQWAdAfyY5qe8ry/v6JdW pkt8ZCN1sH78pkpkdKmhulIDrSaweFf4nEWGUxDxROVJao4shjrTH8nfdYi3PgbUXcg4L1ZSfrPC Vyv2/Z8h1BARqQFGl42lm44PkC4gvllILusEwC/xMvEQG86qvEmolVAHJIrulVgQiUEdZkyNHuzR mG3oyU+0lCIuJ1X9eMnD8imIVOiimYJHu0gftbKmJlZIkQxpwknJ/A4JmKSFVf+TMxjnOZ/nfwh/ 2TVKtZfMdBbQlJi7Gv5usyoyGgk9bnk8OVbLbDjhqaNN3Rqfr/UyQoQuENLeyeTcsU0af4DdGyx0 iqxBbIrIytcqklA8ujPtQBBxnRPLamaSRMA80GhmoaMywLCcAsFiNNqoUYhKOemrfTMhxANMxc/D i70x2OUyGAKESk83gNznC0ie+skcdyGnHJDykzZvvdAxMxA8MJ4c1lqyvNSlsGKRGoGox488t3rD m3lSLCCq87XocRJlblh8f8DE7uMFuO/s6/xJbzYleeCRqzy/Mj41pIwvoVQISEtjVDn02TqaAJHS e0TDwo5/fBMHJG6sO6x3qXDcYAgC8VVbQ9Qt9ZbaxCuCnUQszktpODuuglD5HvKyd9Bl+3CGuWVj 0WMSABP9diuZcrhoq8aOahkVjfVwyaAUjN4juzHEXQ3PmXOBsracpSFfa9F9OuRJzat2ePEKLoDd dN8MjrDaTx4JEC8hzW+OJQCiC1RIkjD+pIVr2D44cC7U91K0/d7IthGFGkmlQknJcNU/6v+p94pv Mshu0Jn3ow3HfrXpJMdGmB004vDSw4a8nTtRq9ecubJieAzoJEqh5rEIRisWajQLn21cGFHG8in6 PNyGh6TshbtAzvvD4soU9RYTysnoeO0TQqwYEt2cHl9Qzm3n5bRcLPotaAdGVMXBEVGQCyh1dLMK HEmgU6zK7Q8UCYG2pw8IUr/OSHgnpKPxk4Nk4iz3kZ5RaUOKryKMomso0TDSOOGZj/4BFDpljBBB NIntTOlNOnjXa07fjMRq0SLs5N9krq2Yur0iIv0lt7bxKHiA8sf+QOu62N65+OjDItPythGBgVJ5 zU3R/YNmPNRRJfFVcWgtI46LNXLaZbUC7FK3mIYg6yqFz1oIkUdW8RLxfRpRNrM6m/7rL4Z0DY6B 6H8mQrIbjAHVnP7N1Uu8rualVraKUfnwWmdCnv1DDdP9Jnzx99WPi1QtrOMmKqCnSWYzFTyMnf6+ ARBOP+TrwFy/eEbsroUaINCYNfLLNPuePSxWrEkYc5m+jbFhNd4jj6+QA7L3nKGLWyhIgeMlA16C 0S0LENfTKyzOKxEwcOgBtYulUTb40fldMIz+f7Q7Qur7KYX66Ht19KHhYnk4NjTpwJtsovjRIT9K RbnKD7YazCmaGf17kK7C3pU/ucAYLqTlX/beOE4nDTql7LbVTNP6NSWWBo9YiPp1WC/3XRoWCI/o fReq6iCERkSXGReUQRPEQkgP0BEIQBMrhEZw6B1symEONRHsxXjuHbu6h6uMPl0RZgSkjV2GuOMf 7PNeCBxtxhW73h21MmqH24lnYvsf1qs1+Fif6bIsP9aimWWHqJfgjLdEzggscAWMsnR8SbRlzhsS HRABiObW7StyyGCmsH4PO7TB+Ry8g4mSaLBFYgWuQLqxwXX+9xC4HBwX824nZhdZqREIjPHQOEBy jSLrc+9ECZ258HfDfwUhDezyn1/j37r/d549Z+YNDbiNEbqEUyFOcWlxxA8EOAOnvJvY8bDpFxXb LPXie3JyDwFPkyc74kKWWmaBx3NpG0uHS/e3W4bdD0Ilvu3BPuDpMp1jEZkw8/jeYy+1AEDBVlj/ Jh996EuIf5Hbmeb3W+UiQ7+UUecYDSb5nv6pvEUWXQjIRGl0VNQiX8YotaCSZSS4himEbiUSWX7y 5QpZPk9d3DsFEKFt8KGoMncZoTKj/CA2KXELI7XBuL5E4y4lUt1D5e7gcUtaUeefjRzhLR8BOMRu PjVlbWt7CeGF4n21ZOorztPa1cet0nrzYac+hy9E9vXO1xxF0MvgLTO1OEx5oCFpKDU5PDO//SQs F8p7Wke2aGhu6CiJFIrsRb2r9VUKOGoo1WhBFaWyj+GS9bcqxKKj42jPpDHUq8xct4PEgpYmq0V1 Bj7YeWU+xUtae2SEfhKttYy5FUKMAqZRx2XSHJdJ39TD2VqD32IMX3vC4+Nvw5jgENFY6CYpwJJq 0wqvWmbxwAfWky8+mxAJ0G0EYhRyirCA0ST33lbCTQf48sDULBF3Q/YWURvyuJ3bVkQN4a1Z5X0y wnzGIXMrg9RhVLkZEHQw0yULdpZiJmxX6a2Q+ckbbWbKiklD6W+ALvfWEp4Yo/p0XGArFDurfOTi 3DC+lGoCuXl/67YY1LJqHw7EYL9oNJAHOCcICq+6p+kyoa+LPjPuKBWpi9mzdye1ssQ0li5FG5cU 1diSEajckbC5Gvv2PEgSiCvnk5Qa2Cl/4PbO7p+cWU6c2Zk5knKOLj5vlOHGzF3iDmXrjRlSUC/s 3evl/cO8k7mtW1apjT5zB+TdE5XyRjwEDTzCp2vk0S2vvaVnML63d7OSYkCFyYXa01Gd+KjNaJGJ Ogdbv+FMpXP8McRyGYRJq0PKIHEGi/HZ6IfUvq64lh9glWCx6tdQfnC84T/MSdZ4qr3BJagANnVg RX4CxgJcgJZhDMPK9cs+pNY6G9EKmmfHMDguBM7Qcg/LPI2PW16iEvDxUmEwt4cZYJ6gjU2o7gbl Hl646J+q1lSGZ00zaqnpX2Inp+TVhegmVr0tH3jg5x0YeAX3FuY0WZ4hZQ7YYM2NBDIdVLZenF1v Tt7sH+4EcSjBqjcNaDsx/5Eh6F63Kb670eIziec5vy9fgsxm7NfULjQsWXbR9+1f4jCHv2/0VMst 6RXyO70qduAUcOT8aTZNMfFUeYUegN8aCqUz2jn+ht+9Sa8qkT8CFeXAmTJNi44aB88ChZlwtnR5 JaXpQYp2xsyFecWuKB3vFTyQQoMR11p7jb3qvs9BbDW72PfCqHHYezYfPtOReAu/vCcyiKVGBHVP /25tRwIWsbQ684fB0ZBYD3Ho2tHoOhRN5O70aMBRhuGKLCNEXF/oD7TqznwBNWVvobp0waALu+oi sNSyy8pJQPraAy1GhrZqEmW/IkAQLOY1BXXwqBVP15CaxIu7rx/J+bDRcL780imZvQF470aBaXRt Td3jG+m4dE4qGhUiwNclnY7rS9ub6KQV+Chezj14P5w/CMRUJC3a+oMLHiucrq0wwjGcCK+opSP+ izY+vaQgFlnNkwyYAzns1hgWKWnyZatUoMcEnXNHJXEBA1vzKHYJMR19/JB/V36OkBFGSccG8HgZ BxvFnONDOwy87ZoU8IenAuDZZM83RkNyXcMerDAJJIpXa5hPw8ROZgDimtX0+tn5g47EByvwkRwJ OHLAgrsV/o94LahGDMpKav+T9Nhww5wbRMbC6iLT1kBEPtmrytUBl6Jg7AjDX6svsaSti12zC5OK vg1zYjAzk/ckFYs5OFkBIjN7nZblZIBfIgkG6OwIMJKQO3Ooby2xUwa8itZsx/+ZHQleziCBNqgt 6Bk8cB6K3ZJX3/L01NRtwRDpgArBzGYJQWSwvgvcLpnr1Pb+Gymp+JiW1/AZATaVmPJp3skLYSQt 173cxU1Uze9ucSwh6FZSr1UpQK+bqj/eYnFZ9+iajMtxYlzFTaUdg6UpQfG3EWfUJsB7gJvmSHlZ TQXy3U9fUuaix1mCusS31rCfvZ2jWzZweM065gSp38JznnDKeZZ1/+BFYvz4mB8V2mZxvpN4D5+/ nKMKdn+SiosPyauuv6MpqgDzOu0QaKIbgvWNCLL2CohQ5E+fa6J7B3QhhwBloKW/Q+JGlZ+18sr0 vuw6HwXHZnw4OG7ChJy0+FpJX+jtBHNaYrPEibM9FyyVIf1hSqgdyAFjXYNLhDxCmvqRT/rmDj+h fOJ0Ym6c0Sm2Fo9Of4cnJrVo+26Hw84lTMTudl2psYDl5oJBrRcKp6W7EYIR/h4LGAyrcCgfGFk/ ZlvdDeGn0MEoCNUJn/JjpvqAUYwkApzirclkd3K5Es3Qe7i1/3+jIoT3AqUnzkDmq/Jdd3EwiIpn e3F1xLQV4lZAGOR+MXs0MjmXY1Tb0WUXlj2pk+IQ7AjOgWu882J76lCRcoDSddlx/vyNLQadumVB nEH/YP5MhJxaXKJmt7tFxcRImdrZNfD3Ewg0IkWfG2DNMPK5iLOiahnSdGfQKXOXzzC8j8JsDLQu VCD9jbbOo+2nrVNDg3L6Nojb0tq23Mv16SBK1Mp5dqpfwx0u8MCz6RA9JULHJORf1fBILHgCrz+B CRy6qiVwHG9rSH3yjlT19ER+odtBwQkt+vZmsUE5XwIYMYzKJDA+bMR2p7/unJiEJMRXKDbwciN0 BAxT+CixU1DSUcHonLVTbpRGZPUCG8puPM3/vZze0WaQcSBAKgmfj0/g7EMtrVBAaBnrCCkfj7qH CXdBGRUf2LBkMLAQQ0kXOducZMnVRBME5lobiYVY9xN+NRld/w8Ut8+NGhVi9lo3gJEG4wijs4lN knPwypsDjkP98avJVOiJwes+pwtdH0bW8Br05qmtblbnWYz4tzquRa/wS8BqhTraX0NA4EtFJqKt 0HO28CI/mtIuVPzvR5s0mR520qRXjHO6CX97NriLnSqhWO8b/RwGlRXNS8koQOyFxHaRLB0ueBaW r3GiEANwx5TGvNmBaLKFl7Vl/37cNAgcAUtJtXgJ8B9P2M6xnzIOQ48kIVH3u2s6nCQG8CsQXhIW Ubx1uA88HgfEYn7yXD1e7uKJCQeIh281ukrMOzAaC5oW5SWXNtkF0K3OgQLo/+eItGel6uWoKj5u gCgDB/6c4hBncKOCeBkxpr+QwNmImAhi9xaKReSMKmMM/bmorK5bmzhiLIQE/AEwvMUrOTUyryjL Q29bZifO04mFfKOWEISwlztYVYQB5Amx61DDagfSatmhDobh/+gMgDaWftc4/g75ccOCoAQs5G46 SPpnhGY/5SXg5fkdmiFJeSe7MEC3BCIm4d/AZ9jiYC5UskFlvw/wh5BbHcyoIwcJUJ4EK62NT1D5 +sbr/y0nb0Ge/AR8CwZidRFHMSIalx+FYd6s67DcaQ+AeIZOPezllFw+0vSve5QAC7SMzHlFCGyD ZEGPQurjAZae4YQjkZCAPjXRq3+gNw9P52rH/VvCNm/VUcyy9C9lmqKnVzGdVI9akcN2D7qvU7F6 XDdpXGIGVV8TOXKjmz2i5oYYvv5o+vdJcvkFBBV/QxAf5pBAmaOKXD2KawystOOfnYrZVTuwGC1w PTzI7+08lHXMkUsSmFM5nWV2jscwW7PTCWMjvXnCrLmvucKoByhPgi3GDVClRd6B/38aX8FXlrOp Aq79wEMicZNNcT6aebkwNvXCgBfjFz6Ij4QaOuKXpn6mOzdGhvjg/GWCMEw267iNvmvXTBh9zxwI H4f5w4AjW7SZc3m57RQOLe/BCBGP1Sl06a81BDHe9iooEQK56XebQxOvmEz7PO9t2e9ZP8N/lUiA B5K+50AU5PROJeg31DJf42GqxvJLN4A6UeSyPzR9vzuhlDzxT3D0l1Lnsd1yBDRu5KQGweHbJU4g pYFJStdgJy3WDq62nBCsFhb5ZXUNDOCgOoEaAwdRZ3ZBZrtchnrYTBnHLF9WKfF/IdUn3YcmKys9 02yTCP6h3yWJiEq6P7/3zcK/fF+OchJYU26Irpk1wms/B7d+Lw6bvFy32RbW7yqGESkeB6i4ISfD 0RwQp8GYdyd4ynnlhLWAZuAN2k0MxIzwlLF29WFHEK5u520o/GEVdgD6Mwivp1YJZwIRYfusj8HS 5ToPWBFvqaRGeBAK63bhtCc8mZpkqFybLeJ/uJgdltd72J4cO0BdQd2Xp64A1b9/yRSphVuXTOZg YNEYwpGq5hrrhKDo9DG3OalTokw+I6iZSO1faODgg9u6xFNyPjDjjVrwfBC0ILURBty8njL3mCIW vXqsihbhT031aDTDa6LQZA4/LlVd3NO3VdN8Mjma2qyFKrgmV+wnhQgxS1bPrv4sLnpK/0jJPahl RM3ma/v3Eo5vVDCQmaXvxDSLK3Dq4A/hC2quwvCxXZPwwtnCBLtigEMvIs+BYCiQs3IeqZiiSlt0 RvW7FQs1LKV6Euqk7K5hE7g6KJXvPM60XsmisUa2vT83jU0mAD8IrRZ5qDCzqcT0nAQnHeCwKMTI hmtVbLeyYB7WSn5qCLFt1iS+Gny9pd3iPEGSETutpf107H6aekrrRYxHTg7Supc0VoXjhLEFVZe1 7Y+nrdGypa0+vsjVY1HxL0kdjUx8snc2ieM/tQoQh0S2qud3AABfM5FO18Y/hlXiWM1uxLW1LD7G t9gNl06LmSDEi7UeAckWJWQhV1NvrNKFNOk5hq73JXGUaSeuRokb7iRdB/Ir9PIrC7CcMjSDXqV1 7aTTCA0FJzDl4dzCOMFEju6NmLwDh4rcXZQKDaAXQ6csNm8a0wpmLxYQx4SsEJGxTC0qPtg3+x5N XwUSR7eh0wpALiq1PiR7gJv0Q4j4JBXakZGJ/PEiEvj3X6B+LwH5ODeqlV4kdzIJGFBrrHO1yZ9D mH1/riYJkDgDlqY8WXePS8Ck1mwYWsnawrDQGiuVVH7Fdkhtc6WiC/BvTkl278h3syqazLsw1tQ7 az0CUSi86bDBsXEZQYLy+sT8n2AWYMJj1aqTHD+KsV9t+U1l3+PfhDs2Tqi3c2KiP34iKIz7fEk6 aUVBcrVFocwfcs8kMtNpkFO9M+DDiiaa/h+jwQ+kmMjJ5pkzY6+XbYsTvZ4WZ9jP2g+v3Tmy1uQ/ ELZKoEoJQ2rwbiDsiBf1Gnk1D3Eo0qx/Yr/WOVC5oeZVL2iAW1/v/3xbH3XJv4BinxKMkK6mAykr Fcs8otqv9BzC3mG+6m+7mUAiXMIfFgbAgFEzbDugT6fEgdP6I9ippnxx1roud0GvFDnkC7yOLZJO 3WBDYHGPLuIt43dWUKAkye6z3xSj/gE87UTjoXDyp+WO3jLIgHZFW6ARgVMC03DAF6OCa3Nf9a7T kBBahtX1ovJkhyPTvJMkkKmzWZgIm1bxGA+FseQkmnwYC0d8PVtzb0is9eBAKX9HlVq8dceOMWtY j6GHDSfFfevPNK8keh6py9wfpRCRedDNCcoapjrJX7EV1jAlpPxbirsBmCv529SAAUfOknpGwcSb G0T3MhEHxsNTaqQ/k9VEtJdfTWed3FVG1Q4VuIEPBrcamUErlAoLE8iNSileQPgj9Q90qQJX7qQD 6HQrx8Nii64lrMBLZ5gAE1NdLBDOTjjBaIKrqokNQ9eyHWjadLV95m+/lOm1Q57Gjmr3ZQmhDg/s tDajt9eaH+6EqZbaBoFeuHRn9G+B/fBMHdVZKCmresUMcO8El8zjsk+Tzz7FLJYps5OWBr9WAmGx Knw9LEseJo4KA7mXjAuKn5FyGZsyCeVGbd/MtQTO18WqXIIyhFtlmllaBdpLLGK72bVRvzOTTYwh F+J0y6WDftwy3G45m0gR5YMN6eaK9KJqpN3H5YNt9H9RXsodUUrWZWY5t852uveRAyUVolV7hPLo a/dWrjreiun3T7pqOkJ5tW/Ojti4JlL4tyYOH44LELKGkIJP0IpB+n2fwP0CxFMxiJItNya1SRQN pxEQ3jW2RzxW4B27Jthybq3C9BE/fWNI6xhcahU0PsdLBDS1QaxzKOmjCtkAqhxKWfped8MiYUus 5SWpEdgI/UKnRxQ4ty8dGPDRRtqIVxf7XF3vpJz5G/V4g3sJi342PeU4KkobCpSkcJfuoOhAHVGz grU+d0xcYk2fxlT0Q8cMQ7dka+S0jCrsFKykDN3OJvLlJ82Z3Sy7TC6xuN58RsKmoXYgBEIPVCTg gOrnqrtRxQ+9M/owG61i70AcE1ET5LQFrLr6ChPawaFDEdIaDe5w/fIBoMMQiOD2EUR/aD8xMqUZ nwVwzoQC091yVSlio1vFXEMiTW8DqgVkvOx09xBPAYg4fM8HcMpYxVljkQ3PKKS0keSayfnRaFl1 yawHqyxoh33XgT7icbH4czxIRR9kqAmbNaSxuVxRkK5UMQglsdjd9vrdUf6zZjd/f4JrJvmfBAck JvggSyI8IOJx3L0dQOy2qqMdpy5dNdRZ0h3yxuKQ/iK/HCnzTRpiSeCot268DRlfFeEznFJrKgM6 LAPx9FCxvZf1SWFoVp+Stcf97zxyW/pc80YXneoaCwFdarEorkkE5nv4ZnT5xx+LHkJs04tIgbYo g6UNPlBl3RnB7NaviEQlGAo1V+esYA4CiXrhLPB3HwTaBDrfJWAarZvv1vqv90zbtoH0H7Irk5aQ Pmr7mEO5jNJVhG5CQu5J2gNmo6CTj/7sRTsqtX+x/JMCrOFJDvffbwINpaDO4paC/aJmNqPMIk/i ROGL7kRqLUjym754XGYLoMO1JRuDEOHW3WIHS/xeDEjGzevhmX6UI6lx/DYY+dNUdrPmudOn+E1C LXep7kyKt9VEEgzNbpy42DyxzUH9nBxbhUXwYpjjDD0LlvILYTri/4rGCVbbBOQgOmrr6hL5M5cS JRgI2txW8yPV75OJJGAhRoN3vhtDuNxVJAqRxWyBdj2du1r8opv8SR6C7d/EbAhxJpxLhH+IIJvb TFiJGGeRTT8GHINM+9hi5qAqgC9sdgdjIIbiL4kr8pa/mCd5GqiBkSTUb5xX11VsDR11REzFlsDn oC4nNL/L8ahpa35QIZm3kybklk0944P39fntJtGWlKb0mdYXW+K967S6AcddcQHTC+0jukmDAmmQ 15xg3nQLweYGVeYjX3kQDYzDyabDgI5cSztnUH8vjefuvSTUK5ftLeCfWhq9g/tA5zmMwllw0/HM 4UlPpK67Lf33O04puv8fgRe3oD16IKpVwebbvEnyXD8DsNw7dcuKwrQZER3GhAXpqgjNSv+d/+Xo aAleZfXdXyFD+zA6CKmcKEgW1PCKeaB8T2V8pHoPi1cGVgw0zG5x+WkDDPB1dNq+8Tig3ITLy77v EsGxGDL8w3ff109ras+JY/oD7j/JjHGG60SZkKaMiwFEW71xX6VuzMUjs07xDr55/ABQdar//4rj qzQZeb2m5ImBcv+kCqCBDf9KsdtHMwRvrSuv3uNQOs1/4W+Xy23MtHezacj93GYqmOaLwy8jyJtn AvRAyLg3Te1Nd9a2u1rMYwWOfzPJ6Jlgn/dSrY43i80zgcwjVRDKTh2q69XMWrXR8gyFsWy60m9e 6NhX5LX4mcviIIW8dB4IFdiD2JBt3ppT8MRRrkJt8S14bd1JZjyUa7FGPnUEJERAYTnNI5mBaYPs SItK/FrhnXqtOfsjkdCz+BNy4RMtpp8bm3FNcSFpqST3gt1Nd9yMeLw+HRapAzHJNR8LS8Qn8Cbv TmSjTMJNUTyx1kDsgIqCbohO1ATiQbDFe5hBGPOSrVZgEV6F4jvYtoQmtQN7wjC8wigbAiXjYXT5 nZvUXei5Kayma4199JSQ4in/15KocY2DQYnki/vJWJrT09JLwyTpCJcPXaLyE3L1rV93UJeYnIN7 Z4KdX+vwrmu6osUa66Z9+swYT1G36n6fkl3KVF8c1BzeQwxVqdEWHrk9joc5cRPitXI8XPGXKxfo u9nnmbM9TWJA8Bf4YfaJrJhYJuQje0mFSYOrZlKUzEwghQ8/vWW2ijMaDCqCpiLO/ifLsc7wQuF+ Z7m9uxXRHLIzVo3m7Vf0Hxn+F2qg57AwwaZhE97IlnoPgWDa3ob/AK6CHMkq3njoRIROy+Pc/gTv 2wOVepuO8g9qYJAw1u/cW2gRS8StPmgimLO7SHAg6jISa26c1qUAF7QpellYoFxVpEKgGVqk1Hh3 bSpG8txMWMQ8mpQKpxPJ+OsFLJPeEOfnxpYa9Eiu7HZgff5s+1iXOOZV8u81toJ6U94Z/4GDFiAx 8M/J2qKappQKKnmuIIXV1v0bsiU+pag/Rz7yq56azdTyO/2weES64SRyjFpXj/obpKETzpn8fMux fe8/XHbKVONX2EaVUQlGRTPdLR/I3tWwfI95JHs84h2tZz+aj9KH8pgQCtk4V9TVuZkmBuK57jue JWrhC6sm+q1a8W6nLaVD96foinLeNilKbqBKstNXR/BMVA6LS+hIO1NN3CHdayIahraieMfyJ94f UeUTq2z7c9RxZ2m9drSEj/F5lVmsOpxQojoaIzUDNKGJ13O17inTOtd8lq0taS6CSzBU6hhVKtpb PvdEoh2WXArTTNzFB8IQIh9C/+10Yci54/Z7GHmuBTEeBZWwEFIkHi+MKfZKyeb6X1b0u55soxJw y+WYssnEJX84L/59lR2mXHWs3sBg4yqO4kVUN6dNeSSe/LBH4ZXw2PKXvpESNAbw2TrnCQgwajw1 CbuPi6dGfaJzukXV0ZgDdVoKyBc5rvzMOAlX0zE2nV6UDLMZIIwl/BUmTwyOjsRi9BStESRw5b3S gN6wtYB/81G4poZK0yjJd8rxhDKcL69+JWhVnekSSR8v1VYLPVRbrmBTSp2hnFV9IKHSsH1YaR9E xNNIKuLtHmruHvE4plLS2IaS0ZID6HEgdOQ2FxTnEOcnyrTUuX9RWrdAzEJgrDEbh+WpSpkVcvsP Twl9wjmTvoeDHeK9CTeei9388WmMMfA4V035CXStBT9aO8U8/bwSntCi9C4Ntzylo2G9oruwX70F AGIOGhCMc+ZLJAW0tSXi7nCZTAqBFapn67QTiaDheFxdBZ4ALLr5+6ydDaNtzb5fGljwjZcQN/fn 26z30cHamrmzNJGgfNCgImRj9OQDrtzCGy+8XKEDfoZOY5nj9SbEc2AzAKn5t0iapbWs8GlhTrRp E/3L+ln66QT9/4Ac68dlMn8k8fsKBiH/4Wae/fBLVNss3h5yupjb0JtYHiOHLwJA9jWkn01uJ5zX hwEC3h2rMUIPkF9GvTIc9NarCN8dMw+USX6cXU09ycA/imXXQ6ZpoCq5thbg7k5ONgLEu6+ruoBb gV2k3bBUZCeLGEPQN/C7nTPpe+AZEsfyB0DgLBixeKU5TFc0m/wng4KhnudAJ1JmHVOS0ZQ2CHtj q2oINt3+VMwKGsMom2Yr5GOhpxkDqUb96JZVOpD9o54Q/xnK+mX8Z1iRgVG9oks9ny4yoGnxj/gB Bg3VXxpSxqn1PBU1jsivbKfP1z7t2AJ069Xl1B4+OrAxruLzLkgF74yfoN+Kb6AFSozuTzZ2WZoZ PJNZ8q98aN33LZrS1oRS6HG5gnW2OJGH3FaiwP21YjESY9OdUFMjkhjV5zUzM6uE78U8sCn7SIZs DzJbZCvVXFjb5ABpJJiCGlWETx+O4h5PO0mo5hwUSlNYPXQvdHHv84kxsr62yAruKcHCbXZsbqFS uP/bakTEV9o2toNB5xdvNxDVFxIz2+tM8MaYDQUtREjO53BvupbvMjPWS3zMPs16VpT/QWo+sCf0 v0TblkmBV4R9xuNtPvwUnX7sN9p0gGYha3ks1uWcufn9QuzYVh7MSlVY/uLcfhcC/MJObrN6rMAF jY5zGCVzQvMGcJ3Tu7bi3hw1oWFAQDc3f4Wo8CzG5MtcK1xB+jPfmGJdB+gfm57jvypBY2Hg4Qlr ViRL1iKvXvCoo+XBPqvcJ8JXV3p2Ae9q9cB2u+p0X3Q5jx3yeth6IAzkA409PKiTjqqSKTxF+mpo g+a/kWH6BkQao8/UEDcd6KfwXo5+E4hAEoISzKmjB7fcjaFmQz76RBdYeflN00lEIbx8sVdWwzMq mJuIBaSycB6UKArnsYraEB7VUTDzy51MP3S4249796Mj85E2Xc91jQraTn4y3Yzp1SBsPqkmWWTQ LUTL4zxzxLriCHL3pAgO8pYlixvZDc+eSauoETNNSPpS8eHTNeGODdjTsnn3JYMZsQTHa8BMY3XP PyJx16LXU5XK6FLCwHe1ckBnXjQ5V6iliA5UYubIKra6/bUi0BHfzVMMRavhJDMq93JpaGEsdGZI 2p8aCG2baVmjQkIlK3JYZeMMQqRB6qTg3ZrsJZC0owe8P/X4/gCVXdhqnffzGGtsyUH8l8deIaaM q03L9fY5ZXA2uIEXnDiop4+Vh4im4RUrRIcSzJOYFfud4jbEg0LwpteKvow8YYNFhw2tCma/ssqt hyMAiknOTKv88Ok0055xm06hd7iFGr1q5YwUc5j/RbYnM0NUy9w0ROED2CUNNnTY4CYabXllHKrP 5kIzi2Xbvrvdy098uNgMRnX5W8KlAv719U68DkMjKb6dsRaT8shJE8iCoHgdm0dxS9NdUGHw2pzs lBOtMbPpS9Cx6jWRMwrZ2JQSJIrUc3RdVh9Tr2OsYXaPDTbEBQxVb4EdtBCORFhnq8l3K9DQsjUn vyBv2CFbIGDzs0wu6F3bXCr8rdcWqNioNeZo4XNZazNWlstQd1zcMK3hJosAFX51+5HI5Iog3oI9 oQFPEaw+bdZ+LU0OMQuuKXbFQ4gyEhfxZtkDe4OQOoHJJpJ8p066dfCpH4PAxyuVj9nH6EwhbsdM h64aM8VDBTJYzRctN5WCbyun2qNq9qWNyn+3aCWihh6HgxaplWogaf9OXvMTffUePp7S258Bsj9W OlSIC8kqxmYlO1yP1BxMksUmn8ZK1/DPEQQtuI6zeLQ2z8u5lhNEN8c5wVjHkqJIM5Fg6qEj3Rba Oepl98oZD66yWqQYAID2fj62UIKErpxIhO+JF7qOl/yt7vP52EqWmmOlFn/Mabcp6zXd93c9F9tG mfwCkMC7FaC2RgniB6LujJZ8FfKAHypsIWpV0dHJ1+u1iIhSFDtf/pN90/KT0CCsJ4Yorpk+LJnt RFX8HKjB+q4w4OxwM4UiheGbrUD+TSMsby8xT5CSccrxUGGhhOpsG/dNUftcXtPRRmUZLVPu/VMl sHL0NvkVOTrfBZ2VDyl2LhupGTdsK81blmNkQLQuThNaDPwFkjJYo3XCTwvbd9qu7bEFrVeKKag3 /K+z6aW2QvSFBSN8WmsbXMTisxXN1cgT7WQOc9/qbohQimJ9/g5ZKpXtTjMWw4dwvOLgDDk/toZc 4tFOSurDR94EcAOsmOVs88uJPtLzoRd/MaQoGxgSVxqY/7lsgww/n6QxUBXctOhyts4YgQiCdsdl hQVPBGzytKxSfQtrXLyr1nYG0lP5MC2UBJe1TfCy7qaRSBQxSqCnQprpW20xCVbxiE98yBSmqtTV Lzrrp87mN3X/pqaFdvei9ZQMEyqWU1WSWOsZunU/ow7wnTsOJThORtflE+7ALC4Rj2ytkkRBD856 ZZ7yKTfXdgEd4F86QenEaJObKmGJBO2mghSN8ziRzfOWhRC176Ncl139Dyg7l811fdTsSEAUSe6w BMlnNJzXpb5SdLMk1wfiYr8YH1Pj29ZDRDm+BB1VGhSK7RSzrlCDkssdca0TBfSgTmXtDDn36nna h5fsjG1fyrOBAIOQVfSSDFMF/cOx1s8g93wcFIZVL5zV3kMEZWytGc3+boP3WYU+bGVFNFJyg9Zt k5A2jk407lmdYXj+LjZGUWPKJ3norRse8FS/e8GLT//NIDrU1OqBuC0VuQ06L/fCI+vQDZ9dNLyN wtDSJG432zWkyUbpoMVuYeJxrlrL6oGBkLJlP27KEkhHNg5bP2I/Dvk9TPHtPqO+lbahB2MH3tHt 5Ff9okt90AQqXWUXl52oslPXnpaUkynoP8ggmw9NlngYK/RUXDeojfBhHhwCeZsxGadmA2Hn0i2B MmUqrZuPQqx0yQsSO3nNk7gaHvcXfdwnHQQa0Xo6dFrLm2jm6dWTeOcFIwQg/HvsotGPRXoBiiPB nAqLVApLeodCX49+SfBUk7uwX2egJ2RDRt2ajkAdqSZVpR47Ecs5ZWyEGVvUtA1MZsec/ZY99Gw0 5tmydANO3cHXrboK/usXtQo/GagOq32aXqKNXk68Ph0FuZrEm+omWQAbIgv7ItkHEwbJUtyOLHl2 +jAsEQGxdB2uZR60vPByV3VFki77/BKmt01OHzDrPcEy9i5dBEJNJlNSNvfbWKeAw77092BbcvUK Wz6TKJCX9dEAm5FlqYK8yOQE4y+E82vSdT3bsnXOekRqHemOZ//NAMFwahcaeA42jDycWJS0yYwA c8prhOT6LLHK8r9gTl1wDDsltnXn2tgckg3s+6fC7jhMUrt0rDPEsKYLdCpV52bI0nEqLUmTB3Ro czIkNB7nQl3fHhc3xEOLcbdVBtGq7Bt68ZPrjrthP8cQ6Gfd6GSjvyrwYcLwwVM/AlYuQC2DjqyE dUXF4DTTME0AZye6dU55mPl8zZ/niKigAsFKFjNAR29RiCtSfrxF6TkU7Cc/Vq28zM5u4DiHMVZL SYrrAlJAvgTeFH+9JFkVXLTQxzzyCZ/x/Arr5kBGi1dzAfhSKUy9HSQKJhSVArxNqtlPn477RLCI UchOpmVSSNZzbkqzyGMgEmX/cQuojp42fr6/0spGJTS7REUtEP2K2M3JiCcOpHgp0WqcY6SgmHjg 1eEhZj9ZkI8F0lkVTOqi85fsE4uQfth08T6T71+f+EU4T3lCX/X5qUxKOXh2R+Otlsaz6au45Sxa esI2JSmlHprdaD7bs5iEPA954n3/8NbaENygEM1sWhRj9CsZOHfKNmp9dtgoF/2Ii3x5l/dIPW9E /TqR4QBEWDVqExW3Ov+SYHAuLCdcECRAD/UspdliZ7WZM/6TdIKvMe6bVl/jGtx8oRl6dXBP9iXt REfrz4IL98NqwkVPVyt2nzqV/NKTelL0ZMVV3kgOwaKIyFwsP+aTv+vQqSl3JgAYL8MLIh7bNuI6 yU7vqsMVnMr3Ps9sqR0JlDAerGf1GqullYHO8YLOQ8cUVkujlKkEcffiXG2guaWnnRzYlFNoLVWA Vwp1eTDRvXj533HyF1+192z3y3baD0ZqFUeZSSr0k7eqkguvBGj3Y5owo9vm7fjXPMNtRcyztdgq zHtP3MUy0KHAvZd3ObEn/j7Ghf7DAZYrFGVE4HF7UeBNqv/iW5kyr2CGmCdYGgQdyKbRM2GUsq1N veZ/z6R6yiSV5MIvVfIofPLuvAbZeP27vSrzqgP2QmDn8gOXBUm4oml+N38O80jdsl276fe+zpOQ 3m7+FUEBsu8l0+RqQzfp1YGYdYmCXJgmxkl08sBwMmjP5klokd+vEzR+XFPyVhQYAxLUd8CCNFFS ONJzy8JFol3uFyLtpDbdf+WPYsgvJuUB7nK3/qJpEe0wfy6hyAZ6CDurl22Lg9IkS0oLlRj4N6YP GcqcPBYsWWJU4eyG/OzZZYwt3gj4sbKbzarlK/PX5br6xcaa01xpNoPS+pmB9UBkU7hAbu5vLPoz W9ktXDmDzPj2BGigLsIAfB2cLtqsbXbDwFPKWgnaFNFtvkR2VBOqXl0HD1TQj4gHjn8FcwpRFODw T+GqdzoKeGyS13ZROiJXM+pIyq/81Rvh+xmYC5u0J5Ij3iqpgXOV0FAo1ARkIE7Ogeka5fVn1Qmv oaQ+m8RwzQx6l2v+oIZZFVO48ornfwtCg5hHDppCVAz4jzcou1zwkhMGQgZn/9JB6/QLr1AbkNfF VEeKU+PKyuYpyF0OeDGnf/iVLd4HaSOqS2uLWPvIYHT+AUl2w3Ovy8F28hgpIyYH5OQxbm4dGELZ Ked+EuDYamJD5vg03Iug9zd5ES2FC3Ud1FtgCNFQCDR1F+HeCPoq/0E4Q8BW0QhiYBVe+fdhQMxe UR0Bhn6iOI0aacRO+STn9Qnvqhv4s3BpdGQ++HAC+6a82gkCXTAYFw8YYPmnz6H8qzK0YzSm4xz2 ptTwPja8eSNF9mAsaJAAbpTkcX1o5reKY1w0MZupZt2mj4McyM78UEzlsRJ20i4QN0iCgOPyiDy8 v8WmlaOI4+bwo1K1JIbz0gRopPWjCMhgkLpNagJwOb4aztYheSOvsIcPIFjZBmedgdVY0r3uHhWr Jklt2i39KezNsOQgriCvV9ETWCPcxmXmEAsZw80C8zyiFx91Grdi/j16Ezf9C4eHU1fLsI79AX7n iGLxmnR4+d/9Xs3MuL+Fe3sDxTSfYxa4t7AxTs04j/UFfAcJ5USUHQZMWK04KSUJuEu95KTZn130 4A1g1QnNYu2D8nWGUYs87tBXM/5jaC58oLtpEX1zqWF6tS9WMSCUWfCXUiIbDVTPJDQldoCpI+UO qOJmP0vvFJGjHP7Tn0JXhXKYjpF1Kyn4r9l03WSASLCx7aoAsJlarddKwvg6S2XWa7ankKKiKVz2 v7NrbeAEpChuGlELVehte72GvwrPJKCffvB+XVuimPMUSTQ6WKnbDibHgxkQS5Cel1cCpAzuDms1 XsOcvI+L5+ub0txwNRu531zWynuSGQTS9is+a6ZaP/NtoC0J1Usn/ZbXT4fhFpTbwSTufAfGZ0EV jp/r1Khzi+i5UBOe1wyR99IxG60i89GTtKZYx+dap3Y9Y/qjSh77RcXgADnbcZW+n7nDVL41M9HU 8oaTZl8CbRfGtV5dGPN6A/S8M+YofKdwMp/5o3+xhJfuSSJjalZw/BbgFarOsBybyAAtsDU/i9wx aAtiWveA05pcUk7AAGMgt8PbOxOCtL38sndeIw+iQBI867iCu98EWPD18NljFyWCodCgJbQ3oPWI ecnIhO5UcF4nfj5LlbDsLeiQ27VGQIxGNM0uAErn5UQMu4sHVRbIG6VFHrxRjD0XgKn1P4VY9Zi0 Xi1EB8KMiGqKyrMrCBbN5jWmoqXSHa+6sgnaSHyM4H3wv+8cGvLXke7xL2RBlCZj1jzUmvTob2SL sTY/fno0/6SJYdUdUyoiNU1k4bkqbUERh+i4XCBW+WaPtEevvww8p2dtoWd+nDeBo4C/hOw1uVfo MRtTnYET4oUZFAMD1hrzxA3XdSqVtmzy07pfuo5FQ4nUf7NPhiT6/lq2cFDgKZ66oOz+ZP5KRBT+ Wc71Nm4uGOnWxFYR44ELPbhS3fHwT5e8WrXsWe0ENPo44i+b07+3ncj5Y5/IsoY/X3q9syRG+gmK h3c0HB2uocwA4tGiyYH5pImAPBIbPfRkOBMtUC1Kb6ZZGhNvd3/vifpDgZ9ziEx0V7DSo75OrgBI PimjN7bxZ7DC+yWvSZPZdKsTuSZ0L27NMxVryZKpvLcgpxN7ME4W7yRK4iyhq3mPDvF2OCsWiB2F lbreN83Fm6IEE54mP4Oxn7Uhk/DuyWbS/lbMs10EWKwIWWhXYL2Nux9zLxBH48azhFv6hDKAJSj8 NMG1fW9+dy8RYxpOvlDp0EPxQu+2PioHqJNDTm/BGngCpZ4a2kxmyHfaPwCiDdSNCHn1nJs+Rwaj LUQmtmzXf2OW3zNBXYnO1OQvpVP3uhgcqrjmWgdhYTymq7ReBH+v1maEkWl8D2CAMTIcosE7+Qxd egKdywVDJ1vz0b92+K/5kf35oGpMJuKkNI/iX7RgDXn6zp3AuN6VGYchcon7Cgk768XHbXEC1EmW 4NUWGH1qxfcSStBr+6lbrAPaXZ+n5vEalVKx1P/dxZa0vRvsdvXqKBgtmzZjEpokfLEVwKbloX1w 4BumCJXnujVOwrsQA/snvk8QZAjgCKAOIBYFXrKxekTn4P4//b2hbnrD9v9kwNOKig4ToXHcoExE 6iTTgQVql9u9i5+HY1CD3EgLDC3rLIuTfsqlRJHi8SgrpKZvXiv9oQYO7mDfQu4i1ATSkqBlLdhZ vGGsflHLNmliD4oo85OwCV5DDWClfQLpXwtjrTfSBmU1CcEzAko8BMf6ZoRRZBbumK0Mf3qNmKZ0 7JfZl1h4Iw8ZsB0Brq+QLwmBRWsyPO81PrM55N21jN+fGhAO0wrgN5+u0E8YG5ca6wNHMU9hylwM oSIfM8vS9I0PtQrNh4L9ClIc9+/Dt7YTMb+WisIPi2R2lz9qkBjCKwERX0xHm72os3Obd1AMiAfL 4Bi3fsTu3DyLbVv6Z3MQbb08P9hKnKdEaU0R2ZAmjn98shJMAa9E7nprsAhTxyat2KJFkhEs8YOP C1CDaNH4Xibl+wjrnJmedumA2UPJn4diLOJyEdx2q+Low31R+SUaNcbDvILtsuVyjdFoS+giQMLn q4i6qvj88mNHthCGTiAZv7YuS/na9L3F0kvHxvyCk3Bv/kDuPVWu/0YTxJwZekIzz8HwK07vuZgE EKkPBX173Le+WfejuIvgrWrtbnaUSG5P2OMYF7Dp3H8DsF60aX1c+sj+2+qXF5LhQAa8vx1AyNuJ cyCm7k9e6CgZAiyD9xCG4OIjHeM/w+OlfW0QAASdTRxyWq3uSMIyRkjF1NwfSg0DYzIYf1gjxlEf qRQbopKaMK00l8kqFMq9kLAXugFLPrI4u70mC6AXYyG1odg4ybQz+9zPxkWfnkOwHfEVhxAsP+Lq 9RrgBW4t8KIPv920mxWt8k74GrnEtZrrS8DQ1H3pJUJ32r+aiyKlruHow5MoYRm+PzVqvyLCq/eD tDQ39IRClyjAy3YdO637Qs+YcDABU+Sk+u46SDbARsPCaZa88WXhpCN2SGQNYgAzKySphhHZe7Z4 hTDdAaBL5w+FkCvSfbrtzPTNslVBx0dYkTvT++HjdoXyQdE6upPMR/dD+36K6+1fkFE9eEJILhg+ fNHBmdK63duUP6d1AUvRfeROirKjk2yLmBmaWPIgMOazl4G9/nL7nJKLWcfub5O7OpJemgy3Aibf Rye5a+zJHLH6pbrWqND/IX5onUsdangn62+Cm25ysSVEs97W3uQL1m4r7njSE88mFYYWkmkZ7Yby jQcdIWdZjr19UOP35OdiofuYENHyasUKQjgQW9t2f8F77QQRIhp3s31v8a46ucEe5/IiKeyyMO/K F34SQSq+2yqu625XtANIvRTFbxtTFaQFQ4Gxd4lyAIjf74QjyChcqWZ6/vOCGSo5CLkOab6OuuaT sE1vCyAIzjTUabP+X5jvu2+WH+oVxeCrykkv1E0/WAn3+zR8JY3A1bdSNVrpd/7IDJugGF6Uar3G sKb/HPTvI++vhq9TomTeK7H/2OmSiuPYRXlEDTvwyFqJQUC0VdZVsAdNcPW173zE8MNJKooxphya UB6a1GlOQu35mgQa8/imS2H4hLq9yIqCvxMqD9xZNwmXw42pP8wqgRfmpcXw1y4OVLrbrCa4Ick4 LbsK9iyZnTDHrgGU46P5T2Ztsw2XCq7gINaQ1DYUBp1DaSmrTMpghn1jD1KOxR41c4Ry74+GBcD+ fzb5CpyFLMZ/qYhstCewvdJ2mhUWsZVlSiYz1wm4cC8ns3YvbJnzZUj4mtZBqIDf3goTe+AcwmJQ OE2UeZjqplEIK5ydxzhocBSJYhoxV6PLakbQjA9ig33YQe2CUBBsryk8OvzU3bg/OLG+YM/mcSVl PHi+DUHbGGDg1pt3TbCh7tYluySfj2lm/QNirB8spiKAbEXbpv5xCk8G6kIJCVB3uj5i5ByhGiwD FZicxmhKB+6iW4pTVQeu9eVQNWPVddnlA4MnuJx4sxEU1jZJkcj11IWvMSA4a77f4IIAviJvNhly NkFvzESnLp0IDx2Z8hcpLx/Hc23sXJvpmZPqQg83/5xZfc5xDRon/zq//xez+Hxu6gMRDrAa6Y+V eTOVeLT2lD0rwA34GI9nLQFg+4q+Eh8mdmrJPxT8J2EA2PxLUxTWnYvnfz/4Bk1r4WmnwLpsMRdU MXGf32m3/SSj6bmOa045FaElxNvtd1dtjRx1UIXQuUYyxv40xn2IDtfw3kF0dzYS2vPL3o5f/iuI ZqWzQgFLxx7am+6LfMrMaSkCsEvafWS8x4YUwAeiutl20Z8hrlrydtc+kpCeS/oLX7Cg0HwocPFA YrvqcLI7FtnE1rszmzVsWQfftsYd2ZvcTzW23cNJE6elBWJA/3E7Vb1hEs8Zl56N/pm3F+Qoz4/c nyCV8ZSNhN8xq+QdumFSCLQvnbFjyLEozmIAdG71UqoAMuqqPZ410nRH5UBQTvHc2Wjv9Z8kKTHF cgCg9sHHVC/MmxyhkNxWxPWF0uYwmnU/g2mQ6zINFFbeixGIve7nkJ4x39lG8Vso4m1nNDiw4ZE1 SgcGnEkCi9EDMG2DPBp7JALQpduPAn0zNVXbWUI4cf2odarPR3m03ioXgt7FESOmqdVmuBrows+D +xB7dvm8F6c/FtGFYImjkIpF1SOWVHABCw5iOL2F8sv4lNE3yu9+4rMfXQdxSiJxrcVVgQ+G5Upp PbMng6pQKwcY9EgkvuVkJiJp8hHnY6IjWNwcMcQzYzWQK84kxqevxC9bnxJUp5zwiYl76DQwKoFR IDycyEEx9s3eErC61zROiKWrp84nSyBR4GRbhuJmJOeCsXCXiM4KclGduu858GsZpmk9nUgo4LgP aVhs5Ky1oIKIc2NMi7kukukkZaBni5nG8OHr88izfrBj8i0/BnnEF0jHhWOTBex0Vl45fwLBg+TK CctoGynWTVfVgc/RKzK9cDFqIINh3A6Vuqv8jQflz5+iqIwLAAe9F/Owa4G4pp63ZHZ/QL2/RPfo OtRU1TXkkzgxUfpRqwd6QJJ9KLpuovUurjfAlTeosjOCtvcvtFlKYoCn7Sx4dPEO2Oq2gVqWuxhw FHOy82+CDl7VlZYmW2YwrSAsU2dEyY5jF0eaQiv9aulO5XpWRmbdTRdR3aZqa/O8GADWXrnILLhS NL1UkDda1TsJbh27UThM7lCOD/7xo8Ye2GceJO+w93MpSp2toAGbsO6BoMdMKV6tudPkq7wKX8uB FVVtAvfpTnUN5gjctbTpTfB+utVnGTB3wltyBXVxVr91NDclOS2X+SypzdGD1zA7QJObDtWPgZrN c3BHGeM/KZ6f4EfRaVW1CYOO4uykePYXqEOSByDysDQskJigxQCJ9R5jAkJZkJsTt9AIyiu0rGax 1WKNPWfjdlvRRlXe6MImdCtS+N5q3rGTgK6Dp8kXT2Vr7JbN6ix7UYXX+H+dynJY+qz0U05V/13l 6H34OnnPvDDrT/BLkOlNf6VNUQ16+nX4jdVK513gSlPqZQ3MRO4uH1CS35V4FzWgtVZ0PpafsesY uzAF6+EHg8NFyQkTchE5RClYQlpVHHpTBKlGmCxkx5LjhPgo6zMgnZGD0/tQaDkoUMMkamYn+0OM XUo/PCD0N8xn6rbgOciIdNbwUDBXxqMSy8TPO8FnF/tJax8tWMP17ATdtCBzNsTwcN5rPpkp0lBr tr4MuW66WTh3OOUPg50efYO8C35fHqXhkrX6PGmPbGTWnTbu3kNtwsJQ4/4FUQPfZeGKTxRX7blF Ty0QKXOipiL5KUgnFnea2bBExnMHpyvSZrbeF5PSwpGiSxMpBQvSd4soGlTslCyVhNxf15CWp41v 08h9nOGVBgfCjvm99vGxO+mb9r6R4L66EmcSL9w4n2j5lWMBiK8fgFueHrMOQmjLUIhMbRQnd3x9 2wlytQBBtl8mRsmv5K6xO1Y7WGGoDfeBzhp/vNhbc8zFKlZOck1PbZv/TJq7ZO6T+RPbMQhrKvj8 /kupN3vJ9b8YQtBptDDrkI2nC06hoXx867066+SOAT9noKfUMJen8REVVeKk0LojP5Zf3wBKO9bY OXN3pTr+5De2d9hct/TqjP2rnwdSIhcd2pbdKjr3905zXzUXEalX2mHAwrDxz1+MsTlD0wnQlUP3 61tx2/kHkNEVS2/kxezBBwki4ngKdUHEYl3hXh5guHCzGhZsUcSlFoVwRJO0dxgN0CU0RTse8skT BJh+LouTgtWTxL9LVF6Q3DZ49PulADCjymQ5LtlnYwtQxKTQNl82a2Oxi6Px+mx320IgvfBa9zqB ndp9wO+9E7bQxnZip2OE2t5+gX5wfO7UV63ZmT5hOpk6QWJD50SM+4jdlHSYM36ByDsJYwcDnIS9 sQts8rqry/47swwvTISCZiKtCyxJNxH7VyrsKY8D4J9vJH6ujaKVlQy7NNa1lWAIc54wPtbtU2M2 X6ip7aiSilWbGBrgfsDAWuMG+KRc1SgquSWtcydjGxW/XFSDzxYBLG2us+TMRHwCPlTGyOoX/Aub WEUmW7/bSxcy+3YgsS8LV+gf9Z9lve9JchudzK2+TDwu1l18CGP0rINxn8NXDRsMVIz3Y8MQ3hpH gIAOjB6p6FgvGinH1EGNytG1IaCBZz6DyJDO4rRjcIWQGUQ72wmPPBmhvwkZbukJioaxf/41HVw0 FkzpLUIlM54/QZEvvrdFV2UowzdJTPAAktNlNYNeS9gCqqixBc7TvjMl7vTM0SUCDekiDmNYKxSR SHKw99yg0sRqNtFdEqDKBMIfdECZVHUijNyu3rxOJ9BEsEf20bPRataCQmGd2Kw3eL9gwGWRkaaT d42Ww6G+KTLjP0xkwoGctZ2KtppQVrZPe861cC84B4lxlXbnfWwA4uXBvzVuDImn/dCfYayytbOE ayYTAtm9ogG+UUUuD+QYCqaZrv3iOS2FJevMeNn2gVkHe7UIak4RP16NVs59RG3wOm92ifDdHv6U ft7lO9v7DKcPwZSuZbaanqy4wZuU2XYIId5Fy5ZjSAXmRMzeOFEVOYMd8+8enMuK4gbGSmtOR9qd 55aGx1/4Qj+l+HawooKPNJJ2hp4YMZsp7QFGV8fSKuzj9kYEcCG/oQC4NbHDPKt+t+g/hjKFA0qu k51Dmh0lCrlvJE5AFzVirVpTOfJihUjr9Z9SLjQBWWTYorVHrCoo6kY8NWHzhnJetNue+SE0mRo6 tGy19I5xFoCGIuHQZpZrRhlo5VYoTNGVFpv2o7EqLFWh0qOgeHntFoGZtt52VKux/YRJ9sVWqHUG 9bVrCLJqTKmfDanhsXOaIz1mlmH0YK8TzOBtBz9YnecXCYBtG7jxGKcuW+acSUt6qmVQlE64Ho4j ECs7P6OO9fK7twcSbvqMHO282bj3psapeHLJkep2Y90sed7Mf8YgUQZyBF21UiGZmm5eSmbnyPXi nbypENwNP53DMdfVNlibmsDwpmlk2QYrtuF11SkvXg1+82ld8yU0D3CMwTAPRCWaQcLvL2T+sk01 2TuJmsoWquyEPM1xDoVLaq9H1PtN88sGZ1KFN6iMBngIpMdDcPHA0NPUn4UMX3AsofAhUqy5lFsw QLPfKJmT2x04r2ccqr4PSKQ3fkus+3izEJ7PABxUPyE8BH9cTFyu5JnbiEYr2G/Dbo8TZxk4WCoy b1eYnfsNq54Ufn3n1A3swypQu/B7Ly5f8vKuS4jp9jGgFLWehuCunI9Fai2wL7O6z6wBbM2CST3e 80eUVyetDgCEA4uZfUCgMQntcV+FgwdmTVsEM3XosqUjazS9m+AJr9dlWLScW5ai6VKXdEGUerfQ cmB2B19QwWg3AYHvvd32HPEHU9ayvJzXjigKy5QGbPVhAGqphwwNDm38BilDdVWEWwnCFKRZJWaz GTDYA3+D2ytO7TpIqTJq+/3usbq9VVmbJFkUYNqarO7eP95G2YlFX9F+3G4rg8tjIg1ltO3A1MOm yYR7T4KWvKu9HeAoD1Ed9HWPkpWgUb5Aosszi38K7lg/ZkR6COIZnwE64t2fRsugiN2QvCpO3hEu 5qyahrHzXERT0Zl+ZPYN1QTwd91W/3Cu50Xnkh4AzuW1B2z8es50CHw6kOK31FIL00F4yMwwZ80I mApFXostBzUM1qRSwyAZgY2H7uknS0OCjEuSS8C0ER2qkOLMnpzXvsCss1Wp2OqnMhBvJf3WGCoH ZIo66vHuDZY23X8zonNqV5nhZJ8zBrT65WKo/XM80AIqTIxynR7cwJQuQogmrCdzWoOH62zMvfB4 Q3Mlz3CIBbA8n+r9lhEK2Jdpl3saPRTCPtx3A8//wOIxzJbs2U+hzCHBkP2Eq2cQfEO73AUqXOiZ gAlPrFS1JDgNyPTeQKyyVwBfiqiN/t7Wj2PQz+jh46j4KFJyj5xcRoOeAFzfjT3weJy8hgn6ap2/ //E5TzwevfhtdkS4Mymbw865+PuOIbjkxYnMULKqc2GIIUNK07IlfF1938YHbKGnJeL0rNCNq4Pk RcTNBDfskuTToNJFjaUe+Q2P1VuLrDYhmJXAfWUpxPvH0PRwWhe9E2QBYWW5STRFkR5uvyF5sZS7 7bYC5dJqAAKqXZ++3BD31GtYmS5rKPHGt2P1yEY7RZqAu+3UNV9qcE7cFpOLtNmtxxjaEpGWsfIB cnqJioqWMUxe2EkRU7ahc+ddQKGehh/Sofjcnte0+6OlsdiqWjLqKm0tom/MhvK00jI+7Vrq4SvO Cjlzw/V8u+D+aXfEiSB+GbIZPZPLG6d+SM0pFDdXgZKjl7/fmzbOjDGDdySL5s8vT4NZdJJsyxcF YIOjhYIj3/k4WHp+mGV/58cNo17eBYTJAO4mtljuHScqNEISmcfn2OaoFetA5J2eIQefQ1lLisRG NDqq1l5+cw6jL9x8eCkt+tqZ8hKN3oePoR+N5VRGHtvx7eu0ZautlD6zl1bUVEQ9f6v5SYJc0+Wz C5zA6u/OGkMNQKZZx7MLrZLc4/qI8Y9h+wyc5klGLPkf7YWanFEXToT6ijBilBVMn/2CwQTD2LQM j93A674mPIkDDwSjbVa/KjP+wuFaEfme9sPd8fpxO1GQ2TF0Pi2NJkvGxliz5fbpeegfudKhlXJD S2+5jgey0Ymrt8nYIm0O0C90L+N4h6vV/Y+BZLPhtICt1SHfxiiP6v0smW4rtNSZAEywLAWIwWXe ZYaM1zzpWA2QhE+64ka8edFEraXBB7uWQAPvFeNJ9f5HdAgRnPMZHlp0ADbuHPWZVQUZ6gite8yZ 6DW6HbBr7CWZeyyZTtF72xFY5Z1BXYeYL55Ax9Sf1pccoPCeU/eAgWbfH61Ze3GCj5D0GEi7q5KI tYs9QluzfE6p9Go+7eac9jLlJT3rD+8pEDnBrL9QUXs1Wc+vdJRMzgjr7rbwA7haBOkzBntWplPK JvRAvhuOFhxJpGIT7IKfPvtQP3Alwp13ulI4n9L4TnVoazorafFdBdDLpnSOxi7UUxBOtN765Kio 1RotISOYz6lHdirma+tqU4h+B8tAPY/dsGllghiXJuF+fr5L1BMVCMdQZjCtR22MSffmmVrNJalW 17bDKz0rWpkvJzFds5aS8LkiLFA+fmALIdTGOXamP/jFPargzCQsJwlEiK2+WfMVo4f6nERxQwx+ x0q5rIEnIwc52raK+JKmkz1ZVZ/nUL4Net47FzZdU7ngi97kGmnrm8Tp5nVCf5KDd8KBdtTwjS05 xkllYfWk5GwBty1aFM6aCL2lPDMaT+36xSTBoicaYw0Duix5YAHQEiYGyXjWCcbmYtf71VP6gSJV WwhQAzYCR1T4GEgiEd4Bmg7eAa1w5udMzcG2ZAmgLWlzhlKGn3p3hu497XSoWNSeBVSropNRIP+2 QmQVuBKho6arMoxsrIDDcVN4EzbLmjK4tVJjIsxUhhQMFMMC2fb4qIZG85IkpBHwc6+JB+WronIC SkMEd9DALBuMxDqY8fwUkqcTxMVK3Dh3/2NWv+2wOSCoT2vaLwdx6WdqtteD0ihpLdNHU9AJ/OyN v03rJADrir2JZaon7URG5NWq4oA4Gku9Iyfauna3oxxenO4L4oaDbf7Rcx5b8TQrk0ix+BV50ygo vw6MVzP4GnZQkIphquP/nCIf3OnarbmLIPsPk7x8Cv/y6yjwpt4ru/w0rmvElUR7h/6LgMfey3LP 1aeIcm+dl8orriCHiF9idkX28Fajyp7MCwvdMSj6JDUZN7v1bJfI9p2skIvInjG6XdhoeHtNghAS QlFRurCSshe9P/b0S4lKLCgslQamlNt8pvucfzWWKnxdG+gR8ilVkaEAxmvpmHcNqufx61SGTgEl eibAE3U03GQwWkbJMe4jUM7Ka+F3ik2OvT//ae2TckzsfyNqV5wCsPTpFZaUM7Yihf/S5HRHAg8q GzXDAHctUd5Nih82VZc0jLcH41E3jAoEZl2+36/dLgRoVS3vICSM5GPVFv2IkOVG6aDFDtz58cuY IJiCjL6CkY0dW1oRnc0QmsrFe0nivmBlP4CCPOCBSw3nNsijLo4QJqwY8OOLB+B/XV1r4+HnrOmK t+18oZzDnlvH7rWuFpJukGKaIVyjniNvE96FDb6QxShBofz1vafmmOieIiXy6Hacr51uqwyKppqI UVkeYZOwu1JHqAGfnFlEMhQLPWdHDiamp9iN6Ih9PxGL9tzIyU4AD8WreC06Oyn35OKVPgzpdT8B fgGqIRiFFWcQRb7Hf+Ob586SapOc/WtWNRIxgjenIfwPEnUk717dw+nkT+V7PY5h4KNZcgj2wcL+ 7kZF14tCPTCeG/BnmCygZsSqggR2dYC9gSCFRPnAzCe9LsYhzsXMi7SjOxR3ROT1eu6oDfFEB/p6 P+0gadBu6Y3twg8lkU7jAmIvMWuZIlvl4GUaBhlX8fky0oPm92h7UHuM+N8YKhPljA9yfAEpUjr9 uPS1BLKiWkCK0vZ1QEne1gxFAQIBOyfH29LywWDJh+f0NRywHhv+/MnMtRmFJHCsd/cHPTCjiUUx Tr8KfaLMMHyW8gkkXpsbHso9+uHZHkjI+wi7b2w6iEWV26knawTCNrXR1V3wTuYA24aCCCp057K+ KwtbV3JMT+5CXQS2AEenO1kUo0SncTgfRiy3mUXiWaiT4lf3za4ui2C9206atIF61yZQfMsHIf6H 6UOTqrnaiyAd0GMDhD35dknwzht2GpvOFGZj1T+83dDtxJfNlPepKaCRBeZ5/94m7EG+0avZmCOB TOqyVQ3UILvnR4NmD84Bn/mt4mLnewQyaqd5os4GfduepCkaRlOFiik6siwngJM7ivv11CHH/DAg DLm46BVv6L2kZkmwgqAe90x/Twmv1Y0F+FrRgG3Yp+JB/hQpQWYblAz7XAUsdQseRaSpPZkI8vtQ zJhpFG8QAQBBWm6xEiHuYrd61wj0yBDtjJJ33C0SnLyKwkvN6+rXcxwoN3rh+7MtvHGjhlEzRx3K g9JGzcpAX9qI3rnzVriWLejnIEwsXPlVxhMF7HUFyu20BdfABX4eohOkTAiW0kTqW6xgfy0/jdwU SvLuF3J+LM2wo8YUTw44g4WwM0BWq1M/dgNmqo8ugReNklrCNzjMEtyPKp89sofIwQyN1Ys7s+15 IJlLaDIBfdBb4I2bGJW4+dBn7+37OPAaO/m+bUI+vPcygHbQG/94o6+3t+t45znxnT3BYkTguXoO Vw6UsbfAozrS5HjDR8mQag2rbrmniC22aQ/Wbu4MO41Wm1T7N5NAhG9bdalBMGyGJKAGaPiPa3Uq USqZXfvhOmkQKPeJ3KJLYE4YUqE8rMEua/RmjlPzmNyoH0nLs9JmTDuEUH8DwvzKy78o3Zz1T8ZI Y8Ebk13KaNvGoVU+BWP/aYwnZbozH7UedM9Y8oh4kLZPMuJuHeIlRHsp2XhdJq3gGKUSpxhUGmaR I6qBMNhGGsNA0+HpYkxdrtVhtBWCyal9WoTdEf3dFFCZxKwtGyhmNZijyhZ9NI9DnWgHn3LD+nuZ 6MwVpeudo6qui53UnH/dmEFqVelZO3Wtzv2dJu8yhpiWbNvo3S5nY29kv8cNaQUMbrES0MpYe1vS Q4MttIfaBDnrTff0+jCwK4mloZcdFDV9YnBj0a7AVtWWfq2Qi1dTZVFWtcFqu5YIMOrJSvk/ByHc nMGCsOqVxn/O/Vr4T56v9RNSplroclZwkSuxOkbA5OLez1H3+1mnucq9zbzGQhsqqqO12xTQ85fO BLfuwOgECYwa0yltnNMlIhl/SRfdUd/B8znc8A49i3OPJvuU5gVYtTYXAY1Q0+DfZ+cOIuM5ZLdA dJD6S+FZdlIQ19buZv9+v4raSnBEPwhvusH+vzuRTPlqA82LC+s4SxOce+0cVYB/YuuEwijlz36u zkdWhB37kT+0GlUwLdK3X/FZh4io1i0aOJHRrJNe4KX2GybSkRKpND5rnSfL3tEvXEwTlTJ74Ma+ aziF1lEmxFxDdHVbtzLSKwGpAWQeU1I3q9ppr75UuP2iottRFeMIXqCyboRrBFtFvjJTvCpJfzXn KjjDbqrWHbg3Rus9RejgNvhXOHH3BSzUezpOPxGTrRBs/moZG4CdiMlz9ZnKc6BU9Em8OXex/XSx JEuPu10fvUXxbYdULZHd/vLfMOCLidpGRvpK4HCxrK2LSdw6NQ5f0DS0Wgpf5F9HXTV9JjfIp4Qu BYVSa45HhF3q9iUZP00bk0D+qa+20SwbOqnczcShwnfxsuYgPz2sXsmSK3mrNupGeFN7UJEeAXI7 WOuCwbVFeBHaHnm4EDDZo08yRS1CTetbp8XqpHWGyudLD8ZQts1ZFcDSS6DxQ3xi9rrO2PiRKXxy ZQ/ShWQFMbBfGjWXO8+8KsxiuQqG1jRLtj2O8kF7eUel3Dogr3r7v4ypk3CfzlpDaVYwgoPdd6VP D3lx9zhlSt0hkvO5Stqk7rciSJkDXLUwYZ4wadjRmOTDz9awSoGa1ao6To/hWKfUgQiM9nkGPo0n BP9NQ4WBbee8g9nsKEvp+LY8f7Qhc40S/naKoFnQ7p49y5U9O6GfXgiANxaS21Qvw6qfqPV9xAJs vTDu6FLD6xphfTyt9MgRBMBHBwusTjiR4SHp2UgF6x1gP6x6YngBVqgO5BEuRIetVNHI+QxtK3YI sXU04Ap5cna8fxoCpGuop8VjG41iySxxa16Q/CVFP2o2g4/9eSFnGCaPtId4vvJhhrk2Qop6ftDP qIO40Geba8O0ZNwPHkziOzdZni44MCDaV/sn7DdSjcu/FhG86ZFbd5XCdbtUqRpFs1PQhdYX7U/U OCTbr7wOnix6hjHLnRR9u1l4d1eDHf8WyzVPRtBh2bgRh6i8Af5unXrIiHbWS5PqU6qTJ8+MhGdD BZh+T29P8W1eoBa8eK7eLsazCr4TBgEKszHwSOIIf0nGjiUNgtg/YY4FCldHEaMWQAat7lw2Gk1O mP9cl5y4e7Z0B2WOiYDqcBwbM1HJc3rIe4MKuy8qYsGF67LTUQqbEGJaiFgnA7NAC67B6NMC7GYQ omvvllhcTu1FqVkmD5pMxgUkTd5L5yNOXwQE7teA/X4j9mQf80tMra2wEgo09e85YJsZsBn5eSZj UB+KgPENII+PBoexuA8bbIKQNp9gxwSd6lZtMT+3H/BeP4kLftgfFeQrZGguNmpENmhsircUulm8 pdCbnZg9rU98gpiSZfaktp7bHeEMqz24CpDyFH/UsllHAH6ACJWf+fKzhevvMf4kpUTl6EY9t5kk v0OBrU9m2rzICWhyZ06YumCSMhNk519YyK+dYC8znsS9x0rumTp6vKbnCUGSbHZODNTGQArFbUIO VnjMQHfa9qmjdZPqGcwkoTrgs6PrchzhOJT9w2ZQ+qUZZeUvFuHtCERWhZ0SOPQWjE+D6T7D4a2Q 6l/f1lqNcw8oiZ0eW0LiWijGKBuHURajkjv8acw3IXiRy3vdl01SwwyddGcVxYFpeNSCJu6S/Plz 9hFdLlSqETpbQuJfOPqovmBgbVnL9yXmaGvn7Mi3bCbcv4nlbPsYftQTjZ5n2CJ3wTzIEq350Kk5 XHkqra9tccaK8G0DFuUosOagtOYsC/hn7SXLJ5ha0+o5UbGf7elqO0scPJA9sf317/3HRhxiWzcN Y99ROAjekS1vCsX28HZIwsJdf/D2SiGt9YamAeKwSW4j8NU96cTYXSto0BTfjsMFMHLFDQTt2NGN ku+Op4Obpu5N1NttkmQ5LdzCG0Q+bD0rLDRYML8lN745fb5mJYjI0CG6VYgc58LGZjZbZNnJLcgo bAwpbsl4nukVQ6iXDNZRUlu9zl+ItLd5kCU+QrBgzm+tpoDpDEzdiYmDewRBHOPU65wiuoks7c7o y/rO8IPdJ+Q0u+4cVYbIKzHdKMGX7xemPDxelto+dMR4YSpl2hWHeNuaeWr5Pxgqf90Z+6J/piY4 pzCfMJy8Q3eHeKgID0HfbiozWSeIae9ERjoybl0hUWmgv37mMpropSlwBdYHQGGkNmUBfA/xy6Qy cCNs5gZPJYRCgLjTdIn01JQBigyLVSnrBT0+le4jwoSkS/PstPsQCG8b3ZQBGhZPV+c8ui5LIpnJ YzJX4jiyOA4G7ShwyV22q4YENxR6/n3upWGzUDMAKC2j8AJGnYTuvjjYspm4pwWC3H35qzjpPyxH 6Pk5g+V+9wDsJWqVhxUMMbRCX+7uP6eeXaNKdfPxsNkH6xqJHcIU8u9frEr7oZYawrFu7rF3FbHY 3iJZnb26CbkfO6kvXBUhMzlUtXW3yCfCrX9PG3R36zIsWNV9zezVia4To/jbgN37iVOcQBgRudo/ 5p0dXOPOwlcLw1ekUzScv3FWairyixMUnmZu7srncsxzdhDOWHEOgKr+Ff7xKB1QXlWbmJOoZD1w 6GgdI0B0ynVCAQJG6ogykqTnuHzQenOVYaGGM/WD2xq/HRTaNByMo76OmimhilDDAEOYv3ueiYc7 QXM796VvU54Gz8FI/MTKsUVFdLCOG0gb9T0jV9/TPWtSMTuYW4fJQyoxaFU5bTFHLExepD+5mb3+ JgrqR7CYQGG9pUFBM3/+19QJ51gd+8NjDEEgL886wXpoi1qUQKPGJIjN0x3RsbSgzS5TPQPZbw8c vTCsNEVwAQ4+NE8mmYez+U53iovLeD9gn0HW5vbYh1Ma3Q3+SfikvmAx5drDRIOdyOee+rcqbp4U DJN4aR7I4uIO2UyQqMtLUXvcrjboIdK6YBcBiC1dfWuRccry8u6x+16nKiAisIoOKn5ufv9APlsy m6KnJlM1Gb5OO3AXNWGS0EyECRvMhjI5Q/M6QsG9PQvFBQj/xodX8cjD5lZ5EY5hypYJ2Pif2YLJ OyRIW8BELEPyjRcU/3hnzS/b8dvtbOHpGwdh5872Jadd2IA9dKjKt94M7KN4IJy/q4hsq5uWQrp0 mcmNcUJ37JP0s+bHML/suw2j1FBszM/6Y/HQ2/HrxZqzCGjg6zQetrfaIs2m6BEd8RpEpLebZEbV fAnCeCh0U3obwgILloCxmTlR5p0+m51fa5R957vnrWu0VDlcGMCVfMPOLVWk9G4clWqb3vTaq2LQ VCb43vTrxSsIbzWmNwnm1VaasXviiyaWOw7qYpl+6mCYbBtaF30Q9M+RUHemZ7pJ1+45CEJgt1M1 b5CUqpaUwz4bmJPVBU2n46FWeu5wdkNNlxBJ7EEnSzccHm6pEN/KfMcC0Ly0kOGmy5KGzdeJ32lK 226JoBfrfm2z4dmwrHR2iX8bl4d/A5H59qioifibCC/NAfqP7Cs3oPmTpMsd63VbRC47Pti14BVf uR091e6cjd1drN6PUxVqfeqhGVymegjSIDB1nrkpLBIW04S15d12aYpE7VNNhoPpgJb1SHPWGxg9 NjY1yuNP+2Tj1QafJ+eMGKRgQe4GUqafxP7G2nMpsi6jRP4ipOB7vKldVzfO9r6lQHGgX5AgW2ds VS+IUbkH37isQnrkYSKQva1UYhQN2uI2GbIblyMYu+3qtof+CD1W9tHrIH64jDbpbvgPbfzOSaGp Hxf65Qm6KPcJn/4eHcK/pcxYOM+BgciYyG5yQ6H1d+A1Lq0krDetqsJBhmc1rXI4diGaEI+Ag2A7 p1XHUldfCbW4Bx+roXY1FJ8KNhYtvEAVplGWr7ESKaOtwT8Kr2QWUQ/w7vtxW3z4nMeYf9/XQhUx Dt2KtOYeCX4sUVSdXNiAw5YIhLdbwVZv9rY8fOYHzPGcNbGGafdx0Wko5CoZW1RYYcjoehSWiGyY nep7NilsYmJV9cIMMquEtB+mBiBqs5yBpSGF0/gnA2KrMG56YwTjbJoGEXJLtzONnWBixkLrwOLw jOztWGrbvSfYzZqBC19NbtMaxunszNGTp8zoCKuNdQueyd0u3+I3+4r/6u3K6BvC3TmBvKsMTbSR EOmQMgEMfRW6zneo8fSx6FJiHIOLCT8Ju3JV6K4kdeMKZIOvfGLeD3KQnIGRVg4sAmygT1Drw7sW ONfUsn2Xw7iOkNtsXNo/dtNJjdxnww6zouNL4gxu+AXX0hgdQImh4FSIWnWuY6v3gfyj3z/9A0Hm V0hlWfY989zEEI4YuH8nOvAmZ9aSGcO3LF2iQWqsgRsBnZhkcBn5kKqBMU1H2SEeWsWOdckhh9uq WXrYEQ80//j77QDBpwAaPmaFqQKZAaS5BPKdVHi2/qOwPlMi642d7xlijwUwYLBgYgUbNZQt/du/ 6KfZB3RBraDqe1C0D1FiVAGls++GIDVaZz3VUOsO4aJyTNFQiBw7rMM2luY3Yb59ORhMpVES/DpR lIW5mylkHUW1gPT0o03RoEACuWBQ5tkBFlhLAac0hN2ZqnDltJ02KFSddQQHqoFwv990fKngYnvn pHZg4qJGSP+e5W6Apvs/IaQlqFypPLQshmdi/3s4gAGSO4JBE3mhmjPFLUoVnQ2RJNAHLGH5QZqg dLxJN6/Vwle+08+A7R6pHo8OiRpMS8y6e3N3q7g13qnZwRrPnra+4L63Hy49VqJKzYX9Supoq++b hrt1FbvAP2V0c65owPMVgXW4oEiRxvgJ+IG1Xv/Y/IpUotufM4xtl8lXmF/WEf+vg5zgQpkYHWMe 4pS/+FwYP4KgnqmuVuEbqkx3nviBa+ZFImX88CoFlUKFL5cT+jkyXjK23ZT2KXCGHfMd9fbKKZsx oOGgysDvJUXSMaLeCyFr9/0b1GF42FuwXCEy42GUtnm5yWAp9NoUqxKsHdP04MLcTvgrJeC+uIVu zBq25Paxi5X0IDq7Q0326j+fAv7S413Ao4NyqRgMeqwKefx8yqMUDG27JZc7PizueFMilLILqYxa xE54Uanf7Zl7irlFIshq2svXIQDcSwXK02JVGA6e8nD/E5h7GtajejxzNnDpAtLfKZsTUJ1pQ1C2 gxSPRtcQs8rugy+StYmca6DqatDkz/eNuWv/+qrcFdB8e8vSdPkGG/dYhmF21EdXqNKSV5tHmHCl 8rWmQhlyOkndl8kTuknV0y1nn5bfAZnG+nJ6pjGM/Qesx5RlV2BGRuBGK+EUrxVdso+Swv3MvZXe YORss3iuIArAqVCBgRrd4GHM1yBRMdZVkHqNm7E8DUsB8Wrnx7iVAtd1FfWLOUNOYkV4b1cisKa3 OKgD+6mChh+JA2+hQ0ecreAlOg9qg0G88fU04xAaeKZ3okA74K6PfsKjtcT6EUc62fz/adifwm7n TbhsL+7xFyBaZAWXeuy4YBtGe64hOiN80tYEqeYVhVmwf6TBqqRx7ZZ6/LfYS5qU9BDem666prgo RdhbWR5rpSwf1+t+tBNHpgXGE1rMqKar/N/9wNs9EPSlh0CR39cc7pbKabQiIXaPQgMamZaoL3z0 uWq0fP9b/atgLs3rWyhHvON2P0hLrIAtdoKc1pm8afanfanM3EYYuVUr8EYOolV+RhpngQU4CoXw WIe3gS4PhweKVFFn/TPuOjkwgvdjo2Y8sIJm0Q668OpT9cZTJEbl22L5xX0B1La2TqPDh66DDREC e1tZRvvzCWMOF/SUc8iHCzMYgblJl/YSvEdYTkIgrGVNfZgxJSxq4rQkmuex7iM8aNzPC+OF2iVA XowgvVqIwyyqYZAEjhDvpaHGoDSdFEPRuaxeSfUsOBUFEKnzG75Ex6Tgvf86tgG/X/79wxPaG55M Rx2ljNB+mUfUoYdl8EB8ERzYMZFm9dz3vNtUChkGkY7he/Is60Y6BD0VBMQsQNvF2mQEJdWyJgTh J/1hEGndAgl9Ji8Vwf1pN3D9VMdwD99HUfdq+Tfem++BJlf0cQjd1LhWcVBsTkjVGzNXx53Q+HDl REjKtsYqn1n5G7vMI5DgnoLNotxecpbGyOFMOzS3nJlRObyve7JOtH/Ly2Vrld4fRX2IxQD3yvj7 5mzaKT76SBDCe07V+gILy9ot/7ef4rot9w4xyee2nhuhJ2wLRFvrPf3WaXNDTMc2/hy705b55DfE 5FRi8drmlv5zxIVB2Gbc7Lwc5MwURn+lDhd/H70rMOgWXN7sT9u3tKP9nV40TQxH8JD6oje9Q5Ns VJw/86sUP6/Kc9CUbM0Fqo2x1zjOFxFSERDoycEZZQD+yJU6FkO8iFs5BEejp8Muf/9norxaJFyA 1Nl09+UtyJsm48c7t4AxFSVGLzkjkTdFUMJ34knIu3Hp2ikRrb9BDEMiZaxQqrtE0Qz2tOxJLlzF 7E79RIGKk7Jb0GeYUF1466spxBFyA0nKEbxkc7b9Yoy/O9nmGIfR5sk9DE5ZiLdjU9gkwRtGJNoX t4k0l1F8/f/x/F7HjTwNin6pYmDjy5Y1QLec4ZL6qXlT7cAhL2ZOt95FKLbb9Vwd3ap8UY632uH2 xhAMmbhelxkVOnPSj+X3kQmEmsrCCsCvmKH0Y5ZZ6mMD3OCzQcDqlGHiDZtOiVHNR46yosXZsq7R qemJDmdyGJDfJARL8Higdvd1uxHigbFMcVWFOIiB4svqMnBard6e0C6Bo43y6jv4WC3rAU4k8010 LOGtEe/M1RvRZwm1f8nl8N/ZqUazipax550jaQXDfFHYyP4nO7n6O6Elx5DmTuI60U5tLmRuE9cD vNpuCtKsPW4fc/ixq+/n1e0zn7ri9N6oEBHrekHq8BIC4ycOjrLdX+BE8ue4Ct9T6/NmMa7hTje1 My4/EnpI9FTa9fWe6eGoKYjU+EnFRn0hmEvGmTSnMuiUuksM5nKsw7yGyJ8IX8iZ1Yv7hoj9xW16 6Fq93Zgl8oQ+SKTH/td6SPXgMRUKgP4GoJP5zy+MeaMakG5mIgf6Bed8saK4YvmcpNac4mw9dQb0 JW3bIzsNktkrPpvWBjptf0ai3nAycuCjm/+E+912TSbWAsiOoUQJZ0r3FeV58EGDw0UGOPLK7wLj R7VIoLpZBnkR8dCcNei7FlqeZVs0N+ztDBr1F3XKCtIEl9HbVSgFO6Qx7gBKyv3ZylbSy2rGaI5n uG1NPE16djOQciRVu6NEAkFQjRqLR/Amyu+Q7TDmzbZ39hBPzqywaEhSpSmOVtQtKp3CDp8HGnX8 b931/h6mS4/2uILpPM2cwZC1I9uOudkD/ttvFelrjkiI7PIPVtaOziTHIuoQmmlSePwSaQRCtogy CTOlRE7kyDczrCvHHQz2PVBklwGsVjl6JCMZ6taFrJTqg4MNZpbdObTNPzkhiT7ixewOSvPW48L5 +LU5s3dowjLK0yYQG7dzvYR3qKA3WyjwMY4dWFsI79Xhl1+HF/1IwuQaQS6gJNzN3NQh+aCbO6qn qlh1pP8tLMQl2Y+lEzmMjws8ucZ7Ch/koLl2hOm2m/m2vk4MSK4eatp0/bFvop9YnMd6gxvysLfb eXhqn+a7HeKMW/dbqG6aoaV4cdlvydnhmLJFrjpop/daiAVccO/Nvvp/JdsinXd1Dvaed0q0rOud ipXOuL1Ha+j2o4MRZIdQuivhForKZmOX/EQiv3X54jDkidtSZb2GLg+U9A/zp23TIFATUGzsViH6 arsnkQ9iiIV+v9/MD3wW6cJtf0mxGCpVHc55ZuEBK4CPsiglArpXoTtnomUPsRsZHHBWoYpZS5qw AYxS5Whk//qWUaFeyurKQleor7lrT8qGEsiDUvk63tUZNPdz7nAAGalMk84YhTCUULeGMD7T4eq6 TVY3g2snwiUJ9iYFxosWIy16Nz3YBFUt8Af9zIzqFl/Zdl9EHL2N8ESkLs1PaIuEBFtalIQlpDfD vBOuHaM6AQ3uROv994mKMXUZz5MNftWbZzhv9UrhfaLcbRseNaH1w8itIUhqPnPWfzP60AYe7b2M uh8sC0M5SpQ8WnhJN7/fGaqKk36kQvw2KRGuoSN8W/OUyyZDAWUqTFaBp6JCcSKYm6qRvgQA9LCz aL6TjtTrwllm9Zf1R6hVDwbPlR4JWnRkfi0YCbGI6MRHArC3OhtStVRXiXS4erpAnKv+fLZGHXFk RyQCduLA5N3s2KrdTm8cSQJzXKOQcnXLdd8tks6/50IWeew+uUvz/YsOPkz2U7pt3mMTR/QBpPtL uZEoHV7YKuA3d4uIQYXOeROyuEERPaOuoBWki1KGWkC1fV3C3WJo7jDDcNc+F4/bqyGxmzy3Lq97 eteWaSkN5i9AjRq7mgKtmEZjWX26KZgeG+0nYHLdYLJI4bYe4dpYHG85zioqKaPK65U3/LF8AQl0 rDcCKnyCVUa1M7uh8odDsTb50P56xD/qIXIEmS3Uhmsr7CittBlcsPSgLwzJaJauNtf50b1zwXNg 3CcvDTkNGJ+QOe4FRsdkh7/n/kK31SnyBa5l1k1d2lN15m7dLWFdLIvdIJc9qiVjLWNJorlE2MmB O8f6Z7ZBLQ5Lj25kHmdMvRVqc4Q8AwwJqzg2hNHMH1PAZ+IkPpbrHz1U8tmMv3WKC9V7VLwlvLlU LoaGBJ5wQADmOwKBidDCxXQHSuLsSJ3ZSv0yLGBLgApGDVr7uhnd/H3IXo+Yd0FeKlcCWirAG9b8 eHk20zxLVJq0154dB3GmicskRhm3Bf/NnqpKwmdtO/CYi2t0imr4eQrx37MXxk30JlJTOXn2GXjO uKJk4AbQlbcSDDUAGo883snkmnOV3DDOgla+IZJJ6Hle6SdfxEk6z+jgngZKwRl+HxTX2gk0HkCq zvwPMKWGZz9Tl61Xg08jTCMywYCjkXuwNnWbDsDjCB0+voaFx+YqnhpTrQtOxALMue36Rb5ODnEq ZFGSPa8jaEhPP9lq8bsAzSGPufJ3lHMl5cxAtlCySebxfZ6ieaIWxKFSYhgipmafvzQoMGU8ALDs 3L6WWKNA8anhjeNVVhEjAztXSXD8TLGs4kE3D07ZTzD47Ts3msOrbbW3q20Ub4QbbtMVMWZ6Pl+2 V9+FSP98CkbQMcZEJpOESzwB6voQHIeER0+nJ/Pu8vBnn+I3mvO8BJW0UF7C7HeaQL8p7Yk/kPtQ 4k3WiJrXPe+cqlLsjiDTNShSy4XxMfOVshIAFyDVtCB8ybYlT9Z8Oy7pyxW/vtPtZBwybOtwGsGE oeoCKj0U2yAI1gsmZYbW8er/zw0yP4oO7xmzpEB9dChZCMz0c8OEI5D8C6gHhrYIi1ba6Oq0bXPS Z7zMg/3oxOpnZoMXBxMaAKLWNX/wonYI7fJPDEFGlLS2hVusi7VvmJmQ9Cwp01JXkmWePl6s7a8q Sea15taLs/jh+ucAWn5FL/8qrpTL/8DyEzFT3ZC3XDoM8fm7jRStnVW0lTN+qdr4cBOpa83eAFub N+JFF/LAirQI79QriQfgBLnMdY+dziHbo5kXe0jIpuIsnK8uHdk30MBFwjp0F/xgjgvJ0u0fqWyQ dC2J7QjRpfNigeRp82QCS8zJIAUgE5zUEN4f1zLybslh9tT3GbWEOKOMJyHf4yy2AS4UWztSmkEQ wxurwF56bRGPrFzILOH5VI9Mnck82WsHIelQQJ4yBIzsOwTxhd8gqhvrvoaL/Dw2+dTvDlsGa4jD SVWjRzzKFmjcUNZ1t4fymBIyP3liUutAkbn/WwMANck4cHdF/J7F112xID1p7qUhwCQK83yX55BL 7mH49PVe4zrDCZnaM8WWokQ9TgyHNv96NUTUHR08p/yPi05lFExjlyeseD0qtwxgoxCHBvhNIReR wLiRJsNwC6F5pf0zMnNuM+sm+NSVm5BhfQlRTfTS85AdafHyiUdH7D6fdqiscf85Cq4jIL4f24r5 pKYiCZvLZVJwiMnR/0zaZyFihTU1ITqlXBrtORfcS/JAdeDroW2JABOV+WEewzakwTg7g0kuwOKe XbAgMBgDHpzWDDvjTUIqSwriGDjJ5qmrQX51IiX9IdgtMQOULQrbx/2Tz88ycmJ2/wS1OilUPiLw gcDO0eVmYDu0Cg/s+X+QOTgt8CbD97UOswJye7WdpK9BW3+/kWHpWD9w2e30GdH0pBhA2r24Oxo3 9zfJUe7mpJ9Az/fIEOoJgwK33QU1wKMXLeV75KXN7m6G+4I6rlgM5E43BDAGmB18iD1MFy+B1VY+ hXXaW5x/hu1Haf0aWDg2K9zlsJFmja6Wd4w2O/uzQY7l3vwzNHxjd4IONMoVvBVGHEyk6RAVRb/K natPhHTvS7Tq4f43ghZ3DpIRPVgE2FE7JPL8wYhwwON7SsBWyTCnqbhfQKuyKb7EHw+VTgcRVD5L FvAqsY8/Og+AQ0BBsdQQSh5NBatDeFgN8IDgZXvJHtO793liEqKbbP5Fmmd6IcwHc1YtaCQiWFc0 IcPvsGyzV7Y+9uzkQ4H2/jHubSeMl5SPMeTDuR/6R98SKBBhjgvBYUVScGwtvg3u341PlxO5HJxA PoqAgNM1E5/PeWx8wqnA8MDeeFqxUS1TDF79Xj+CSbDCg9KSRI3pThaHtiLBhIGHN7JS1hzOSMlK CQbVSypYf7qMRAt7QDWqUehMLRr4Ns7REtqfCHRrrJ1PyNujFwASUfskEIEkZKYeb4TPs6FmLTCv lJXnCM5xAxsqzKlDxIybSLA9uy2yGn1AHWe38H/wnfLqeH0gI8i8zBgfmavN8pgCa/PTKVn6K6ET R5/ILjge2i3EwJiNVBHZ/s7kg4pFDcls9UsDIGFpc9feFhkxtn0WaVqDohgaTxtJ+1kBintjM7Sp wBuoeAipPnzz3X4cXw38soMEX66S2A0zUNTbgUmjxHPuxBU32wkz3sCzfQe7iV+1f+jCr0B9o7HT pvf/wY7DjR4E0bFWm8yR8LP3f2LVSobiXFJC36fO2zQwehiGuX9phAWiem01cIERwjE4ljfrXGc0 VJzTzvfsXIkNNpsgP++yDhZ7aCIULNyCDhvLmCUnxm9Q8vKbl4JTnCOkQaP0A7XLCHPJ2chCMkYY iaKbKGYtKeYN7WXeian6UUlr1k7j1DOSSmwY12HEs+Q4yNJD6sLkk85lnQqco3E/0/duCxYEzEjA F/XdSmUCoYopcXE+0mhIIYssAWuwum1dYrmNSeQsta4PrujsBf9zhFVVUKe9DIN4+7SCZEIFMRKa vWJzBA+b4n+nMR66A755ak4GMrQzv6z6IIBRgvhfCzj2FipghWxyZLwLz/qyKGpHoT8GIbXh7ika jx38ISWiEhHAbd9Pmolvq88zcllyo6N9MHii1GaJptXVRfe6JLT3C3BKILP1rm2Q50du+t7uw6gt zLwew23K/lKkIa8Ld0OCdJW0KPjgGdtF4VqZVeRX2wztSVZfXO1h7GDDa4aYqtEgyVpD4xsar3kl 2l+4gPSmqxqCDNwFJAdOzxn0YuCRgKeDqAXlAr2yCHnxLWIZC2M6EMUWlDKrQ+N61TyWvMv8I7jk Ts9cWzUw1Bars3g/NE+ByLcdsZR67Q5XlX3VDpwvBFHmnw+ZjSgmobVB0bvKn66+K6B4YxlXc2mS yGBZob+2zWDOM8UBjlo/sq4gxUdA3RbxR000FjFXK0QrM/Ki7DmVe2W9ffvaqUa2Ro6sttvhJMvi qEe6gFcSblachazIdTazCNKfH3ZFiHrgAJ7Wle2DzU8cxe1lqYH4uf3NToKiDKmzccRby1yTxRUE HHlycvvm85QB5CPhTmkoc79okl0J+4d4KZcALjbfCqItD3YjORGgApuJXFaj04K0VQKZuUUQzKjJ VgBRMnBAOd23aVHQJwmV3LofahVHrJPGy4ihzGZTXSqwWec/ro5YIPzIYRdh7a4/p/sRcDshfJQr cwsCDVM0pgd0aV7YJKA+GpDWRLpYV+SHgxW/geXJ6zw4YcHTrZzzT1tXUMNJDa8WyOlKKkPYUaPt TAZLaZFLcsIibv/TVfZyxAaFnHp3yGKE8sZfXi67VQ/Z+CMK71nJqMc3WQy4P5wg1LP8qNEA+VZU BxYCDC5uUgDvahdzUQUZOtNgnbU23d/wr2I49kSXKT0HLmbdd+GfFKHDvedc0EU8xGpvCiWGRvMf KKeg7ggxOwn0QZ0BM3tJRETdAxdaE2J/9UTBDfq4focpL3fwDxkSUc6meStuvB7TI4LMuo0ZIANp DUCU7QwrtccIY6NTlb2+Q5/YJZvHkmL4jyLVf88pHQKiMM9HDxX08Arbi/PMGMnZMx+iJnz2WAWK m4sJcCaYcGnUDdKBC37VQaFAeAScPMivjWKJTrNaAuYqT4QlqTVCdNNfFeWeD1kEovYH68tqFYIs cP1u5piODxlnDuuBaYsXKpqC9o2wlqZsqSWZK2qU+y2lhBN5uuBZ6z3oHefVfpKQGYxlOTr2w2aq kFRPEmq5Q3sF6nNEHBpnYoVjdpZbVaG5OrSyg5QcKYaRn9oAL1JHMrjySOaUy2uGyi/KE/MkYzNG QXvTTKhVOyLt6+BLKld5ctsE+vn9D2jsON/r1X9tGkjcnc3ShaQg5d8VLCvCQmAcJp8oMbl5lwJe O696XZ6MtRsDhtVvgxSQzc5fjblFzMvNqQsfDLC7bOoFQxEgHcwDpme5B0DYhuZUofvO5I2eRWSU v6FQFKn5XJlspCM6/N7qCOKmI82+grAX5sNMrpSID51VX0vpmbozvgDlc+qEZFX4CG1NNiTHLc2b fcex1rRoA324vKoSbMvHSGEmLb88NqFxskuXjjGA45YApgj+T/br3vF/T+gW3rMXZBoJcCMmP4UQ /6c/OqkERCJ8PNkub2F5Jl1YPAP9qHAvVxHjVmRlaLZIMqJAlCrA/UMpfo4F/rXlqtOF3NSQQXBr BIv1s5kM5dzxdpxQOor+cSOXWQSJqxitcWxU3/C5AKW60Q59wBRrR495DfoNqe8I7Z1Y04mQtF6W ugTom6atsK9MzVl4jNxZLdYmShT2bU/lrSt8X0U2xhGFkykOxGYmkTckpJigH3gPtRCyJAk/wncg UiihZrJ1oe8UyZevMXI4NRHCvnAA2vNBSLT++IsyqOQcoMTHmM2QNj/yqodR1A63CLSaQ/hOPihB P/Mi0oesyJF+usE9l9HBIwr7MoZo+XoA0H50ETe1Nc1YBlVPcnEHDYrcHqt6YHPgD2GLEtkDVm0f 3lJoIgRiTeHfV/wyLI+D3eluvTr0JX1olBTW7APl+dCTeTSuzHW4TUHt3B5X81ARxiOxXA5HQbBK yn66TvSNgAsKO2rFse20aNjeGkL/7FhaYhfMMSZIKEjTAcwvo/1dPQHc+J2MdHdvL/dvGoDCqaQV GMGfmndlEfKoD4bqJwOgyJ4EbjoQubW254sSdUG89LYHvFGbRudI6sDLlV4pXxaDnwiFemEfiw7M ImZwXi8c0WdxXUnY+zGFRgBlaI010iVARw+D8IHM5/nwl58YwB1h3+w0zcxOLwz5YytvHKFRUP3p tbn72WzbPqdxIRw5JrZfIEekCn8dW2rrlZNdiA6202D3snhEU+e06UJIsUvK7SVRCnN9dHZSRUxz PxyLki4ZOCDFKZIG4S98pstM+XEJIt4BkZieBKyNdlV2FW5KYZgbxhYiqwWB4uYNYCAxcvZpgSJN G0MDxEFwIz+UUQU8mAB/FitpyQqam0Gbleh6/JtVr9OgMFVZzGUfZ83+gduNJcCSBcICAPebyMZK RfecoznkkZaiNlsSmEV6T8v+CilTbYVL6cs85Po4OM+PKxb6RyT4TPhawre4bjDhGoLNTrpAnD0O RU7iFkmwOB/ldAaQW9Vg7jWAjuTGX7lPYTR1EjnCJPCujVQIBSv7hX80JgpVYOPC8hYKVBNxzvz+ Q8ihET67hgZDeriT+XH0DM/JyElFnp4Fkwv3+RWcBnUN3qyEyqtwADrF4be6bmf8dtwUuwsZuyp+ OI3K6QO/WUXj6C9EdgU8IgpBvQH0v8khaS+tShoD+ika/v5hnn+YGuRF01dQfyKbwot8aea1n5K3 gWlQq/M61f9iiAzYvfylKmwVi6mHxg+HwP5xHLi3TA6EKmKHQe/S71mQ/N1KmMtpbIkjb3dg0ZBN Nj16erKy3GO0G9HClhLFZftV5zU8V8tvxCgfV3P2BJyNcMc00aRGugTxEdYrRJRW8pkYPCv8oAp1 DSH/TcY/3ZIgMLTj2QYMJLO5lTzheEpd3JsFvd06LM5CmCTPHUR0PKBRwAXpXXTHOZWHy1J2tgZY wjLDtCIN9G5oUMUdFbi+/uukMEJif5Fd1SwrUhWlTl5DFdAiwUt1Z+iVuBF3J4nQnGk4QYD3U/pF HM/kHYBf4iHTWUQoGkPIyuHNGEDivL7EBEL6n0hknLXuhqbWpS7AVYwld7cAZP3EG7J9V7eXq6fl DrvNDiaD7FT8VpQkvfcglDG+DJgtQS/cH8Zti3Zypnm7TkHcmGUTZQCwVnsC3vrAG+ElJIEYk5Bt sjxKxc8cnohbqbyNOvW8IriBK7/GURUZhTJzJCvTxbeW4QnNGPQ52E+qjmTMWSkPzbJQ1Nn0PDHy zBk2tTu64z6VdTDkn4xw0cwUMtelnwGsRE4vXIng1mOug7Mk9iSQLNcYZxa/tsUlIf+OvgWZMOr0 a1kdA58hQ+3nZkA8iMzp9mhD3nuyFluAN3Rsjn7WOhAItFZTj63n9OSVsRek9mecLpdpDnysqjwT xlaRN5BTWdmAW+eX3Qzma7EcQATmgYs1drLjJGNSqjC9eQ6kbu8fEmGt2sb80jnYugUJNKB45F09 F/MXZKkKgk59foMTNzYbbmWSKCnkBBAPCuXe+ASS3XHtu1N3YqOHz6BEWtMH6rrgrkO+oEJ6Rzsg 49jaNISzDRTerzj/x14SRwvNTwEjn1QfPL8gluqhRPRdjIuYqYJCbV6zfeHVe6YT03x+azIC+3MX dHjvh8YDcXWPPdyLSpWc+Fu9yfrPnApmOxSvnhUyJf2E41XCgSok34tXibU0z54/e+vVf5JlTBTg 2dr80HNY25rEenFYl0uRQxQzUYHaDSwAs54sJaVbYAmOGyYESXMZ0Psmjx4ArQGrDfCrFATZSQRQ YmFD0iFXqMtNiyHd0JNqSEoWpwJtwGPuUUPr26YR991/gNLdQ5UqE3wUycoHuz4ubO3m3HPPDkO8 frKlph2lnelU/D5sIOIeeM7ShYdHlxgKE518s7kOuhzOE0H1lIylGmg2gusE+larcHYEq2ey9gB7 HEghyTQz7qjsz7WQ6C4Gjzl8dRMji+w10wCh2A3oPUKHtSLRlPtNlee3D56y+Ugy1V8iTcxIG2AC 28xTe+PEeS3AezDZ/SV+ZFsFPAQL5V+MbuN1HvdylUrqLcD43iRZrBExcD88Yc9ksVwz+olMmSq6 +D9TodL9PEJZC08aW/cgVhsYI1/S5A6xvAwyFzjXfdmaJA1/R7btqhMVuonXT1xc21RDXO/Gf+uS Uv8s+hmlNHu+FWLy91yFRm1shV/uy1MzLLw5LP6Wwe+8n5obFrbI4wyguqdMpBLv1FOAWq89QFLw IQIViIIJYoW/jqViVLdYWNz9DggEXBrbVxUlTy0M0HbF9NSeZVjAH1bkvCrcEhr38yIJKwJnpHSE yzHsBBdivdXmrOVN7Y+lbj+TbnPFu7Dn4dSSwl9Tg7QBxONusDTf5TCAi0w/2U9ToK0mo17s19hp DFYDM3r3bAVTyOxQdQcz/g5TyWbTk1/k73RtuIfqwRPCMrc1RTxApuJV514mu79PtJ6T1XnnL4kk /Mr+SO6wzVSE1gSIbymNUjz57RLWFD/hFKsDs5mUPUoo42HRMaSDkrLLvmMjmGGBNraRir7hnL+I kvsVFnH8h/1kYKkcEhTk6j0CTRcT9LkbbkePpQ32+0/+sdblJme9d9qTl8UFi0IwnRmPu5ouXlYo NSItTrHy4D7Lg1wD1lRnwXd2hShmw112Ymal9uXQrdJL946lFZPZqGgLoHl/9AY7R3RM+PO32qna FRwFo5JjPQrYyvZ3nRXkKKikl1rsB5AxR4BxFVCvpqxe5/NdFWcIvqnzA/08G37O7JMgQ1DTU9Gj u7iJtaSphPIbMOkvxObrTYJUrIf/5cEh2lWHzAwfFsfsHLxf6EpOLFGcmX3rCqna/IpvzVh/9T/W 98Z6r5z6sF/ZDuLvLyct3EXrpzTcu6Mcw4yZhMIUDzgV/38BptGqk85EJ02s9cUeqUKouAXUu8Iz 7xMS5ZCytFM6wp4cfHHmuYEutEUG/FAOZhHH8kyspSJAhtAlaJ64PibfxMnZ90ZJGlMNv+/fjjWU V/dY7pZfvJkqwEb9oJC9DvCQNqZwXfqEI2fdfBXtR0bdchQFxaCQqGJZeXQLmbE530ox46Z766IA YEcAN7tGSO3Sy6UUpZ0tPpxekE1ARVOkYetLxuj4Ah4c+4p3CtgKj4wlRIh+GZiMc5AbctGXAOmC 05CAVthbRMgTYNgcj1Yf4PX0aUbWR2TY/oMhTeUrNDyq+CUHJM+xkX4LI9CfL4AcexKluGCgMU3I 8ECZv9T3Cjc8BVU/HC+h9WuLsvMH0iU66wufYCOC/t4ZY26CjjXwVhOMgRVIgNIo+ig5k36PJqF3 3b563AoV106+ZUQwPn7ZN717PN/O/awf5s3b+6LAMhhZtwJOkSmijaZHeEjx9lEqxCjv8JzVyiGP 7yQxG9B7BpznnTyF0HI3oXHtfkkDjU0Gsvhkc2PX/XV5lBiIyyaYp65ksT5noHIXRDZJ8gmhVawf trHCJapaxmSm1yJRF1sVh6Ew5AVcr0PKOtDYV7BSnoh9dVB5YNeylA9g12Bc7T6msMeKMwLOKD1+ TJ8DwPO7KABCUwqQT09BBvv/Wgdtiyyei3Ut1dKqoIt48DKpnW2FbLBI9Y2K5pawdy7vlq+ro0Zn knIBj2e9Td/eFL/4vJZZcwBTic6XcRv1dGB4z/kFEEk3+RNyJ1Sp2XdagNfpGKdQbJpVSmwdREMB ClG+3yw5rnOQe+bV0TJ9tbtQBIef6GO44tGtxe2O4Nq4UufwSk5t4EVDHOPOzVrfp9RW+9c157Ox n+FGtyr8xCCfIvlW6tXEkjxUeKQMDSw7jGNMgZ1xKwdPzOOc6vgdD4XqfE2LQNB3ZSwCPEi7YtU6 2Ow3WRMlVhK1fhXJp0TjSK+4ji4gCyLI1Xwl3yY4clKUTvEcgAit+QOYtP6G ____________________________________________ /usr/bin/nvidia-debugdump -D UEsDBBQAAAAIAAAAAABrWvzlBQEAAAABAAAOAAEAc3lzdGVtX2luZm8ucGIBAQAB//7OwY5DIWxL vRuvdwOvfie8dzllv5WFSerdZkfOwUAgDDeAE6jw6kiR7PY1BW54rMVaB6lfqIVwcs8B2gO7Nqqf B2p1TpL3CilQ/ptcrg69rHL10wlKiPvO5Bd8MnCTrZIvhwtgdqHafCLdA1Y7M0XoHJeCjo5NO+UD cfDKq4+b6VBVWSngmHdBc7rQ/1dlykrDK59lzxm49qwZNuaBU9Cr3Vv8rSIEiRAbnGu+1QClFTYe SDQfL1iqpqvQFCOQuZpEpA1X3kV58+8df7RQ7xBsXew3ETp8gisolrE8e2VpIzFTtmImMsFT7hdh 68ox5k4D1bNupBNtrjYME8nJF/RqUEsDBBQAAAAIAAAAAAAYu+27igEAAJABAAANAAEAZXJyb3Jf ZGF0YS5wYgGTND12UdrtyGy7sjw7Vo5u3t4tn+N3NHZt9pp94pOpOKvurffXZSbsbRHkLLyYNqeg bpGwW2zvc78Nh9oyVJbEbQ/ZEmad4s4svUBRdEq4aCPPh8RP0y/kGgXmd1du+rk1LOp3dOSHvGfv Kib8/1G5+KtiAkt3AsOFM0f/MXvv5PLIdL94SMY+/sWnVO3D8xsN/nhHzQoJ2/lyuZ1mDUcr/87/ y2+b7LrQZnotX+1vWlDEuTfxjN3tfej2XZZ+8v7LNOl5H3I6g1bdS79Qmc17j2/jvJZyziJV1m07 RBd15f5eXb1VdUbIzVvNEf8bBHf28X0/e2y31TUx7h6ehb+up9j/zXBcGbZg9rm/LYd+O21mum5z 0UWe98W3VrFIfpOdQpeSs05fe/GaN6p43pKMY1xTp+yZE/t6xgP73VcdxUuzwrm/OK/65sPbauJw suGK4odF2s/DXFXWu+TMnDdrq3PBWmZ09/rXyDm+zk/dt7qkTPfbiQeJXb+2HD5zY/ZeVpfc6ho1 /uMAUEsDBBQAAAAIAAAAAABsTck4rg8HAMDUBwAJAAEAbnZsb2cubG9nAezYU3Cl0dYo7Nidjm0n Hdu2bdu2bRsd2+nYdse2bRv/d732Rdeu/9S5OPVdzhrPGmPMMef7Jmt9YBb1NWKBu/U+zpC3T8KM g2QHkwiABH1/PDira7fk+hC4D+vWrmVKv6W7zpfyw+5D6cFfDuDRjbxu1wYx3AQQA67PFaU2/GvV 7hciOCCEkUCvnRI1/y6VV47AlrO7r2n2zv7r8/+7/t/1/67/31mXRB3b1DbrXhYdmohqLSfJAMY5 /YHcVB2T4utGigJnDFprmChxycm7X+uwYWS7fdJYmw577JKzKQlh9nSLMlWB1Oj7cVe2N0tZh+GQ VX2gHaApmLO1p+8vFzbYyzNO+zp05mHsV/p+bqsgwPlRfIe6K7yf/jKK3T0Xs0BtL03AqqNmOGt5 ScNrgRGHN/jhQD0Q37KvgPycv4sYuz58mI6IGW+drwuvBVngfYHM3k0YdPsnzppUp/2G2h/F2HS4 KmZ66f0UrfKJ6ATv7ngKigNmvx4BMC4TKStvu/3QgqqBz4Ow/XUDGPcmLImwGXeanVP/ptbq0p4E jKsD9+9KOWaYDGz2+0d2KngBxjE/mxstt5PZlOk1K8vdIIsB4z5jlVW8uN0vVqzn9SES1WKAcZfS 6fcDYt32H/MUk3R3oE6A8SnGRsuv7lzzqYA5JLA+rGXA+I897lSwc+pyyMmZPm7OxEbAuA4vFhq+ Wd/cyKP6uzY/hSJgnOFlZ2OhQ5Vsx1Bm/51OVg8wXncO3/NLUVNz/Od5utjM2X/s/9JGGzRGTt4e xv00Sy5Zqw0wLs7ifz8ecQ1+28SCbhKbyQsYh4TQ+avR2XOJqacLhvDJq9Ju6uvWvhR9FasLf12d t2zyRseXplzO5ZbLnhfua5LRL9MSmd/+y7YfIm7oHvOqeLNph56unUCWSdWw76d8LVQMQVe63jUn Nf2nzfY61cSP7MDy1XZBZmbHA0so1W9ip8W9dKywzdiE04ITnCc9b98bUm3Zm7Nh2wKGV4KCm5R2 ZPt8Vsghl31/V9WUQxHI3TD7AqinccEU/tkXbAHrvKZZiA15T5Ta/KXJ3PJuBOO+R0CPuq+zG1at h9m2wwqTs7K7MugoDgNRS2fhN5kZP6GlwO6CeGzaS5R/5fsXyc0MS6FpYvF1DpbAiEqBeLQtsblo KPmo0qYXRHhaZeNoLZTJExQw3kpzdETFKamF+74wiBUk+ai33TQwx1IZvN1p+Ot4JdrifIXCpP9r LS2Oz+KXEMa4SHJYmPRhj6rSfmgT6Hy3ce9bRDEGk1CNzIYSanllcW2p8QeD/fcmAvYvbN5v6YAn waBlB4UtxC7QrV9UYaGzCTmTNt5k1Si57n/N+EDm21VFFszs75shJp/9xgRJJ/kFxjMr6jUIxUQq YSTVIE1MiPcJj1bm+CJr3WTcxLKsA5Bj/rUGj13Ggb0ZGlARqHDoT8cBbYmYlkZmB4HUyev6sWFh 88AaSYi9oYQZ3zOpkg76oFliSz18+fTcFfApYq61zQRpPSg9swj11dWCFvo7GkhwY3qin+HcSjD9 lbuAii/opudbGRcrbg/s4ObRBhukP2zw9OscDVErb5vXDtpz4VYGcYIQ+wajPrkm4zbZeHnieInn ngCbPoPq5ZJKVJQKL/QOSIHLy09BnDgTCSPmeqCCLiy81tE9H6UOv3lywOkt7YARcM3HPdpDrFIc 2fJdW9DmroDxr/VorVGRiCJsRGE19gnik5ZPEcFVdEGVv8FKMCMoE3BvPmbU0rQzGhbboqTx4sxZ ItA97M+DNPlgseyh2x9EJuQQgO9vd78ZVxLxmz7fj9+a7lwJeRgIDh050n4r+vSgKbgnqt2AvqU1 Pdw2lR9zMw3tHJQKf+pffz8CWIboy3PIHLdYIbcJ3oJL2iHZU2zoHEpa8YCvr52TUbop+M5/k+y3 TJ4FgLlgs/nwo8QiyCsdsz3+ws/ViUMSONEnjNpus5KRcO5T1x9jv4D5PCp0pTrgbRSoXRKfTbE6 j2Qlee3omrSyeIV0VWuTWWpg5D59zQYbVHC2TfzS0jBGX4OHM3nxzph9SFcLy9JNBkHrG9d6LtKx dDSF6LdpwZn7ZToV4udXcl7WCjpcs5F8AvEhjZqzgGAlqVKZndja0Cmn8NuVuqH5BsyWad9iy/go hq1esP+iwOFx+fIxO3ps+lB91kwhtcEf2WOpKe8HG7tNxZ/HJdENdKTXu2AwVqeelUk2a52gVB0J I/m1kGpYMkwiPq6rBDsKmxUggtRnyN0fdPslVzd/1tM85eXo3N6zUCBEv4cpXaT5jYxkryGEl4PS SLejYkdlWRVl8DsxKuq+bDzbxxqLVVjCYOGO+LNhSH5NoncqDRZYRJsYtGMySnmD5nUR3SRlH8fb vdj1aCy21nN0WoWpNah7Gw9aaaa7HvuvLRZPnYeaR9wynSNF0YGzwnuIMzBVaRdNX/PWQ3I50OxK 9YivvZ2cCSLqyvwxTdFVCNt0o7CjULVJg2KUqJZMdkvktVFGujG8qOjkIV4RptmCGJe6WqJfqeDw ozJy9Xx55+7y8phLjPRfj0OE1xepzV19iHbY2Uf8KFp0e2ISwJX6JNrkGJtvOZcOgfyXh/Y4kXMP Z/26gRBiTDVs31gw4v8E/rwT+yr1cFOhceTz3k1I7Nqg0PpaEnmYoV5NUHOI4hu53hoe6fKSj2D5 eTT24doV1Faqmlqy5ltiOkHC05D5S5HKqeYjUa4lGXmWNnhH8WUXet3rNr+M59zQh/+bZtyUt84K HcIXWCr0cRPu5hqz/w/hivPOZXK4yAwpJbw4NBOZrjxRe+WUhADkL520tz/mHuzMKufh5nH1dSKR GHdcLUS6MtGDPtBSJIPrUAbjemh5dO/CP/2j9tShF9Xc0GNRphz50o7lkqcMjp55BWEmYPnOjYSG 8Y7A4md0HmumEWpChcNitQIEar+Ssw+Q5WdT89YhjFh62iFMYPSiv4Dwoete/WjlKuZ2fQWIw+8U ZC39gpP6EejIYjSA7tPtaJdGTSjRwOrg14I8Nh5L9D5ZtxgHjOGGSCRJzIBXgdqXDUPswvtAaxhk WG4UTiF0aI8p4cRiGMURcOfwwejtCUjj2khs82Wd+B1gXtqy4NLllM/iWAxQBgq21h6bUlokhUfH Uoeixhsacta5VAiQ9PWCP40ap9Rw2A+76IdPMzodOGPPr+NWXU7OW8y7rv8Yxl3P6dRYZU2oXAja Shv/2t4a05AO6J9/xNmzfx2SBtlRGi3tTTrS8ztlzgnqrSmK/BNzBJUoWYI5OmF2pf+XkbCRN42w /muJtKCSeiEmp4TWee5ZZ+ybysNtompuRGkBB82M2bd2vuzgbRmHQS5wxjo5RteSG8NOd3/QkiZg 7BzXLY1MuBfE3HsqEh86d20XsN9vdnr4wY5W3tL7fp08tfNVwH5PbOWhTU5NkOwrV5FtL46UAfvV 410SYSH7HXEd/5Elus15m5Im38K3plmFPoh8wxL6i1t0tlRjkGRCUPLGc9G528OKPK74wHZbgJXj m4kxFA1psDxwjvKduhzDkZNgkWC5w2/uLFzFmyB6Mw5LiI0nMGwO8DwIP4WcaxFLqCCboX+M114I khByTM8MQwX9KADHlNHu8gKsB4L0xKLJKzqYqR2Dzi686/3f1qufQ086QJm8jxka/WvHiKD8r/P/ /zvPf/VzqxKjvo51pWAYYEBDqN/I96/9D0CPxxQjzCYr2CsVKSPcjP+3+ycuvJJDO8PRZYF4IAaF 79L7Vz1byniZd9zF0XnyfHfLsCJswHrWNxq2bhwJYZOkeQxx3AEzB4n5LK5/NMHTdxxzkH7qEsq/ hL5zVuuuLD29bwhcpumeygy61d1eipKoPILKjBp+oS4RU6BNEGyogNoxIDgSRc+GRLt5RODZvs0j ggvXo9/mKVWCZKqV2v24nhKJ0m1p+EKeHir7qwZvc9h3ClFJTY/i0qKAI8Irqc76dPclkqvy1idp GHYKnuRQpmQHHdcZO5RbP5CsUN7Z05SndBNNar+1z4iCfAlBY+n2xGM2ChbhlI7Cy/B+f28qn0yJ bXtH5OPN/zYWhBIlC09Yee3l83S/XjDLE6wg/oFwEpUasYLAQpmGcpNMlOO7w0EksUwZ2RcTjqUu cn7gQJxz4I1O0H4lrFZzC1NVX/87sItk8tE7k4z2fu6FyelIHXY5luHij/hCXCP7QZvV7LaOB3QK RdS/+t35ELR1d4aEa0wVlsfv0lAMvy54NHgbp/ucBefEjaE5UDn45ZBggs9tLZgPFsSY1nYv46kX +LXh/xiyAPQpwCkwxlt1pfLTIqer9UDxrxkrbgq27ECEyHpvoybttjQdVwn3aIBTTUtojOG7MEcJ ghFz6v1aJZdT5VLTWgmSXlCeDSQLL47Y8d4V83lAAJP4GNm/6jVsVNIBBRXDxVdiKenkp37+qx5D BhFQ8KsgB16akAVF6vrudmh1ZmOY7fuCmAbBDHfUFOB5YKptwezwSkKOZmeD9IQlFf/rPKTT2aK1 b8hFlSfxjZIt2fXW+WzL0mYtGx5sL1bKx/9wAPYjA5IP3lVT967Gd7CfUPCo+a/9i32cSClQUUxG tn3gRHB/PHgeQLrvaWWqf2nEK/dvsRn9a/+qdX9DUKoplWCjHHEXGxfc/tt6FLBEf+2QWNfB+od9 VXBAXRp9zKfM82oukup9ffsOajLAJBlSzFbKzZxlsGUtFxFaRl61m8PErujdX3F77K+WlHdggb0K TXG0GYnFTxhnpzmduJ1WQk/zwHCza9mGQXGsK+zC0ZQeXc6ybdTs/0zjuHgwRKwS2e/QvIluT6lF ifTF5rH2Vmx6iH2qnyfgoY6l/cf7s2Kg/rTffWoBRk4tK47PtiP+GbnRv1OG7kADI6ea04BUfQAB 6GpFJZ+Oo6FOaAby536ZSE+yGltm7UyxJ1p0ib1OtNMhNN2QFWXhcGfi2VdzbMc0YQry7PRlIF4T Xxwxe+rT9tdnsz9x1OViCcdT/+r1zTJqJis+I4pXT73nXsu6kMKS7/PnXRtMgKE2nxHefGS+tPIN DixWR7Bb6EQMxVICTjGB1igVjMPmnpenPFffJEheGJavA5hwELSXMQPkTXDxy+oN3IQteZUMeXaT 77+8CDv+M94iO1CEtVRM4OzJUsGEbJZ2woAFOXWMy/dVv5ZQw7yYx2ODwXPiTun7A5yPFFzyJHrW Ucgrf7RTr7ojb9m5a/Qdtz3mvZI+UjDJmf6/5jFfgUNaQuglAaOH6CC/JYn4r3koTozaPfe54Utk 8uVJVGnhelijQCHQe5bkESKMz4pwZgI+P0OD6ILijOB+3pEKwVmO6S71m+vo5eRvfGbmrsLSVaLz sRK1j7mQzT3FBR5V+x+Su9BDDUrQvpDKVpyNMlAxUmo3eVeX56gWPrfV06D4prGRqWPyqGj9D4l1 Jlny5APQLbb6nxsKpRvoK56fRE2/MxiYmR6DIIBfktKByI8ONxLF2lhNfJfwz+3byWlxPpVnmcmS nU/Q1xuYLJoa6ldGxNesL68s+1tnasF9B7afk591eVRMw8dTr9k/03hBqULQw/iFcdJ3dcg14Gk8 DZ4dUaUA7yetvtDbBJIsCyF5YOwvktReQnyngPgOi5SFutKFpJQtaWSSAMNurDJPyPJdD2FnGslD 9zCJHwYh7grC8yGJ9fYn+NVJ3fYOH1zgOnOYJ9bjL+AQZGXf9fei5Z29c/KyX+1Js/DymR1V0zXZ 5f4/cmFmAfs1ATuRBU7mQBu8fmstWZktBOwX8Dy4vFgetdRS3Wm/VktkbDhxAPstnsvYRZfV7R4x FPEp7ZgFA+wXcL7eIxRnxk9JFHp7oh/dOO2hG76u1fgSVDi4yCi0Vj0iVZiCAcomFZBfc0aZLNVj jfU0u3DJWgVF5SaxBTlu7y84wS4J1mFCbFux/rXWjpzn+IDzzQHB+gVjSYcNZ2yb1jX4CQLYbzbx +gqOeA1Pm0h9s2D7yvm/+oWjUKGeKIYDVjQ8McLSQh39130IOsDknWQgqKZh46FnO+r02m47O7O3 5Tushr0OLBznlAZ8nie1t3M1fKi3kdgosUqB6WVjvN788wmyxAqSsLkTIXFnmPN2Jy+MwTssTB+d DG8gNiLqwYJ2z4W5nqoxu7GuEaNXRvO9f/SG6wNJf2jdgcN+MCVKisfE5TiXCKCpdOyDSS0Uxqh7 e1yVga10cW0jVujOa147ZgiYnQn9nKXKJRaYWgoQojJjrqiVgDevCj6CWxulBIUTrUj+yRUV2BWg FZIJ1na1AoLMZVQdiCOxMPoiSXAQ21T/9vFWV+WZT7nFnKIAdIN2oxikczNWKr0nLuUIeH8Iiun+ Hi0RLKB24Bkj7rw7aYcEJSXJ6ygp+OMI2ayq3V5WlR/xVomiEeayQKWgh/BVfIYkyMcewFra/Rkr su53LJJKMoWvzCpAH+5zr8psJTtDA/cG0r6kUz+CH4/NErG/iCoDFoc/4KmNsWGFgt9aSacyRC8d 0JbJisCa19MblM6a+/m365Xqc7stswYeXRbtXx5eMarppc0PSn6egNIvMnfXBW6bxTx8fcTODFEY zjR+dwjCYR+2HHPwCiTtVnI8wnbH85Z9gU9OJ0Ll486NALNl9zEgtSxMIKW+nfqXIcrXG3VubIgu rzJ7g2RJwrVsGKdDG6EOLhea97jB0XhG8eYpBV5Lwm5X094oQTLxB6TwL6le/0k2MTNxUdcRcueO 9yPAedZ83CPwW5zbeoYMcVnYXfMhGI0r8iAjt2EwUqG1OSf1GiL5JZqRtj/O9suSHBNDJvyrX+M8 1qKvB+4HKCqThxUE7zdYKmvx+kWj3AiwhCgIjznONBMmrpx0z7DjAd90u47LT7TrxddPTj1Kerqm i/7kPF6cvt9+zcdqXsD2UXwhmhgxqdau0PJpIfOlFiuRxKvjXNMNoPZY/SVDvNnwMajkIyyPviK7 V/U2foZx5gQvLoXpeWrYEkhdYTZG7QHOVwabpWM4BEGrksUVH37itwpvxe1bjojYC4MBqyWYrxrO u5PFgPUGZEfSqfkD5+1zMHFl+hky/9t6+Y/G6/epuNalg5G8HbcCHsEJQ4x7aoXI0CYQl/s9Bx7/ p+cJ2M+AFj1Y/C9K7rLxIPOc9V862BbW9lnQCBLnmI9Quzrh8P/af+JaS9vEVYIX16W1MJS5Of2/ 9g9YT8OjPaAQEh0/SB5cDf9W8fhf9XIHv4zf8dTTZA0qsJxRpgVJUBh1PWSOgAJfIoT3/T/1/0/f b1bS6PIMxykkv5LgJqb9oAPAfn4bqAwFfe+qExpe4+ausYL9a/+/VHo6ilZhIQRX0ogGLonIx0Y2 pqSnY5btP5MKpH9XC/1r/+7UdnveMbnxTWpoByPkRrf/bb0nuMQbp8m1qVo+VjJE/C3U/9v3bbxh DW/6XLT5T0jcIKFDbcxKdgwVzZNmqpX3orhHwdLav/a/y0NpFOMSEWA4TRpCFiv6z3kD1osQChFI 3mh39QoneozusLj9V73A6BG3wdXncLv27pHLcjJIwPuGQoN2UdlAAXPzCZk9NKh7cLJInZX3IhFk NsGciw0Tk0Rf6Hoo/zyw66BSj5wJZW5GSyNi+pkt5bvUZw9bPjZw9/nH32IUng7VWI7oLx4vmo0c ZTuHgTLZWE1Kpmh/VyDhfS5e1zbrBN6k87Q1N6bhPbWG2++ankoEpPAfu2NjIHNpoyvLR3FtmIh3 MK3ATen+lUtp5/wTjWkVzh0SkKBPFgXb0VDj/VCrfdgLdu2pSCukUKsf6J/+lgeaxhBnWCgVPymj YKYvE6frrX8g0rwlgJh9noJeUIixT7qsLemTqVHARdoMUiAYkGSqMS2LbpUXNlMyEp188TAGdcVo XAF/caYhABWN5Ip+W9xRHAsPM18375RbI7FZGpIfL/i2aEwg/isuaNEWsMWJoudGJlyPq+xfIqS7 x1cEcWCnqrVQ3NFDOvfs7ew0dZ0mhvQ3eIIoRrVuFBaG1Ux8oIkqZtC3IQMU5CFPjgOacvCgJCp6 sfc2E/dtz6G5Fv2eGk5HPwFtkkP8D+i5HR9o2saJJz7XpVFvDcUtXqx0fuAZprNFgFInWtrtqa8A TDicaMfJ7nLukyEF8TAtEaWL7JmcFCpO5fbnCAJ5ICkTO3HHr4qdg+IASDui/M9N14qzyjaSIa5Z eeYmnjVbobPh/ehPJcj6hmA0c+3sga29uIn5hjH1ZyjSILfPRSBfrnaOw0Wm+eIYKHRvUYHzfQQW ubQHvtvf6SWYi812dmOuJDVTM7hnxvuXsfGIbp73Jtx88gU9C1+u97iLK4969Ohro60V5F+yArSx MWGx3eXSq7Zl6rhbcMt6RMlHg7220BOuF3FfPFi7lpzTDnQC08UnGobtiGheBoSczapoKUDJ11iU xM9T17jNM4bKgTisXRdUdjKYDgjI5sRNB35EtYJWZGjh4EeVDnjMhjJ7i1sZI+04rGKh6DQNNpyg SX1rCw1FixZWh1/ind8TKoy2fgSn0lv3lJKLGof+ghuVP8ClI00xZhLXCv1/zY8AepvE1E9nDItd Iguz/aE/NBYaXZDEYHECRjKO4oaBG3p99krUa7C/fm63P8wrTPkcw4ndDeP1Fzj5hEVvenxP9F/5 wG69/+72jUCnChUpMUdhXUd+7gPVRQZXfHzZ3bMCHeaPk/H2KEH5mmvZcqxAyl9Kzt24/MhYbK9v 0fmMJp30AvTLP8KFWA39XANvylVHHeeXllHafUoDm8prYrHRLTl+cc0I1OaxRITh/TzCKq1p1RMh h9Aaw/0Gd9KT4OLJylxyXLg68+gSJxafR5FM4RrMBstJ5KHUjTTY3PmziPfTygFCuSO7kovLj2PY BiwmfrfG7JlCzqupN8443zpA3Jg4AhOwn12nPNjOEc6iTzCJRUSPOthdIkLaXc0IBgG354/hh+JQ QP/i5AMHQsuGsWZ4CRfo5M2AkPJlHnB+JtgGUpEKEem/D+gxDlpC2SL12gckfRkMEMHLHfmiBd22 0kYkRxdqd2ZULgH9qfp40H7SHc3HZfFYj8ZaSXAfy+1IREyiv0Mq9YT6NiWg730CxvHXsxopKsAs fjxZr9EfLEHaSseHAM7E26Za1cmEVcyZQDRqeLe5JKJ7HKuozHJnLrISd6FZ3a5eoob7ZLCKo0/T ighWADK8jtGin3wHzI92Otvzynwo/rPr/A2N6u22RW6M1S4p3q+cSePaqTxtBtCH6GNczEymhSim rCnJZB6AKddDf/BDxRW1t5DrAS+8oQD6THqiByPEttLDXizgh7n3ODZIbJFgbm4e5JRuCKCpiVhA zxNShusiwHElqoN6//BbuHewHR4DhkZ0ADZMd2fzV8fOn5/RIc/t/UP8JtxfmPhc5NbJ3euDX38y PV0RfQmfaWz2RRTWZyGr9MIUpVW3iVnfYA75TwWrxthZMSifqHAluKtC5Q0/eMS5XddY1PEPRMvd 9toZQobbLVo0OwyYbivmAPOXALlEuHYxVCQnaHkOLcRjLJZH2DttlZgKztTJ9exFjKJ6Ui0EgE4R Pl7WTnSvChyt+GeXVreVQKO8gouPl62b1hENXIULuaFetgkLSZs/+wDmPw+HFHfn1L+oRGVYHJBQ 5xpnhW3Ge9W257FwQoWR9wAH9OvYTiCYQBMje/MFKgT6ifHVOtq5A1r4+WueeNEGan7pgB7d6RZR O0LjJuzpaPyE2x9R+W7hzx614Ui9fgTWlCpzLqCPzdIaSRasViSuUsehWLWRzfQM9lvV9UJX3y+0 ED1I1QL0NDUzRtW3Mc1v4qiJLoQdTRmP8Iv1vE8j8qQ+4GnQyOyAHp/kkfFRihxeB7TWZikuGmWe M8xW+YpMb5Dsz9/Q3fFgQE+pEGoZfqF7sqZcmJyvz6aSdjwf12v3Q/1X5kIXcayrJaCHl8QwsBpS oW3hvBfONDdItC+JSnYcAqMvDf413CxuhQ7oUxgkfbIyPZV+0Xnm7Wja3BSGijZ028f+QaRmMGbl lrcF9H/hVkk0ObnWGLhqPc8E0WIalDhHp93LJ24IVucrZ4wQEKngt/j6QLxXpgNnJ5heT6+Th3uI HgeDN5ji3Hvjy4DQQg3gCmeKwAZq7AJPSUWIAd/nqZA6QUNhnx43s5x5soLa31jYzddqUKJ+8+dN NSZY/M2AvhOzoUw3awrUayEz90XoGPJ8ZCCwfw/JK84vuNEHtO40Re02fc+9ZwQYMwlqgJuqVT+c rwJv/U/VC75y7E/2q9JABTNsQ5Q7HP8/oIm1X3MJam6aLavB+48DsntnV3X1YILCy5MPmWmPXSe3 aZaLCHA87j435F6QJ41yamwnkgbTgP2Mn5Xsgyj1zpsY3XBqPKh9yR5Euqn2NO2SJhHrzBfOpwF6 vMABAeQpNJPT8SdYsebmu72g7XHFu73i2wEM1srpxndAr9AqRvtaUd9mu2lBRdRO4rK1kLO5Hgvh hxZuPORHbOoL6EEuwhl/DkBXbsSXeMB4sfrl9ie9ok6ybzkAvXWacFnqAnpYCXfg2V8srLbSnr8k z3v0d7SFOsO9RHWVeBhETuz2uXEklLJozU+HdoUnZaZ/IKya58wXeqUL2fR3I7xEtCmu/KZfZ9s3 HAt0HH7cIPSfMgbM30BZJ2sumYc4mtRfbuuxfGV3bJqrdcNF3N5Fxs+KW0YA6AvTHPgXYSSSjohP rFBkpjv4xmfQhh0ah7Z83K+KFKLG/mOeMUAuy4wMl8WlphQ+1IXOiQpsWcaIWZR7P3/LrmBO1gF6 p+yHcI7lWN7ZqCMF8TBvKcrRWd1EfF/VPXiJWVXviLmQ+AECtT60KLqbWz7/9rF1SQHj1yqBd+tJ V9NnQbpftoUdWUcSFpbieOr9PciaKPmB9LJKkh2yf/JFFjRLjM7rjfFHxNMQLH5TX289iQ2OcpF4 CwgI8RuRR+Cx3c+ZcxQB5sdR5Dt8MYK86KKdQBXITHD1Agq1cN2SYXbAV3iia0Iis/kWbEtLw5oq aWcKxwS2S29AZbp4TZlJ4Veq3KA2/c26Fn+BFfP3vgZaGSue2HikBzD/wTdaoxFpOtYB3jYKeVek 6BgziTbBdjkzPIMXGTTUlAigf9LT11yGxtzkALYBvoxoMMfEI0d2oOszWONDlEMSFbcD9A52Tj0s JCKocVNKt7BHSG4JsYiQ81gqD3udB/N/W22hAH3mvEWUmwoPPjC5hWqN5+sXnw/87hyf7kSZgCD+ Wl9BOaCHDOvuJZfRJvZoqNIV5ofWMyMGHZpik54t8MRv5tZLsgT0Q6YvMtRDPfo18O371Q+DAvXD P+NjVUSOVgQEKAqck0MBfVT7zUaVJc6Abis25OW08ggWlPTeHSEGzOjGmisqGZsDoE9GoIyq/bEc WWDER8mOX+dB9vkuhWO8bGFmVmJ/gOn3H/3s7cguNPM9/rRTU9NjkZXLp3ZyyRSIPBlXNIkGGd+n qAf0N5swpEZFHWtZXcUDKEZtcbRzUC0zEhcENUDVLSxkssxh+vVgXrKmJFVCquuM84vbl+mnFTdc 4UOWPQ2Zb9B5P5yZ7DgeHWDIgWcjVREECVQB86/qHS+c8lHmK7mVfqX4XFUnPwHrAw+xswcr3nie nSGjAfpilspw9yddcViphcrm7ThCGCDlRmSGanJY3vtafYeYS+cIBvHx1/48XwcOL6YsebRR/kau 34Ee2pXZMCEcpnR3EdW72UiT9ywVQMVmQxT54tLNkUe51LtHeRPzhZrjq/folr5z+sk4OBshj3vL R9N001QegZAt3nBY1xmWmjIlYID9CEyQbrd8HAX4aFMKLTL+Za+M307kBM2+zv/EIXha3lEG9G1b ToV5eLt43Ky1jKaLuYWbYwJIChi0/QM1oUYZLmDx//G8PJw0JCWf0SPE9LiSPEmbflRSNaWHH0+y 4p4nW/6OaAD0hpzomJTJ/dBzeALSIfHKCuCTqbIWuDq4ypOc8e128d+AHu1OVUet700dW/+bAGTa YgndWQStQ2BShzb37dv17ruTLCYEaaG7v92wxCOsofS6GMI3KqQcAXQ4j/t3iOSdxCh7EZr1fCKL fV+Hpv1ClHcqYH4qMYSo/qb2nIgA/iOYw9Q+hAtLLgc+hxY4m7+TCjo1R4C+p0xwf4u+ZagJiZEZ xVQC3awnyJ0knGYduGBUD+iz8xrQfzxVVSD5Sn1jgc8+O3vHvPcLzfFbeEfmVB7NvVL2Uf+Hj/Nb fHXjNflhlay55VqaN/z0O6U7Jexn9sd2D4UZQ6BJVBK5Yak9TSB9XB/xjoH21475c/ilDwxLr5dP 2ITCvg3MCnaCP/H7WJHvUaN/u/NAi7IyNu6jstpiESvkPfaQNSHDk9lvk9sGv2u6GsyJjtXLHKX7 OzNNXKyZomQJONtjwPxQ7Cp8P14bT/iDMo+gwqD4TObu8y2wiBT12sZ15JYTYnXDwHNdwwja7MRM tuR7TOds0Cq/Lv7QSE6xtur7RQOvTJUBRcoJee87qDukY14znQDmt/bD0XKpZ1CumEVMOcM9CyTk f5HHdjdA4GLjie0psoQF9CCd4Wsh0pzGulS7Q/mCWPLbDH+nGikPhntPM0g4rBs7AH0oT96G/TdT 0oR1ffjl8AmIqaNcg53ElEZ05OrJsBUIMqBfkEcaPvj29hTy+lF74IxIQu4yMQWxADIMs00zeUy1 rQfo4fHB5esLBYxNywkHVbPvAzSgKPwyWP0UCw7rEDW+utcAvfhZo723ZLxakLPWurk1OL0Z0V8U s6vK2RetUj/8ZHQV2XfbotFJyG5KJNU0I7NvkbXCd5zgl5u3OCMBtVjQrDZkQZft3cflDdOEzQUs wr/lz9RK3nuLh0rSaATpefmX4UMd4MmT8ey3kvb1cJTxeh7G8pzgxFlOYWrH+60gBH9/t0QhJi+Y hPkgxZ/A+/agtRVVg6UHWau9efFKur5IlEIcM4SIlXffvSe7zFJ9foYEgOgWTi4rNg1KJj5cN1jh MtP96fiLNIRCKKgaKaJv7gIJ6NtPrG6u9k7P+3GFME66x8CkVec52aLWdUQQp18jn2g7lpdppoK8 v0k2Lh2qqHL91K/YlYA794w3GtkQm26kkViSpAjV8VNKHUBQDjwpM7jDAX3JUq4kCjTrVej00Nh4 dnu1FxvpZuZu7p+5Dp2f7/Gf7oD+2d1WNvouHzzLyUu1Khqo1KfZJ+7rhDFvYEYcPMgb6wLQm+XO OAsQgR3dZk3ejlX/BKYnTxvVYLh3pEDhxjV3haptWKaPRhy2cilM3QTlmvhWWZQVWexiDT7kc8Uk 2JgQaAZxKDLUuCXqW3xnk87CfiTpvCvw/A76i75Hp4FCi2cWUxgfkiatSSvC5M0byPDXM0AaG86M BqSp4fTtlF79imD8oLL4V8EYIhQhbAgFAwG17v67gmCcm4j1YXwjiggjH9AspkHA70Hym/vCKecH i6Z9J8M1BTgr+iczqFXXXq5NSjYhYxPCjNpa11lfmXuUmVCzn7mVEyiUBr5zXAmQufMPb+szNsoW nqsnvMQl08B24msdR6WWC+iNselAnC54ap9jqoOkaH+9qSj9sizc59T5JqwUwioNhIcst/EeGmIp 9vFCQEqHh0dnrarYuybXxoIgPy2nqlh/LOLnnprhwDJnBXct15KsxpFwLJkITwicaQasxKpVDPKl 8aN0Bw1G9QgHh8udypvFfdfR2CiZBgz1HpcFKVQzEhMhDihRFRE4Ze2qAz8ySJSWcfaniTPHKGIK yWn1akyPvotyC4vDzD+n2tG8Yyl4lminnxNNVkQCDTA4EJBOhp19N57DvrihCilHXHIr+q0qbnoe WEJyEfnPAvqbqQv7qt1dCfi/zdnXOL91iCMP34Tw09jTYPEoTe12pwC94ixb90seWKCIpKIr699h qwriOWjaVtwhrTGdq7NH6nFA33bp2OQnXdBBIwHxI4Kwn9h8WUjp0Db+XTaxWkbF1g2SchgRooxa M+tXC90Q1ROTEG1YewKu6ODelGY1czreBH2jXD2F3VXTpwfG/ROf3c+8AnqrzVg2RNWtfHVCGfUG oy/5oA8GTPqxGKAH/oKnS+ak5Iszh9tfffqcHiOQnXSmtH/WvhBY96rTUfMFcCXjLCosHPCaZa46 XipIP9EJTMd+tFHdyLJqcZ3VfG+cD6i8YT6Cicu1bOQbrbU9MreeW1lnl9Iy15Rd+AkHcHzfYSrC 648yKSMIphhHSNwezwmZ6sDuDh1HjasDS5rpv38vGc+HidzJ2XtiRrSlJpmEbomtuG7IG8b//gaT 0s+7ENWkki0obtY/EWGsEhcyLpWqVhKpR/Rk5cd8TYLe0jt0sSywp+RKy/oij2anYZRoQjaQ9W6A ZIhqTNSVipv2qe5EcexCvXDl9z+7lTFWoKCaaAG6T1Mv/IIquzo3OGM/MDsQOu/oVCb9ptudTlR2 FudUn7+HVpD6U52VnP0Tc+CSAF/dplNX1suVhRRcBIeQr78fGXA+MHNNaB+/bTo27Hs60QKU1q7U apCnzV2oB+oudpeKLCh0VeXV0G3exWxOY4WYb1l1Ktce1HnMCfAFwh6uE3Z4zWwnM2JEEXCK2QWx Sb8WjVsB8+sGcJjLqMvo4NDzbO9oiI0/ldMwfNwdTKBLdBRQshGUDJ+53mc/7QpRGYipm4BgsTLo GOm4VoqH6JrvpgWYBIGYeLeDhjbs9Z+FqbATN9TGrMgRi/dMN6GS+e8bjrhfNuZZBG1QyNO5cqgC ZVa7aXCp2G+GQMo/yp0d6exW33UeQO2rfOk6BiffX0QvK2OLLlW/uf09HoSt0VJyQvzCU4Q5laGx LL5kdYQJ9O/fxJUwJ57BLV/5bDNrsHxAAAdtAyuCQchcvrhu6grYF+IOxkX0SUU7Hn/aM7EipoIC 1RjUltm5/ag+y9IY8pP9ObcIPjYu7VtNNGi1L4oYirrUJR1lTJyLv6MXBNytP1reYNOx57UK4ghK Y7VjcPYAeoMAHxgHxCeTSc5HuVnRIHbCT9+qLilg0d9NT0MhV+4PZaYD5Wsl0mAk7AlDvAGXk1D8 /kXQ6vLDGSPlVQNVfggl91ZU3KpGiwfKP2qdh3trnqfwVL0FVVfLW5CIthviCj98r8FOtuOzoVZP wQvRnhKatJV3isW3kKHkKG2RriBcTMp84bacnIXVqdf2FpnKET5wS6KMTWp+g5O0Imes8rRBhYlb kll9o5+Ra3CLSpER3MCEJctSSEl0mxlpzga5SobNDm6rbFRo9cnd2D0ZXuvVktbz3n8cW1kRKa6L 8T0aRogRJ8CTV9y2ySiL2R8doVdbOxdmE6yrTy9IyRqp0oghAeH5PCKPoe15/8xDeLBq8KyDw0W/ datugkWd5iNPXVjmvI5cd2eq52h35WWrPABr1yY9A+sp7lv1cgfREdxkmbvVoCu15oYYgzZzTKDO Ztsgx7PIlyaekoLBfnvUr1KvKrBt0q3BfSbZREprZ4w8oakJb9ZKxiGd04Z/qSuheji2dx3acoki Lj38rjfWM4LgFB+Be1X7xGV74j46fb47WiSc4RQ8Qys+uShN976kPlNXa2ZvNFO1wAjDnVRI32TK +edTz1+Csio8y+Td9J03zbGTkEhmPfZDFUJmD5uq3nLnKmivH3GDEqfE1VGiLlil6Rh+djGqasoD 8U5O7LQvh3ccjq267HTtaUWxGK4Kamj74IOZdnq6UIPjTbsLgcmomFTnRn/neIPB7MC2DGuq2o46 xIYKN2xl22o7pr0usc1Sf0R3nCcHNBaDO4B/r+2foCqrkFqe2H+C2tyL4oXuyrOm4juHOY4bYVnw tPEBOZHwDREPeaTYCO01OFE4h942K+Tk88NEiKqXJR1Pmkumd6JSzKRxYNUtfL5zekvbmvvGY7kX O3qJ7OojlsaoaCqlnx4hwnZsYtfVX4h/f4zstSYkIL/QII8PtbJco5TvgX8im1zuehcyNJcNw2GT iJyd+PF4IJZsVSztmjQu7TqCIm2tyA1ILg2FWA0FuxmPG8YLmN930rdhFt471WIfxN115IYrDqoJ 1ylp16GFpJFmxKW8NWhzgBLs3LIzkIJ6nxoYj4kzUsdgzLKlngdzHcjUAREbc4lcuARMMg7ocQoW 9JThc9ZZrSAR3eINfUDz/0BRWrz7lIdIixTpsSQz3iY141c+5A6IOUN04Mrp6GmBT9Wu79w89zdg yW3B6tf1EanPxD8XWVCQJ8n5UxvZxrBjJqdsMfOLGlcLBv7rXhtO8I09STHASil3GPl20R2dQhX+ Zpvk7pjsmAD2Rs90O8sR66arAApbvXMkjTOHj5ocIzKEyLR254LeFP+D+4uP0GrMm0J7SZLnB613 v++rxAdjFlJr2AVeWR2IdYmrxLTLa0UvmGMhcgbhsJY467mCzmbQsVMHgRR0AfzralbAu9O6RUAA fabR6YVQRSX9QGyzK1Ez3X/83lDeSBzkNbKRFDVb+0ALTK9bpnmre80AlFDUGeoCco3jD+gf6v0l UStvAlCz+iwNOcCjmZmG9p5p+NZDXGmKGpaxdiEqi+EueTJ3LsAz/dBNZGowmvB9CKHW/IYbv57F HeTsBO7LpUG1U3ROGUzbfSOk9OE0ozCtkON2JZjdNcbMv64YDkfsq4ZUaK6aNTaoXc1r3jbhyZqL fXXJMxhldi54AgH7WZTKSWtWu7QvKHZf43TwFtaIcp0rpJ8QEN4ihjBmtkwB9N8W4U/rvGn4s7Ic tWrYWad+0fFQF5aoHkUTQjvuRlGFgN7eHPy2NFbutW/QTAPzvq5PXfydEIv0LS7RUITOJqPlE9Cj elsg93/dED46Y+bRRAsSlWQXXI7cZljN8QJPVQwHVwJ62e47eombmsWefNwxQbJUgmmJhajtcd66 kogFyCU0OQLk/Bv9BkzHVmeE61xvohswSnQ14Di6r5yHWdIi8qBj8wUHE9UkCRA8bzqpP5OspD6A +Wm1hXGizihMpDfvWaFo7xwperH5MncreD/dqaz7CR1jAH395CE4C4qH5iKN/J+FSfDFvhDejKyU m/DHH+Ggn5MjmoDeuJq8A2kYC3xvJMfDo7zqGt7nOj2IOjH3E2e9GKwOFRPQ+9LKP/Rpy2WAl69O QqZ4ymgGj2j8UkCNuhPn2H467iUC/D0VIQvM9oK4X8cAozPGzB51QpgkEM9EPlxxi2G3ohzyzZYH rNqLOaL4YzhLPkhQb/joOWz5oHJog7T1b4Yg+//88+fhRXugZnEUIU2BWM8pi2cKmP91hzgzqe3i gZhntwuUJiqAkR7+gSvBTUi3+5pS1cZ3zkAo4kqFcSjh69NAZwciNavMMsQHnDkITNnY4KaZvQi9 jbS3U7sGyo26+5ps2xFJCTC/FyzRJp++XDQlc8VCkx8pHwyLs1KdguU1DnixLn9USzeg57HInOvy mmLW7+/2ZWxy8wcmgGxiHakDwn7xSkpNoo0C9Jj1LjkWBzlhIzgUlbWkxNpr3sYoF/DYFdhI3xpY plKhgF6sUdcZsZgXLJvJlu8TpC/rb34F9e0VRHCT0CUudFkoGKCvs+5FKAY2N4mdpAvsQrrjkVal ggarwekaHT4ZfYZZDgP001NnUdYj6V3U277vKcKqvBNDz4ZDO5axMsCSpu4YoLf/MX9L+T8hmhHU 01tBiPMNcZehUJzuu5ZgdfEyBb8txUsCNJ/eNsN8cN2UfmGPFXlnri5hcUZxJWZWUfUxvAnfOTnD i0btDMRLf0L8vm5nnpYZX0O1/ARFzLGgqCT6ehN1LYfapJ2OdOtUvdcn50gKw8UG9AtVBiVVnVIi Rsv8nBFKFdM6pHXcNxCxanszOJ3mLvjQgN5/WUjRhNIIgUksj8SuI+dOMUZVxfxK86u98VNBb81B CNBnP53tTcsLnKW9abBKf2sLc28NYjIzzSXhD6iK0k1DhAP6Xe7Sws2pH9FURS04dCOJoDL4uk2a EcVdp6JcWpnsoQGAnhzMEdXBmOOSlAKqq+RuwXgZe23cZCAscHCg2cOEjY4L0D8XJk2fHmRGVxN9 kzR8AS8qlwnebEXh0Dw+mUtCN5inNb4FJTemFGRaH5w2oX1z8h9MXoOJH8QoB6Dk/1YQfwqB2iTT 6FpnSCArhXNa0rl9HuPVG1nGO0QhIeZe4bpBfdAXy2rwG1+aXGv2GpFEh6lPRnFfcL0vGbJ4MJz1 +80KAejlsF+pZx/2ljG5Oq4PYPkZnSCcTQlXnOu2HAsLeU+e4IYMJeO8NVA1vJl7XUjs7jgCSf3B +VhUyJum+yUmJkf7fRbY9ZO1Fka+nGjL62LvTADzR27RgEk3AN/morQ8NF0iPM9Mi0/vD5ClbrRP yl2mOhwyGQHjbErFwpP9rKSpiN7UpoG8e4ffXdfmJQo2ccU8n6kjDDXptVnzVrQe+UUyDb34aYrw xqwFmd8JVa3XKtHvYq4zZqGvufnJqFGd+xKuUu9a/fITlXp8owKiQtpC7VQWCfLlhP99Hw6scKs1 md/ToV9O3kQqO64owXoh0iEpKUObvI6czfyw8UTMP4HimAcjc9Sf0GZ2iPvqPvWtr1ECUq0cxkBl FVvoVYF9jtvngNcu8DSGsSB/bTk4Cz0Ji4Ic0APVTkT0kNJ48L85sds73yiZLZhlrwX9lGyVhKO9 3ZC0NZfkRKORxkkLKRoxr0e0k1gbREkXAO8dWpJM/I14nrOQr9rAmoV65MMwjtV3G7G3QzAeQ7Co AjkXZ4X5HLdkaiX/DRGz7KE+gBBYaZHv4oUidhsKx9t/m1Iq0OB52D+vfIB9Qq//pEg8fql33B6l d4kqfWOpsPVjQ7Iy/amH394gt5CS7tdShoIiicfe0FrAzFL8pzTondzdyQb/q0HPYa810Zi/QNZF fTwYwfq+xEfSzajX4Z6Hg6FBr3kT5kYbfxKURZHgE4hQ6/OI1II2bdSox739Tcs632hC4kddOnbK Vo6a2kwLrAqxGO/m7dgGPmB+qwN3oVTBgETYIHa4a0Xci+0yzSQ850kyPJX31Vgd5yJA32FXbFea jbAvJ9S+ZMKz2+K3vC34Wwe2hMkrY/qgiIxZyyurlyRr9UuQ2R45q9LXZe/tRC4sRZo31p4PHalN YDfoT78oCZEbMInHCdqFlH0UVs1AKmaB11Y5fPzCpuMSl3bwtgNjrZ5dt1uC9HfxYHZdaDnoi9Kb HB2eJ2dJdWr0p0xf4J69TnGuV5bDxibKj2NC8j4TlS1LxhezaHOpMIZkrHoMduk7TYKyBuNlswaN nkVYogXd0XDV04RmkRU++oE76+bf5RQF5qrgiS5Vnn52WXZxf/5KnsEOj5X2+iTAloSfII1la23B qGerj77LTAT/dGJ1wTNYnJGqNH55Q5/Q9tAF2zqGA9NjRV3l54ovOVM96DY7E3cPZa6nGKTp0kPY hqfUgabHpjNJ6jNQJIdWLSbpFgqfjzlb5ZMMrfeEFTKRyovSfuAQzITLT/ZO4bqiFAh4jpiDbnwZ 5EnZGA2p+uradMuS9NuS0TG9HuxSrnDebk6CR61z02h65hxJoUfUEmzkZAJCQ/6AzK7JdJsDmeQR 58FFy0TY8keBnBaFoZi+Yje/uEdEihGKClp/QedyWcUTGETIbaLkaYHSLMhYZQc7JsY8oN11XjBZ twLrZtcrLbLFl1ZgUP1dj1KxiGD8uCQ0gGs0xau4UoU8n3zZbOAv5aOZqB48Yfu+M7Bql417yA9n olvkbG0bJxmdVHrIxCdF9TBUFPdHXqkni29I/fOnQ9oMOJ8cBEE//NJJnshdTMO+/qKXz6MfaMVm 7gFGdkWfLv2SuAHXq32DyKyLFxikBsljplnwzR6ftsgxxxcZVXRdPpraIhxnCrqC83760jgMYkZ4 5836k+eSd2OI0A7lWtCLbuwiaa6abE832OGgDcmfaz/f3dKQIRnhWJ3AeztGqY8p2f5l9eb99pFv xB3PocPCAlNtqqjDIvqkaQKS5EeTgN3D9d+/bR1vGNJ5AipyMJooEHMwoxIesr35aIIgpoTPkuCb cgdRtyQdtBDfo+m0eCm9idt9vuNBtRx743fwVdBUKGxrCV2yetbk5/QvY2X3FX7uZA1PALcPFaJT IZ7dG13MYVGayY/lrieeC5v/CQmDEF2YPJ2IWC37n++jee2a+jh/As7PlBeEV7RxCW8cMgKMR+OI KZr+zKpICnqzl9hwPWLtDRbJHR3WQDTR1ICePbxVioOBGUGnXcrQkgrAn2rJLBfBTicVWtnHvjKG xr6RW7G3hA2jlrKKmeIbvyPZl7WGeqvogckJAs8Lzxd3Bjuo3I3Hh3jkVVgSzQ5C4CqSSmDsCWIL 2OBy38fca+YJNFeyucYZxV1ntW2gcVetD1hdyZ1HVh8veliNJUmCsT2ZH34loNyN47JOrD2tJ7lx JIakynpu369beJAD5ue7zXCmeVkBj1y3HBjDVhr1BsmctfR7MMfl96ZE1DcBAfQ4qUMP6SRXud+D 2Zi5V9asK+CNrtnmmJJ7BM0/XcyyL760CuhzAgT6T1YYm4bGWG4jTxMQwqT5HkUFrb317Im16lnU fmtU3FxxNC2Fl0QhsfajOYVCpC3I1itltVWell5pQNq3p6WnMfRulXAE/boa00IiZCiYk0CoDser +/HtkcQh02HqKvfIyU8oHlMlTXI6vB+74Z+ea18h4qu5+GyawV7fBLqlfhssYUwtcCRfEvUuszU5 2TkJVSLzI3K7fqEYhi0oPnj8Y6hphhkrd3wgpGnHtuOoRvfeZhC4XzPWc971dzrpwip/zELoBK5d 1NTG+FbrIhEESvwRrdq6HXBdB/D0sKaOddAv8zk+BsPE9zrBE+piDuxKHpNisCsYgrHPQZz1LTN9 9MNCuv0vQTE0hy24j4sqYOe7wiyYuHR9bTJYUA1S8yrgv1606Bgkc7vs6gYj6OM4wbES6sRDHOfe Onu41+OO1WRb2gNi9zUwxR6TN/lNwbx1OGtoIg7o1t03nn0G0mp+YvREiJt9bjwKYKGc9KwnIiSp zoMEWPr7hfsl8deT6MRPhsaroq3BnkRMlF7Umh0/t/cyiLORDQIGxohFRmmweKFK8PRRElfnmrid MdS6LldTiGFcnepGr9qX3y6jptVD8oCqMf7ne1f7Z8Vwq4CNmc7feFyMiEYJ1mwQGKtJywW5eP6Q +taEFyBpGp796i5VyqW/z9tGDmmVP1kgQctXPRhiNYT2eQTx32tJAfPfsJR8U9UGrEcKQcPOhYqp 0yuATkzduFtifDfHgLpJcjJWOPwZdW986+K3eORrrnMtoE6+97feRQ7XX+KT1Gsd2egcVqyakq+6 srCLsDWkRb7iLycP1O0RmGP+To2axt1n4w9U4pUhNI4JYP34Kxm6gm2mT9/s8NoTiGAwanGrNI7G rXIkm8wab7drtACGuq3h1ZBNbLzaaZNh+y7m+OO6rxl8CeIjdo0f5M8M+64+Qwea+hEvUg8Kf9Ma 3OqTmV60K/HzD8bdkYmPTWXkpHKaHineSYNfVQMOHYsbWlZp1weA+Qm7EhcFJ3pQ57ZUk1fynPHG R2yErMzpi+3ZR1v1vjXMo9WZveC5J4sja5gWVVF+jJ1AZ5KB5gN3g/oZzklHxBH09t27fn8zreBu P7gr8dHsAubHf004Gyj0ARvfrU/LwZ2nW87tGVHBt20nXbapBtpwfIYRV7a3vhWaWrTdwgygMy92 +rj76ZE2F91fD3LvgzBArdhm9x2Dl50x0RZJOX+U1gqYP0ytyzKX7dATKxy4R7fH8sQfA6YnJa18 NoCe9Fv17/OjFfzykYah3W2WrtkjZ7s5u7Oyno0ZT6Js+xWfcdLqMhUSwZVTObOMR97Q2iox2b4F kzWNsrjrcLxFRk5sEwkehcAOYQgBiJKPRSvHjByYA5LpnUcGjGw+vMfth8h2jMk4KTYOGVLK2QIK w99zdyGv1AYWDA5O1HrraL5xuXSODd2ECR73s5+QrpOkd952FA2FgPkhgZOgHeEbS/ddXi9q3UI5 fyQN341m6i4/wgjPi99n9UTJBW/ekTqfTYPiC4o3XTemrWgea6Il0jWVFL2JCtOchYaC6XQCAzXN UEC3BfkwY72m/c43jY7Wg7AlEi71CNdc3WLFp5f+2JNprfkOsKw+ZYoylhUuC70HeTiwy8HT3wD0 0+9UUf4P8iLEr7SpiTxDEDyuZT80N4t+VxVWsB8oKPN+Jg5SBVqr2ARhm7aPQk7ECVRCWqshx8CF COB8nTuYzvi0Ber6v4sw+isgmtG2ZhECejaGODfzZjxW1OHJU234A2j5P6dd5lm+e8UuD16XbEJs gD7bKuvM9HdhP4grd8XKRFGu15pVY5a6nmvkiyec1YMXOaCXLOqeLdtka88KSO43dSGu/v/Isofg TJgtAMOxbdu2bWdic2Lbtie2bZsTT2zbtn3/9Xf3b516Vl3dffR/F337wJ13iyT2uEhHVtbgmZQt khxzEKcwXw42/Sy1oFlGMgoeQconyDOWNvz1ye7z6uIzZrBDogvGOWNL0K6fY+GBVY5GnrxOueXQ 1RCGV7uZlqcsOEvYZTHrEAS/jkdHUGGHnhY/69TUFFZ//aOYso5HjjsLDkNUySoTFLNotVLEF0B2 GvvtFMCcd7/z7C7+0Dhc+gtJ2099k6ibJ6DuZssvTiU8+1mSMjTa0jjWiLC4zE+Cg91ymXtXwokj mcLqWJ+zLsIxJO1O77jEl4h8xwt1GD58PLTMB7ouw4TbXbSY7GEh3D1RzY5F6iiBwhi/8Y4Li9kz BUz5WscPH9NtNvuy8f5XfrXU0ZL62PMZGRSZ84GV93UQ9ji5watxJ4oO8ebuzZ6KIQaXC/O/6EWF LeLRv8pj/QPjFtc4tVzfDGaRiCFifHhS0/2e5rKSpB5zy2Lz8yNjLOKbv/ddA2h3/lCHELTJ+FLt iyLu/kLf3n0h+aHdF3xitsyHeGLC28kKRGY9Hpc47mLMtLkbrZUJobbhaU9QiPzNgq7xbmbYtHA8 DEP4rPnAiicHaX/GSDFa54vZW6mKSE2a2GmI5FgVErUAihTnB/vSONRCn8FOJNc5pLWjCJUPlCqr aMlajIWogStygc6fanbuWlfMkRdFNwW0pXjJC/Hwrai9D+WkoZrCd6U8x+PRcLqxG/a7LEQ7gSm/ IrU+smceAUJmGYSDgwCfk7zZ41DhBu16Y3tnefUIBtqFE+L+PJftC24liByDoghfH+3kAt8Zbiar X8zZh0elhDXirPZttq4asWB07A/uO2vouS3vUehTgCYoah9xkp55kIeRcd8Zz60vnpgvSA/4Xa++ NFk6EJMvKZtkEyMzb/Xigad34P7UKAajax7NMpC4B21zX5dkLnI7ksJTEDwQe10zcXYrA9qtVrX9 US2B5oJ51KgsuJZhrf0oiV8oKn5Oy/TVBOwOG2N+DIiGTQR+HiJ3dOSfJFK5m90oniixPOTXU8FW YsPa1SBUqVBsQD+tMhmJ0tnRjr1RfTc1PgeZaMl74mcuxJYc1qAsv1FrPWDvAg5mdv2L9rxRfsVH lyms3tp+jBqLcxjmT5nkI6vcnDtgH0JloR95JmwLvP3yHC31l4GjZGUWa7jYmU/2Xnb2H8knKqxS ZwyqJIT8dwX+/OyrSXCGIwvRkcmHT0S7jmkJhcUkHXFAQNDD0+VrYf3496pz8xR6mNrN3Tj6ff6a N9M6jzgMGiRqTkx8/ztQAQmGszHEUcNwl2FhI7dsBjuw5E9g8pv78dRPAPMzuXei8MFGhxKpuQbN UAE2qcPH9L5cMNzOAp2OEVnovLMOUNrl0iMu998ZpWa+YLLKbUa2pzj+385eSA0+BqZaDsXdFmU8 Ix1nWlEvTXF/imEvQfJESBEajxHCGtDRer51qLbsNh4jc3QSQaco9Ejzclra+qVFkMdZ2G8EDKu+ 9Gh0ZJj0C1KSvsV+oV2sTtuivGiZyoGTw7ylB1Y3ssJY76LA5ja8iK4Otbr1rydNOVNNXINVMxUr mhgLJ9jCw7O7MOw0gYZYN0JOKXHksIwj1kdXm+KIgMOdirSsAXFrwcguSiMEx6mctqmW17cYud3E cJVVRj9SX9WqmuagIPH+TDwcHjAWq6I4ckG3Hh76WiZjaznG+rJ8dKgGnXA1q/K4sQQDATcxYQc9 KECGTxJ+VTztfqtD7PwRd6hCv1LVB9u6AIl7otab/dfFMD+a7v9h8IaSNjyBg5Q8vE51eZ/xiLxD ciOb74wXS4u6ANbGaP9db+GlBi56Kn1lGgrJeu05FdXGek8B7CrfrXA49ZkSSLPrAq4XvNQe35n3 iCOtqhT0yhqwnwZdH/Ug+laN39hB33yRjv8Po+GTJJ8wHhPI8rI/Uqn0QIvJfViz9OOMG1ZAz5en I3mW5AmmtcX9zZS6B4osGnEVDbrBe91AoXq+ZIBbCoPtxR1vBCz7FiuoLBFItEAAl49a8N0U/Dw/ eVBtwpq5TtRTCZYQ20XrbV5rYlB++N3PQP2OItJV7m3taw1J/KhRw2jfRV2bisXkYH4AWCuMbWTS 6/djOJuqmyEuEM6nhK0RzuE03U/WU5twHRp/+h+m12tQayutlAJWSdyH0LLk6UwEMlM01hxLQyWL OKahCi7yuO9W595XEOsExUNqu7Z1y3FF/m5IjRSZ+mk4EZ6jhd85LBggJBulXJ4S8tt6epsbBDSU 1zpFGlFoEiT+XqE4m9TT4cfqX96ysCLFmGEP4hcumRt8ouC88mRkTLfakQ1QPpHfqXctHNuIFvok 6/RhtDrXKbJsGVQxBto7TvXhOyn0sKzRHzG5lOh9GIUlU9BhKzPrScwtLPawqoTt0xIso1zBq4t2 QLuO83IVcHzvx6oTHHpNlmpQN5Cu2XI0E37PPLnE6KqlgaY9CsT1zBWjY4Uti9RYIT57/e9EYE2T Nslo2UJdThiDtCBafDJx5x6folNHRrdTSrfm3TFuM7s0ZhETDoceYCcMtdDUuGwjcRdWSwwTPMSQ S+XDk8uu6/IsvNlu/by1YwR5rS92PQe8heqyzA2Tss5O1hF5SOSMUS2nwR0ITM09K0uQpIMDBefu 6tCkM6Jx6b4KY8U1eeysEDiRBRSX4q47dIMZ5MGgHsWck+RgyXZEhyKIpbmSpW+RisuxBBYOfbjl BCuhi0KItw4ZnYA9ylJOdTqbXu9kdowjuR1HFn0tOz8mlD3iRAzCmDRNnxigJ8Kz9IaJJuFfcP+4 lL7/f2/LN4+bJUQE1gxyZCzVcWOtLM6usT3Ejvkd8XEqS6lRhwbhQ/46S2ijVLYQJy10JqmDGcrg bsERtHRXiK8dzBo5wkcbEinODlduLhh0665nusH1WgF0qniPMF7yNOa5Uy7Ip/CjA7Ngon8z0T6I LDWz4koWXDm1in/actC+CaM9bsNEP9GUL9czOEflZbm+UgVmhes5x6L2NpkjIdp/yqyG+NOjJsed iZIrEQ/7pjqCdDfWh0T0/bbZ+RfXh7kuc7R9vV4Vfvux6rYNBkcmsK3LAMmBRZGAakA7sVVowbOj ztA5cIM+L7eCV+Qd1sOlOqXzLap04AjWmEwkpwuXk43kJ5zNkeBzfs9Ilmv/JA3/hI94tmdWwqk3 /LMqz25i8LYzmotDxAvHSgKB33hMeoCApLOC6VM16PqRCvToMmUdD56c2UugRcddoIOUkpXkEEYF G3KFLnf2ijOAD+HH5k6R/KgRl60g3lsJ5tb0v/YJeZg9eUkBEpuhq399GM+kLATKVjyQRdemj0LX vvyd6CbVkV3eR13qDSrSpVrJHb5O+uizTM+yJZLaeYSg2WWAOqFZ+GUoOVBxQiUqeYzx7g/JjIcB 3B/VSaxB078hMfOyL6Sr4UxOdBt4XVrWO7LYz80tY7tGlZptGgf5t7sY4TdcSvmhHu0pBeTSo/fJ 6ZA2xikizvNxvVq4AGLDn4S7U2WzHvXag8RPp2i02PoZQf2L8bqOQy55USaZc5QwED+KlM+uRKbh pk4fx6rStQd5YqBnEtuSnTGph6HkI3bnsQrCvBmWQqFVD1e2Sgx+bz97CWpoVi7v4bi9nMMDdBfn CeaEvqBi2zBbWaaliXV2EnY0S8A+ahV2xISGaslHqAz4piwuWqLKTCJHl6/x3Lu6xdY92RSwT55P 0oNYfwUvrtkY5IjQZH5BzTWRxPR01QT6ynxfJUIF7K85DUxn471Vb8lXDrFdV1OXk9Aj3bKUTt8b 8fTkxh9iTpYZc3gHjon76LCfTmfY+DtrY61QmjBqP+BO6mD6NeWdBWjOorpQeFe0pqRt1tiPAedH pNCnPpL/noQ3PLL4m+6U0bc9dQqNrMOX3BBu8b4PTADY79uykUTWC4Zm/KiKdPcWa3JrFoF7LXy3 ktoVwmyxf+KSM7sH+GudjnxPgMEsbKh4jqXBg7co8aiU3ewinN/BViC+y0UsOyYivL8XddfEyc4A zs+az0vF3IIw6i7iJvZPYCDuR6uw9xZpTDHUYTMQUhmT6hVirbhMNWqhSpZDFHSpi5SDbfd7TLsR WLAV16sW2qbMCIuyusRLUN+M/ikLFlkaA5yfNlsUqY/QvZgsabcx4+Rm+lJxygoNHTyk5uPBf4Rh TQnYT2mdTKq+wHfFLeyewdzX3WNUA+16WWGBbYAJt+rntiB5u2n+IAdjCHsu3hol7UV+1uelYuvY M4TcB6JSa+7cubc+87MSqKfvfmm3Wh6EKswC9hMiM+JMJ6k2LGhm75KYydmNLckBojFtDWTUPplE O/WqgH2ANuQRPaRzE3Yhe2iHd8HwBhpqzZmwp6OI3l8FC6ByP8CegpzoA060d4I9/tALj62xNUb0 a9pX8Bjmy2sG6tc44x8BhWoP4dAoBZbbpRKmNGFYz7MbiMDGApVb9CBvRcXjXFB323rkjsq+WJcz rVB75kd7f+sU+9C439vShlC6VYELndhykNZLoMwfD/JkDouX+LETsLRbdvuiAzWmbqBlKbqpvcJn n6j9BHBGsd19aDT0RU8IlXVL8B3qWslbyhGHWHBa6uSXhbjEqfJMnt0e3ltFNh/Sbq9JSupy/VuO SuGuj/8KXeU9vgWdh87UMdJaf0wWYeer+Xlp4Ym6OZ56SeQgyVli2P7U9IDWf/K5tXUGr0lbDfec xWefeI65NYlLVtC9StYv8Pgtvlth5AQ6xUc5PZ1Pq9Wmdw7WNoECKZ1T4j/OTCQ+3QWw3eSenpue DVjL2Hq2vtSgZk7zgcxKfJLxkGmeyIoE+DV09dh/LxqX0szOZ3zKlCaaCzMhnVws3yl+YTf8Nncc DOJ6JjsWGTHWC5Clfzm9wU8901uNLjCHlI8qcGhHuatg8EQ7LPHhz44tm879LiFdxfMEXbYydM5a o597D7IfF/FCK/LiPQsXFP80DbAOYqXQp9V8u/qDaXkaWnDc89hpY+06c8dmgO0seWWYZnDU6KEq yLImanLMvg7DqOl22ywSavjCA4sX1f1vqx0ioQ4F0ww9HZ3LRY54Mwcq3JJJkcuxmomMnTzV4/L+ NCnB1BX5hBfGByU75K3SCt1tdFN4irVg5iSAvG35dNmqz5jL7fxWsyDDKWJ1Af/NDFzHCJtVLM7p 8QPvlansYr3dZrfrvNdxaEyKj5qSAZP9CjrhVXSkMilrPzH5zJsQrNx3Nge+tHX1mLTwWc3tnTGb KSDD5bGDjF/6u2kd0LMUwnjVSFeUiWHrtyfFhQD++yXNo7F6jv7bBg9elF2AiPbu2V0H2WcJPld2 APe8/gPLSCPPLBKKQm3xoEVJjZqdutQNUuVJyTnGGz7P1VGjC3Df27nnI/BnLGioFHF1e719gMm7 ihoIhObyzYkreHGs6pZHoMh18/il8uFdMuYZve4hr3jTadLkwzU5bjE7WKnkdcjkF6+R4R7LjNs1 o1RL3V4D4PyQlwVqGlfUnpGGbqLUcZ8r2EkYv7/tLxPpP+A8oL4UuYD7ag985mGn3P6/m+rFO8db A6kEqqg1H3UpuDr2G5pXoVhL4EZSuJYhDMXAEOmlWVM5mfozMMlHFufLIipAGu0wcxZrS+TFQCMf lWlmBw9OUXBGepsvJkJuIh1DpMBmp7k0UXyoJE1agRUad4mMCflCMWYULiDDZeBPKz0eCUFHyiVA /R1hSR900hwdYkHlYKwDcP2kxedrbddRlCJHGzFNsD72E+YvoGLMalZTGGBAC4XWibHfhPsVbQJ9 Od8TR6VBlkx/NkookzZ79U+U8thxBNyoApnH5P3jPURAqR8IdMwoXjqLpQ5OYQlNMcXpa4ycsz8k euJAav+ZWRYOwi4Uxf4C9ITf7EdTf/3EKWvGo0/AoL3xY2v84JqvErSyeP4Z9T7NyCJQHrinZM5q Jn/GVE4bPTcV5K2wOBa+z9np16Ghw4snDXeLpohLFfy9nL5nvOj++GiY+DAVFEsgP/fUvKiZuUFg kvlQseeWodWpedSE04qAmz0xhqEZpNvLr/cDVGUhD+iBP0f/PRsekvZ0Wui9Te+Cd8KWqvATzKeR so8X2qLkHgtZedAA61+qo7XA86ENyuKt5t0II72bKguOWGPKcdzvKlQ3DzOJqjfQWBcqVA0EdRZ+ PVIlywosP8Rf0pNInKG5MzN9QR86eScfrFf9u9LtpX0dirsGwSecNWfflWvKvhbQY+ulvkFjTd+G JNlirzLuc6zTT6AlmDqkMdbpi2YH6gb81UfFyIOroC0vWSBMr/EP2uitDAnUOSR6d2+iU/1QHRTT VNmZ4YtG2VFJwAyi17q7EpJ08WBeyTb05qB8F8ozjlH5h7ZUnFGhFrg7lgh3P5yzsR8D2zPiLwtx pRloHCMyoMfScag9rYNfsZMP8lgSf+TCbyOrX+5Ok5Ev57xDazwsOUC/+aYvjBLiUov5oWC9vnDh GCbdk0yHKYBBdtGgwOZpF7Fvgvy2VT519uw092ZI6L8rtH7BzDnTU2lZZ7g+n8tOpUwqV9L+rhCa zwTW7W1R07oWovOSmPcWInKDiMiWL6AHWZoA/ctEYn9Ec0GxXyBci51ekABXJ8PiriNbK5aBLiMG 8zu7PneByLy8vRd19bXXuojoH5YmiGWOKovEjZbBjHDdleRCBQPb+pNhGRscuHYrxVXoa4jfevlM tpt8P+jEHIVwdq42Zu2Fr8sfzvYeP2hwBs0ZdStrd9LvdNecMeMCDrVSYWWCkXqPy53u0eFuOyNu SZKpoAiHbTo2YuMbqEjn+kCxGDLmjkMMewlJjpEq1UXTLQfGnI8zW9XKdN9Swug5P/X1XWnumdzz QS4BUyHq38WDWtIdFlj+3XM6VuC4LYeJvBDtz5AuvLqX2dq/Z9LQhMrpo+7oVLR0VQbDj0liyXCe rLZzFlYbXAfoNrwBPa/7ni8yKXYUWWxHWkjZ8bb+pXcOldRb15mgfEL5BM8SfNwY4wn3oYPvtXi0 pVNeAw1r0KA8fV3ml24fBana+YP9R42jB99crqK9ybldslOtjrdxb6hkDUufw/9kz0PPNVou7SWD wmZW1Ym2WSy/KS817kG45wIcqoFtLDGka1G0AT2Q2hbURB3Dxw91pMdzwmNqjQoVinQBKpn1Pdl6 kwW3j7UgdUAoBElpbmp/8hZ6JTJrAmt1a0IXyuovj+PZVPx/+w6JNj2lNTLMZ9kiwJfWRl9GoRWf XfK1doH9RoT/hW9R16vrXFCU7imEQX32Qpv9mk5G8RhkqfOMxenMt5aiAQnoGYjZ84e2Ko5NOIrd oUY4j6K2M5ogRNv81oKgd3lfHN8oW/zjE1keT7C3aWFJkhBOfLZq3LugjCawB4dqRApnW/VAHCgj lmFWxsGla5BPCrxKSH5plpPAs93m/yXoen0Cj4QALOnZCD3u/Ly9wBIfypQd6l7SXik9QRPYlTMA w/wB6FGPfHVbDEGEwQ8UiX6EuaTtxSu2JKPOmZoLO9prgow01bqAgHsw+dQgRSiGuuQxXBrnsvU8 /uwNybWbLnBJ5qFe8LDp+b4TGpMuEqhQj+TdnE7jTjVIpSRCpO7eh21Nno9Jl1k1ooHKtDC4nr+L bIX+eVWbq53ouf54KbMWWI1ZBPQc1GD2j6bpZVFxm2o/p7FEj7jSLGXdsmpF7Vf3uP0wxmpsYVo3 WAkX8tb8bvK0yHH3ru+/ZgUOOf2RbkFQXYLw9UA0cUo9+YtygtfF2kKf6ah+NW7ypmkSHSJ+PKcj br+/iCKAdVz4VhiPhQvGsPZ95JsPaSlESjP3tqOsrpqzkwXo8aTQ/ETDFm+5Vo89uXaV9wsjwDjs d1qAR28K5uGVYUMX0Oia9VpM88iuoDFQIgBlVs1kQla0ohQO//qHvuq/UA5sHD0NvgpeerPVC4U+ SixGn7MD8dUWUNjvzspcxfarRurwUvoXSqsQLQmxGVr/v0JS+cr8SeNslawG5GX3DiRVQA9r6onn bb1jRlHYW8Zq77+bdHk3c8J/WKCxxrENCEZZ8Eom4woj5+c6mF29Xc+IfBFdPdWdO5gbRX0FwoiG RUdoTyph2FpYxHFaWCooDoJ8LIQYy3iYpdEGbGHOx58Fi43RoVp2E45FBkg02uxQ8AtZ18uudBqr 0zKfGmLD4ypreYAeX43tBQbj0ubi6An3mCdUM8qgSZf6uZmfGCTH1qSAP35/DjHU2j3bFcHj39SC GiqKNFrOmDlPJZPUB2tm2vJOHnGYbBpc/EA00CtsKIehl5wsS4wbcCWajh5jKaBKGNh/PT6HiyVc NtDBs5Dj3ras50oQJHv8vTvCbLhbp4UVGrkD9KzS584ngBvAZoB1KbzkOz8actWqXVuOvQTXCpbw floQrDwQamHZI8tRjrtdZh3ONP5scb6ETpfkyHl0Ts37LlbXH+zENhDEy9Y5JtNC79RLhMkVa4R5 Rs+qUdN7G6vYLcvDG+rMSqDHSZ59BD+4XM/vqy8isVhaNgYkGndSCAoqAXowlf3FcMrsrt5wjQYd RdtuRsqoEo6CCmP9g5MrbIcRFEgJ4wz8WmCWkjHy2M4q5UfasYG1Qw1WbfbarTOVdgNt4T+ZDCWq LynLdt9ZaFx/bVBJWVnDF41iVtRott7M/96kmiK36fVrEjNFSSL+CGuK6cu4nwXrRJxB3jMyHeH1 IPm/87AmqLe5sBU87B52kfUJe+OnudJKGR6iu75ZAjrQqlOEdNPVB72rWTJ9PyPFPQE2Xpv3FLSl cQIR0aImhWBMdYuLJ8tFJ4T+g6jIOw42vSqN5mOL/VZwEDkguaaGKMfJVwzybYCunfs17FYOwaT1 sLHeW6WrHVcwqsO1z9y+DB0Z0AMf+s3u5b/2BW7FFghMyHuqZgJef1XQ76IfpY04uQlHOeckn6b9 mZe3wTCiNoiEk86bON2II/wrzzvuJRocjfDBXBL/B+ONUd13Bbv0jH1vLJFRwEJbiG/lcpDsPKGI /OORq6GMUefO5aezFST2AMEwhIn1hF/5CfLT/q6NwY9oF9Aj/rF4LIt+0W6Xu6JHSTsr46fr5uSR Ct0/kaY8tggt0xQ8Huf8sUFpzjdRw3+JpKGOnP9L3sYSbmmsjODBYtFGT1y+2J2ftlbc1kSJlqNY VspY8o7y9X1zpatvmLrEmPexqyVR5csYNaWoJ6pZ96tv1jQfnY4le+0NemShd0BEShbQ8wFkLmWt zjqMMM9DFxfnWmrTlUiokGP8L3/BfBU5lEHYVXEIRVZUdkO2XdI9iPQvVNiup9MYG2cVtNJgVikF 0tTXxt7hYJSZZvrcShJeTXgs1lfZBb58Z874qXLns5+9g3/E2jPBA6dTpYuln+K9vvGoKqVmrSEn XHsBiX4nDFoPoMcopyxkfWfO3TnzlMKlAZZWGMh2NuGXcDBcVzDqkcyXnTyhMiULDgT8X0X/MUj0 UCZhfkqVGJoSrYWwdpKkFdCZ2897CAaYSlIu0hw+RqHEmv5GeESDUTVIg/DkXyPXvdwBdhUcjw6P JVW/tGLyF9GLjpaTzSGFeizxqqFSGc6N1bMptRFkZrQ04L68GKAFhxNGmMWY9Ke+KMx2vefFoZ/v fNIx7we7DEtP/Bk+bPIKyVtIPmgM0n+LeW52TM1Q752QFsZWQatglOY95Gnqg8p2At/4/44Ohh5k 97hrG3qNeeiFqOFTNIg9UxQtAD7zq49ScjVzf0sChhdykyb4ZumWPNdDRykBF1f2W41xcc9BQ8GI ZcPIh28ILmafi2seTDk/ploosseTPLVuC4QMPpgRW/11K9xZDynbxkMBzhaU1RA4aM4B+ZnnsYcW xlj3+E84jjgYhzfp7oyi/s8lpPA/6xEBd9a8gBihcDOVjAGWVDsucm5gyNo2WVcokct/l57LkejK /TB2rzrsTI+bEFjb8EA+eGo+PwkBXWxtQhqGXewQgmLPr6Of2Kti+ZYEK/9wjiuzaFXbqOkaDG2h 3iHskKp5ss3niwrJ53k9zEKc6c8yEsGN5P8oTx+3HNtbdOuMWrWXDxCn/tDvRiGM8VIKH25bLVKT H+WiOfn0PKT8i+krFSji1VezOlAueWHRdHk10Vu4Mh71cO5zi1lXaxFaGQDp+Qz7IQ0qU/9tlmwV na9jOU6/nuWNvgHMjeG1/+JrB4fmCYeSjYOQxy1QrenOe5jAJnGxSZl59ieQ0cx8SKkztn+04cn2 7PunkTYz7j6bEbw8rs+kMCwng5QuG3wiv9BMYV7ElBYNro2Va6rACoxpXkWQOA3Xxfhi2djlsSkC P83cuss7bCZgLoWwBCj9XFkYngg9u8Ue4ZdDfpLuU92MHQ7H5J2U8rpJH850QRr5ywx6URrNpATD X5nGcVdwJOfDj89BGJOm3pfITDIsSnfK5ZZN3acE+mQj8MIXlnbESSfeoxLpIaSOqumquidfIbdG fDBVFUftQ2rk4l/yJrHRfM0CHfgzcCtTw8vx7jbzQBp/7eRvieiCxCZQFnbs15mLrg/E0XrOt0fd hYNII4OGFJlo2FHjKVXUn+Z2ovFFxslWxYsS178b7L0KBxDE4zhkwQ4dTYu7MxbV2uCnltuHJzu2 37s9dh3SGcdUKN5s37E6onw6ZliXEQYWE4yrNR2layWHrB9DQd1X4A46mYYWHRqWm+7lqQOOtUoS WLJQQlmvHyVVLq41XxyzcplOeRO96ScMzavH/AdVv78O6ZVt1rNiJZapBFn+xfsVMagAt+ll3aKZ /SY9Pw/2XbHHIyZ87vLV0OeO3zW/TkplYN73G3sIM/QfQlkVh4abwsD2SB2xaPeVnakXeIPRJZrJ LUSNZbezuyKP2Vez+IGpTzb9G28IHMTKLReQviyQU2JC0QxTo1MtsaNjpnUnymVuPjcD6Mn2m77x UgypSw2nt1E5HbRV3C/GymohGWrOcXhNw8K/7TTvhvIeoVtG/vcvso0yJpfhziMmt61qYV2fyfCH pRyl7qHxYB6+9rzffgHjc7xmf4THBvoSufgLPDbMG5TI2v238G3alhx5pjGOZsxYS5Bmexlba0C1 D0gYWSGsJ0bMI6BnH18XzRBHh1XN3XUAhZg8ub2nIO+HUt1ir5zsNkaj0ZPgWl1YfPYVKKh6cV5D TbBBqdf53jJlz9lPjrMYOt5u3oBkYMdG+U//GXVKu3RN/0McESiFPK4LQ3kuobnp+zUb7oEB8UH3 YejXGf6TPTXWvm9owps2og++tq99Nax/5zmgp5r5GcZeGDbq5mU79UcSWMlZK2vVcxQUdQujx0pp ryTfvIsefSEjOECaok6MI8csw6PHob6Tgc1GdKaWEVGYtFbIk6lWgnj9QY2FwxfHc8UBHB+k/gL2 NDx97s82wmJ1TdK773lI4vDeoXcs3kcrOZR9U1/wg3vSbFwpBsvLV2kUoIcH5LRkGgjFEHTl9gvk dY2xk43k7FCrNvIscHRwL5wf04rxslIOnKXWumv0LiwBMSpENFVGAFkW3deuZlpJzJrIhXoqWnSW CaSc7l+aodO4rdzWZAo0v63z3QPaxSeZMbqgBqYxPL0w8YOYj4qXyF3ZawRSwZSqnyJx6+H5kat4 M6DnwqmIluSQb1SLgiLreBIK9nRSGlXZ8cG1qS9e/h5llq8tdE+tSWoRieH0lv7JiKGAfoTt5lvR N7D3mryMr7chW4m+/C4x6AP0uW+bS57TnLXUtG1T7iFG8gXLMitaV6nsh+QH/LGHCWZ+VqreCc45 OoM2g/8fbbc2uCQ7ehfBKj2g5xeHJrO0YjkOTHjNqxG8B4EszA67gii3wEC2n99hdlaxkaL73YFR mM1exkeZS7xzSRUoBJ/vRLt54eqmonH8tNRoEWlXFO2eATum08qxGPcLD01sR7klaS9CimOVA21o /kl6EJdhLtkSYrV1GbQFqERGKWjK4c/+/Pvydc9Tnt4SoIdMWcRrZ6BUJghU1Xe8uJ0yatn7qSf/ yucKZwoNocWOOm2ErO/14VwVk++sMzKP/rMciwjprs5uiX+6fVSE8CGkDCJjbDPTudD6FFtHGlcx sFVb/NKAGDM9tpQszdSfvZHTrgZnaSfF7Trv9FfWAEI03/sNKKlC/AQcuTxcrAH0JaDH6hy+SKML nY4rWr8POya90kFfiUz6OO1AuU2AkEz8NcuJgl7RU2Olf0KJ5I/htMH+mVgxmnMBMf+UaNUvXdmG jdM6fJVw35W5mbR/4/0XQlo2vZyPjCcQ7h7cEVJqqqmx2qRa2XZNI49HN6WUXQ1Tp0sVI8k/DLYK 94tsHcOLe1WAnmLlg6dzuHJS+F67slMFqoqwb2AGlZP8pLpG2eAXzkQzeyMnj0jCMhMxNfkJVN0z nX5//Vjv1I72fXyjj2QjkeGKE2C+HK8LgYIfDwx8wkNsbiDmoCOEPTudTHsscd7h20Szr0lYy72h DR2OiWrEacyS600Po3ahWQPFO1QSPIs5QE9VBvTLsvDZ+IlsjoK7XHUh12Ay5qisQDtRmWCf1exO 4sQ/3eSCe+NN1jRzBVbbGVRcuxH6beYoCJH0v1GVCyZXOMhv/dWdVr6RluAX8nXdmt6brlnSRSMj g7Xs/gH1lONqfHB0JaChJpzfqdgZCQmyYn0ZvtF3pct0ZnRUTISVKoCeUU3TC9lAOB7hH8F5FGR+ vV+RQ8y5hxf9s2QTIbS5hOhR9B5JpVfSBzyJURKqhlAUII0Oc7VJEg3mZxoHuuAyRjqvb+/HBYXD mF3Iy3t3KsAQ3W8R9uT9SxiOc19WDFeeZ86Beh32qP5UkjaR7KSoD9I6WtFzmNHZ4qunXD2gYPiA HjB2qARTuhf3Ie/rVmFd2kPF+lMDJtsW0lCrPkiXzXEN/jxkoa8cnoFapUYz3uKQN95adjtMydGQ pOK68faZAy8F/pOaEwPhT/gpO81Ih1+bujVaIjcWUMm6L3U4FfdaWHpx9O/ol91S3FCEPvnaHuCb u12v8eKKArFpNSGxQB1SgB6csWcqkh9qeMPPqatd9ypSJN68UoI8bM8A5/l+slGe73R8HF/zoZeE D/lasZCX3Iu1I4rJizWwLHs9SGJZ/htbT9yLu1ix9X4JNBLlRXffgRHOT/cQEK4BQzEBG/S9y6rJ Hf2P+DVnq8iVn+CGjRPHkadnCb9wNLwVSJv0CfvCVEDPML13J0TTQwIwVrSurC6LsW1Pk7uGfqLi x28mKWvS1TC4IrBhzvmW8WtCq7uKoS5/NpMuCvYUlS/BJ4KQZZIunX0iswO+AO4sRLysYE9/eVDB Y4WGoo3woxI+W6wHgoZenpMvBLOcA32qrk6gD+2G5Od8FtjznO3dEERLsfQaEGhATyisxvoOb4xG Qs2OTRrnYbDCuMyvD3K5bnF2yEaWJfNWafPaClg72SrsIUnj729Xz1OnmVbqt5YZ1O7FeKftCZjJ PJ4KwmpWkOvgZmwg2WX/dva9zC6TjryQWqQCSXIuQ436lAg55OaNMN0v4bTTRgEd3BFFlIcym9wG hvDj/aP/+98FDXUiVrGNhIbwKnwXx5SHWYh++WV4mfu6uS/Bytxh45BJSgHLDtWDqH9B+xjIy82J QfOjZm5B5nfXOsU9ZIgATl9WeYNw4p9BJ/fqbcVUtG5nAZ+27bb4y7Zt1ON0HP9I+tOZF85h5I8a DYot3AI68wpv1q7DRJY8pqkAR7N6BzegJ1pJkLuEam+zIbkQM3AdYe99Hv00tXXkev7gOue1LzZe PjelYWe4o1RqWizsVwMVCaTOEzg/Oa3ccYfnKkWMrN3ma1+JTTOu/lPFO1ZswgeKyWHmD/ilhQcb qj+SlrAJNDHJ41uV5FUhJm09ZFzLH70CBc+PTutt9WroUSL06lQQQI/g1qeYPbAdClqwQ/FA2thm iqn8Y8DdvEWkxHJxU+pAkU7E+1OhIrDeQLdEJb77PcS44W+X2qvE5y/qm4eiXYfhxnrO61mWfN1/ wdmW1FKcX0JRT0i1S7rf5CQh2jBFtBlGckrOKCdzt08km6g1mW8IX6v8Wl/SxOj+QsAFY07LFYCe vifJ3h5hOkoXvIteLesPcnUgQyoK04dO+MqlfbFxjmf6TcvZuPqAJXNxfXOdMPadydFE5cw8VNqD hht4CWzbOcgw3uPR6k8pmG+vOY1CFiFjaFBCO4Jy5N8PDyVZBZ6tc6hIVDWrl68WPQcwRz4Ldwa4 Hc244SOZCruwXTnmDMuAHi+O085NWqsdJZvaQPwZVts2PvyOzOivdC7Ud/oIu8FlMeiLESuxmSLL 1zK4HF6ytWuGNCC9bqJpqBY7B/JOcKbyoFur5+UAGCYyEBUOMRzdqLAW9am0fLamxj2RBDg9qPrk iOOz7xqWEMZJfxDTe6dQI7/hdSChgY5ALQsbioRWQI/HLGk0P49WjDBYLK3LaeYIf8aCUwPmASS0 rXF+Rq6clruJ2gK6nlQkUQmPEc4kqObFnCDo7gAqXe4wV2QBhCp7GMnw4PKC5Lbi7ihuiOXbI4h3 wkPbTr7n4XpcYEyyllUUwYoVQmJFSZM/r1ZmOfpfyMoU+vqagVn538ee0isuqYAemqPQXUQ7q1iq BUYGXiYq8yXgsPX7vxTLl2LuL/eiaa2vjVDI2TV+f5uuwrXn7vAiKuDZn6qWfz/0Iew0yzkXz1y/ 5WbOcV/yfEu9xxDuau2unW/+5cThb6SJU/ELVqZ5M0kesr6efCOlppTcupzxrL6EeuxpiBpCzFKx zo/A7OgG9KASR5g/SdOpnSkImpaofdHGtrc2ISQyJaijhtlv2Fgjrn00crd35qwLruTw4hWHX9RP Jss3fJ7OqMyzUdYNzcx4MlSKMG6b/ZLwvMcWracAYx47GF265KaDZ8HrQ0Xzi/NPNbjRiLOuluWg z5ybe6+C4GJmsa9imuBB3ylb8kEvAPSgF7DnLiKhZbKSG3mgsq8pya6J5CO5rkZZWlO7/6CiKT+z mwpoD/W/JZQbjaN2D/XhRGPCcKzY/fuprv1JYDX0d/uYjb73KWSUv/9Deky5K22Q+Q2KV4XSC6P4 p7QwK26WgqpGz2guadRLo3BxCpv0GoHiwBVlp5DuKvseddvJAR/Qc2URe6CBLc5c3b14IN6HlDjr sZ3sMdZDFLljiPJra8KZ6sN+UNk7XrCxBpwS1ALh9DzfxYnX9zbM5bsRB/LexKld2RcBV/RBbCVf uRob+oOYElRgiFAUoanTKxuL17rti37yskRUQCOmGBizYSHpJSkxulacE0yk5DiiEq9ZpPwW0JOQ Tm4lpAzm1un9VTizOMa4XE09XpZHouXOrOXyghLkSEThmHYcZEW8bmRI629YpJh1MqeJtA2hrOWe /z6Tf3BRwSs2O9D0bblUCJmR/lseL6I+hhwmFP2vm/Pjfgq5hrZjGXCDZkcmXy1hghnxuzvNnUhp YECDopM06Zi9zQvfKKAnU1po6gWNt22dvLUt3HxVR2rcdA0flWI6eQrULEdfsSPXv+wf0qjFVWYO Hya0c9RmeixGkRN7CLvGKL0eHXaXOPtUt1m8JIbpgYmW0N0jw3TWlgeMNw1h0CFSHo2cT6ibeKVI Qn/34sd9lmzXHo5XE4NBgiEaU3/agop0SBCH2//tt2dkrwL/u/2jpBTh0jk+ZmL+UP1FOV3DIo5j dHygX5RnkcC5e2QLGH1cf9XuBF95qoJeIxrm2sj1Po4yyjaB8SwVyAAFuSWBa6szrriCGdTbZ/WC MH/1OPPos7zl0+T+CKzpRtOl16loYCnQ2iHr3aAL9ML2Rsv+WCqe3A/djkhQ2+S4cmuD7QLX4I3Y 5TDKnCHr+P4Lf83KWBxVkbdM/vfXob/QsEVf3ld03j/wpTUXhh7JIWKjPDG4qdTST+XTzgQ/FdEI x1ywZe3UFfoXT377XtHvNRMs1lbG8Kq81XzQo0DSr4w0QuqplsjAMO2QB6zoE9aoOzKnet/8eh6+ najpv2azn7zPSlbz+NJJv5u9jshAqLzWNF5975jrqjJIUZtD/WInXoR1UtkykRPI327m4qUL61Mj OAgHwDwKtMJL9l0OYnYlu7nJBk4T8FdH94VSS0n7dsmUuSUZpclHawnwPICjnmXhqLFuTDVqsw7l yKOjMpFbKmyj3LHmdp41NA8KdPWCk02oM8QWawuqbclFAD2Pz0dolCvKtRCikioFoO6frqeoeKGi KZia4jHKThHVoEfYRGSMxdUn7SGNNavyyESnHm/XDDMyw8vyAfcz+m2UWxa8Rx39gUKy3fX9YA+V Z+bT0wtCvoa8Xi0Y5A8zdvCRYtg9O/WlkX8F6TFpp6MfYhYbenxXHZsH+2PES+f0PgE9xLZzHNdJ Xmj/OBvJQ5AtZtEEzJeXmhqtx2Flx9W/FXxaiiF4myiXF/BMt/QvB1Ev0EtBbCvolEeEpVVfcVPM zgjLUIDI6XAbzLKnqYpEN5mYeHThsafPwIMjEKRj2jJLiW/bqwhY6PwfcV4YUja7WTn6+0aa3P1x 57t0esYteKgAPdUSq0y0Tv1shJBxq8jxgnUentNA2vV35KRvLI4sumV/BTB0BFFebFWFtdyKxumZ 61x83kqNnd20vhjWCcbb+VYMoXBe+htFFiQTXZ4nWqaL1kYEdRyC4VekT12jQT7pK9QjlnVvEAIF ZjzN4jf0Kmr/fHz07LdUoBKgWxU/uYtDA3qmKnVXUjnsUNyinRy1n+VQB84Tay98ajqm99u2kD3e urdEPPLnNbE/ysmHWU1TqFUg2PrnmLS+/mgFLFlz1OeVdnrIrtjJwFC07SoEZAbBbsWd47Hulmm6 G/c/hOMZCmkt1877ur26EXbJWjCdvWbZXsxg/8OF136ZYhrdG84qBAP02BgYOkT2fdfI2P0kwMNo /4U8dsfTI5IkieHFds7WjNHo8EQfSl3fgIELssh9R9LtPo1ofWxZIxZvU4jFEeP4/GZ6nuZuBgXd Q1b0sNDnYtj843Gad8i9FiPmyb6pFUAIXbylSNN9MeY/EOyHpR4FeQZUcUHp+YPkYYsrJrlWzC3b OBC/JC9dJNUM4qNJQ42ZSX+hx1U67umVf96SWx40ygKv/mX+bVfhDFPcBpJr72IMIZcEExWo2CAB NRYnFHYurtk3ZOfy6rd+hvRZ1VV3HfmzcTX3hVH2Ii2I8u6HZIvLWjkwuS3MJiP4JY5Tqd6vmX/8 MhWuDadIwzHm+xSsGmekKVetL/UKetm/R/2uLsrZ6jV5wZbj4GcMxkKpCclOyNTaT3f+xbe3QLQ+ Fe8Shs4eId2wojrKF4KSNlQ794XPkq6sgXWAm9jvCEoYPMypiprl66AkVYrYBtGpib3m49HQjrHe P3PEKj2DK7kvYFMSJLsTOQafdTfWhtVKrZ65/WJItZ6bGtVp8xEe92/UW2zA28jca1tGo1sa6ByW gK8K0JO45Yz0dywTiyTUUnyBW0A662hBXcJkXXGrCVEnGeNfRr9lFJKUF0pmH1ybqM3WjcnAyXa7 OxDTPRXWNufRreHFGKgLjGY3iLBMgDk1kadBWjO6WeZrYtvvEipLTCo7wy3/mNdm9DHzMXhNP8NR CfQXYgWs4aviL5GroIYLrQoNc0BP+y0bFVkwIbYbsVBy1QGLfoIw7qRwxPbsP0+n0up5gRO0hT0E OPL6GppJKqec6mIpcXBJC27gzEovPOxL/Use8+CxyVGW08/ljdJXeY0bAwSt1Pm+l+tNKAxn9eDJ uvni+HfXTpw0Pmc96XDMEIcZP7FbZThtGODxbQdd0UDcJExAzy0MxXtL/8ZyKb0uR6p5WGCKVZHl IMKv7UpZ+DVHxA/9805sPS4o/ycM1Yu31dDpWD3U5kfSQ/q9pOn0UUzrYeOg7+nm98aYBM5QJ3CW nItRyfBOgo59XzW3h1VJAb5XNpSJK+dKOLHdYirNS91pe1TLNNpU1Q+ayaJNho0GoO9pQM+CfSjD XGmc5rfVfMwYrbN4+uQzrbLu1UBU0yokMH/nQFxJPErTgQGN6btpDFDyUVWHQdP6FLazooazI633 M5wi2htmMHrolK52X9744wmD/V1VZEaa2jkoMgeT2oE/ew3yW7GCyrrWuleccNbBWr8+04d+/17l 7j26rsViwk8ADaDHkfOfovnaithHCjWPaf5muInykRvMRih7sFJMmDWTkiRQn7KmAj3BDByqdE4e Zqy2Doph8yflJ9kE8h5Jf6BP4cZfnRwdGEc99q7uhTDnIOj1jLRigVcG9L9xiOYO5uppJhHoenN3 2Bb9/pDDDO5sMaBjoWx6sI5x28+awN1piBsYjc6ErzUTQXX8i/1CsYS+yvPgHxpBfTT/emge0KEe boeqB6XFU1/bvS32SIO+Q7d9BpX24wyhTQYXfryEgOd2mt7Ys/n52ISIrfZ3g6C6bEn33NksjaRy S3+xEKHCtVzHcbj1+R59sT1+BzdZ4UTEGom9FIokGbzHhF7K5pFjE8De0wivZpzlu4FD8C5MpT8A ejpdcOEG/bQtJg/hSaisFlzM+kZsmd1e9/RaHK/XlVebfRs71DDbFQJ00R2eEbbTA7BkBxfAmEFh 6NNXLcGOCJPzN2hBjGtT7WKFUI3ULXvVfQ2fUg1mga+9sB6RfzLYL4wgtz9Dz1k8lEoVhAe8LsnZ rnqArL/HH7M5L/mkfzS+AT3N+5h0NGJLdSiRVCcH11dWfysGvIwGj2jo7YBl9m0jlh3uunri130G ED0Eo83RLY5/6tWWO2HWCzmNNVJrOx6EMaO0954t6wO2NqAHZK0yV9m+5ZBryfmX2FneURp5gmIi kbSR83E624EFSqbvV8KIPQ3Ma9JvtCPBtrJsqpjbMQA9n2gKLj9zHLmft7iCW546mL9U/REWP6OJ 0gVYLNncy/JUMnKj1KyRCY/kPKWV5EF0g4xQv91S7crbz3H74JQcNnERBtcFch6qgR9d3P8lJTJB FMAahVdamAQLMNcGJ0BR50baChPdmwnszaV0Bep0l8c57Y/55lE3HYeggCaFm5gAeuzrIhbW9mgg ulSGiEMZ6JM5haeBFJLnMu+G1lwiy+6TbTt/u8LNgZidBGj5DZ1+OAZpm4NUo/cM4X8QfYMdp1pX KXOsq+WgHfz6ESEqYyEo/ETD/gszkt430HB5FNGKnsBF7veIMzrqV47hADSZFCD4hcYvjQ8dREaD stiY71PeDughr9NuNrafpGjUme1hex9fFelb38A3y5XZ2Q14JIVr6ddh/LGXlBtBXPsSsPdcG7Kp yONfDYr2WCXLLFZibYKAZnQ/6pVPIOrzqsnCRUlyQbH0tGvCREeB13uze1F9+UMJg46D85x3Pr3J FE9otiNHATH3uRy9VEKU6Z8+XJXiEQfo2aY0bKvryBw3sZVOsUhLsJf9wqWns9pNTCpP0FQGa2CL g37YpyJfzE1Mo7WWSzrdIPpnIZJjWS8FNtTLYWFKDAFCTqgZamf+SqDiZthPYfiH7dfTLLazTVc/ A6HGn8cNDipQhn5lLwqmXB9PjlBhuwbCcFC1P8+jQ0D9I1EcyEEvgJ7pyYRUe7Qzg7ma1NgxlPw4 SnsVUVGHTE1mSbDEgoWm/L82Vp0T7e4aefrREaCZQ7JaAggjktGEzI5Kw/5elJroECuiGdkU7HHO UFdR1UYkD5esJ9j4Tr/AdmVQjuoPqotH/Z+hmmcWTP+u2jZD0kL0nF0wLIcm1yF/pfixnCYDMwN6 CrHhj/De0DD7J4zyGPKFDLTYGtRpavjrSwkTz8KOPmVy26/Mli/zPMeeVZwvrx/uyLWmoEX/sYHU fkHj6EUI1bVqktAmgzeM/2IM936AtzjdsqtOfMYRSb8MCMYSKzegChdDzdZzpgon3uk2StS5Ead5 sOKPGv+q8xoOf5L9UmkA9Ahe3XSRm7ctcu73efEaHHBtM0qYEkyQjyQO3fwMeK3brmg5XflQgzb1 Ioy69RrQoxWn7krDmJxT4aNlxLq5p0R47zCGVHrQM/bGGX1eyC3In5VCzT7omjDm3S3IIypoTHsL SWOnqkE98Iucf9W+Cm4ncnL5NKvLDAmne0AxFmEmAXo8Yzf/alhHRGGUDiSsXwbJy+4q65si5G5P rwqgPgZGhHu8wFEfO9aMJ9mhXAi9DA+IDNiEJHg/KNSJ3ZM+HlswrGYKGVSMYxS12h6ccd927Ql+ XME8nB7N3fGptXOrJspMaxwuTvH3lMC4lhDfP72JyOfaf0R3nw5sjJIeQumMxAL+7wLPicZPn58G Cyto0yDvpyt+ybiLXj9I2baPdlW34IaBgunUles0XTYi0i1ECAcPq3EpqiYOhE4KhcMD9fuRBnTY SUFb2w8uFC+WIR/g2A3ezBJEhrZY8HncuNhBPBXJ/FpyZjns/b3KXaObeGhFdFfJqzU5JDcvDOqD g+1syUwcCujpF84PlTC/0/H/U3G5JlQrL+Qdfgfy+46bpuD9c9sXKhyH5gDse4XwgXgwMCSHCzlW BI7xslNxULfUmEAdZzOvVaZRdfLaer0STjDhYL0FJA30OCzxWh0NC7zixS/qqWj1QKDCbh8cKqmt UeuetuO4IjFr555/BqK0gi2KmYwdSBbQszF9B7pFpGiDJZ5+nh8SmW5NStH/vTX1ZgpsCMKTffjy dp0UO5ExxO2jlOQzuUatRlbNGyxX8ZQSlnXBz+5n4g+30hOGHH1rSfrPWMIgazuPoQDq9+tvj26o hcqVOUQdQoKEn6XG1tXy5sjUejnhyXeQP691zcONj/EXZVbUqW0lUuEiwJ2jB99HalGE+L5A/iEt svq7zq26jD0yxbSFuFFaC3OCG/iHvOldxlF0hGV+URE2ipzlfAhCKUx69pdgoGKGOyZPmNiTQfN3 gcVW6Ng576H6xGaTDaijz35QGKBKIxTECOypuebuuePDDNtFWJmKOT3a2YYUqXDLW05wIByAHoFP kbtZtcDJLy97xjH8M5MMmt9W+Hu86+qENs5XPel/M4vhjQqi+cAHoOeYunHtdLfZtUvmzpERb/Q+ pBxPnogRBBfJQ9hnt7m5GBoYwiQK0O4ET5YsnWL09lEq5E1YSo5YE5oSOaK2DzLEBVE5CWjmQZ7i KVS9o8YxYIN8qaDxAT2ZBgmneekODqoqQab9fV73cI3i3EG08hkjwdS5lMIajvkZNJgaC+2RXQPI nxzELgP2jPVCLRg9dClBDa193n6ml90I5vOb7Q9F+QUWbeinqtJ+FjbYzgvw6v1TMlzBGxPp2RB6 yt0ae5jS1jyVl38S4WyMIAvjXZojR34YitgamAE9A1zBU/fDj1squhBJtx6uXb9hVugnGtvFshtx rPaRYe0PJL1FMoCXHSKaOA7bRGQqPnkeSA4FsDmrHsN2MEPQzXuPlpaa8DOM0z0W+OqXStEuL3eC RgyN/Snt4sRwK8Fjyfcc7HZ/yaHXhpyBTyCgFoEbfPY7BeNsBO90m9EEni8CejSKlwJ79iip7BKC glFqKkaeGYPKpivbsTGMIZRZeSpSK3EZovaLH+21EvGr1LdNoKuSI8vdjp5nI3LLXd1sMIdegQ48 PuP4tJLHatfiuKN7+gQTLpoZalERNL/wcVmMFPLQYvQxBi6aQh77CtmcHbc4BK13YbaYnqC/6sVV 1UohAT1PT2tnqKlEXFNBXhIDFcS7BajuEp26DqBEpk+gYzrKxUpOiD+NMSdxzltVt80ialMM/btV z13frk5baDE3wTYeWfdzbMNwWY6O+Fhfz5uBeEKMFqOMe8nBBzXSlMAPNji7ruJbZhNjRumOI2md YlMkDl3XfvotDTcUReA4g4KC61t7l6L6EF+Ei1lPocFQ8r+91V9GrfOBiJIFJRj2qyt+u1ltm7+o z9+3ws7PO4fccbkS4fppDiPCajBMOTdX9uw1Y3SqOBxl/VE/WRRAmIeMM+Jl5TvPdVuqXB2GMqBx m8BL3d7cWD9OCcU5KktPXjSuxi2dBRf7OHNcMFQs32fSukimyiZoXvGgDlIxvqItoOPFoh/+4dSC HBWoIMUtT2mkJEKkHRp9iCEjoCu47Np3Jju2wsBZwJXCBENtgmdhRryNjMh1BzfvXXWUmvdmhQGB 9ZOLlmA6EabNvkHAkTdiXkvhTQgRK6C3M7VuvcLmA0ZEYwCBxDThs/yyX/8qdlAqZZlqkRFlW7Zi dAQ3ymtc6S3O3zTrjp78H1n21J0HowUBOLZtO2ls27adNLbR2Gps27ZtJ41t2zrn+v3+wXOz96w1 E0LDwz1xRAEG6LGD1wfbYUTlKDDBUatwbdX0QQjNc7TyxpxlbSm6OpE41ijHCxQ/M9c4+pkO3Wbm Ye9Wom8cSMOmseeGXB+jZlOUvSG/OeqIn7R0Tp1WGIUHa1LfJFClvzuboUXibElVfSt0DUBxNUl6 mGaGNRqMvMETn1K7OMDv1eaXZ8dIrbs3AvR0BW3eQrQuoYZnINLEyz9IzeSSfT1ln4RC9iEaXLmX QY9C4VOWh3avR3i37s8SYOOKpIYygobdb3Rz1WxbO14OfrnROFVQBR54+ITvJ8o42DCX4BW2WUgL Go7OiS6PAHmMNEUM9PcEmfQZRTtb4RWu/CltSaeceT6ehs+H74FMjAP0YPfyWtgx3/Bbc3VsvN7P ZsW+1zIgJmmQLBq7Fkh0T30H+ILd7bArdSRez3up6U0Wro62VF459PPKj+NuTYhCqexT51pK6TKo RdgRVk7lGWY2Wj2pAbu/TCDx9D4b3zJUI7OsqEjLvJ4ZETDIhElS78nKk3OboOFe7HL57JDjcWYB epaNmzDkCZMzHSavzz8PHi7IsgRCRFkM7TwHiGCBz81tifEIoUFH4NDcBH+WZhQYsu3YsbqlfWmw alJedq0NEro2yJuz8d7xCKnJUhz08MfMDeKpidE+eR+L6gqsS6W2iMt8Jnmb4IsDkSa6M6uYvEvL 6jp+14CrkJA2SBJQ/WE8AvRAzD+AsyyCDr42Ko6sH8m37oqMhmw3djdSSuQ9Ppk/jjjbnRJOfz56 oGZzmHDGu3UVcoo89YYtWZP6CfFdIpPWeJHLxodDTmCfCpFWhWz16L5/5yjG3gbyLj/wSatxXQCb If6VDb8/almYzqcBJr/OMtTdlxZU5hGREvqQgN0ybwX0tHlKnTuzMSD4GVgr/R7NfIHZsrtfdWk3 jm8cOuqYhu08OdJ6vN5M2N/dLKWYUapPW6MMuZ6CwGAim6A2V84Mmw9hYWWkZQSl8ioQSUYPRWn8 7PYMsUCsNflsZbfQPvZUHdItPAWDdMh6BD5AqIM/3VeS1+KF72s34fgw9hiE/7B5VcsalX6VPT8o 7HNOF74609QbyWuoVfdkXkmqP/xFm78/inehS6e3k7RjL+ZHoqqjUh8NqcTt75PJirhxdCagOPCi gyq41HipCc8rk+QMfDAX3x2nqZt00YqhlPZHVWhuOeYpLld0Qtr3QjBvlBxOQP+yl1X8kxFlVEvA tYpIbMZSMrmExDy9oG190ELUKjIR0BOWAVOQ0cZvSmDFDqbaYD7/eLgmKkhYYinv0vEJXfSIbQXz yCErGBnsaQIf64tENZHNYXTGFNUUDarWBKV0mhNBXBn/4IoT/I0aoqFIUePAYmJcUuXTUhwIrf3L 1/0CSMHEU1SALFhJq9oDCRx95msuWv0bkld4oU8WovFi18qyBRJsOZ27xxX7Ja4mhM+Hy41lGSz6 INhsbXifTy8kPiKDBfoH2YOUks3FK4IHp8npCy8zG/7xqiLshZFHUtU+9YwyQ/Ts3Viz9mXxalQa 7BtWMnPBT1zX9TRCgIGy52s3RN8Hx7/Aj0yn/aFvr6bXSlLNA+2PAPOUPjFYWsbiK+o4ekx09Z3e MuvXqiPGcca4OKAn34KILBfr7+rNFujaxEC0Th6tgL1MbGGIQcGK4RvxgGIpm4pums0S1t5w+gsY ePm9IOw6tpmX2hrX5piNMPMsezKI9et1Y/GiJsVQxNK4ovDB8E1XlgDY5VueGGkl5pn2VgUtFqF9 +7Z+zm3xXahxnOMp74DsMGWyDsndh8AIkjygR/+6yyN5BCdvGTVTvoGPSSFQsvIsGHasLol1f1Xt 93CB/C//gXF1rxP+Jy3EK4EVp3+e6FUTRR/phkE39Hd9l2dWihF2u0AbzoS4S8VL0ufX1CUUo/9+ iqP0BKD8ZTxYAu08HlW5XGbY3xFTRdmB/mH/6HSIkMAVMB8RydYSL+dUA3octqpm6e8CjWVoVWQ0 ZjWJy5EaXX2f43561PcGdjR1Ypfyt1MzvZ74/OikLKYRuFh6bN/1ve3QrqFymEZDnzZA9zD409+R qWkzXrPw2FBqcRQidepNgu0neMkLZ0+Z4KGzGBLiKmzhUlNDpcDPYurjiD8rA4xIBYmAbENg1LTW XwA9iz/Aox0LEbjYou1InavBk5kPkkGtLJFIzkQuyY9lgaOdLAikwkFa/eqaA6ES/lO/XWEccZmh VXnW5Q+F0zai5ZQjdc1oWHesYcHZ7HoKXkqgD7X/1p/kIniG8hTfLhsprN1ZPeDrU9nr3eH5mqtv OGS8KXBxvnkNgtff/YX5W34J6Ak3ZMRz+Fza2ybU7jjIzN5R9MxuoW3VA9Y945otmFXk+Fdw0buA nhi2pAxk6QdjJovFPeJ2yrtNy5GjaNBQcHmFyTqpLyeAzp4wT7kd8iCLwW2GzvPe9f2Y6G9OAZ7q zu6Rh8Rne00ofdeTaLTym0/Qpg4a8cQ/vYQLHuOZAzkeE9ATTGk8cHAZYfLNBEEafkk+RqSCrkPl UKL4OvfK+TEgfYCoK/WN95RI1llpBOGypIfyK/a9fA7VwQYp37ziB8dxrtu51RR9+LY3D73/Tyu4 M2/xWwOZYBIrjDh01yEtNheDmC332EmPkbziQmvdMp98YhzxnoLwHL0mYZCaGt/w+xXgPnna4my7 wQuOIdgVsX67vOHfWOcBnqyYfmBNDDQ3XVk6r9/4QmkuqkqUcRNo8dHtc7cdcO3atn334vORVZJA aXQIz1HBgSl632bwkIXnClromary70iBntO9sg8Rpx2IzVAbxOULxhDU08S2gkbbDJZtY2cWXw6I x/wfE3nq+tPuO6CHo9Rab9MrpOwLJH0aS037+aOa7X01cAAfI2C/5dpQvyqFgzr5uu7o916jcLrG cGH1H7s2jzwPy9Tl00x0PAtTh6jjtwyCwK204NPzdWaIO++9n3zRe5PpyP0LjAVkBjnJhsUPgcEE 1BMjhhCcVqtsgQwVeG9KdS0EvPDDRthE9HBADzop8HwdPjojxDzIz93vC/Z08tdlji0M26qiMHmd IJDgwXsIL1evm69+TUZlGWkMestPli+5yYFIMu9TSfcr1c013mfjKLKbkU1So/PD1il6fLp2I0hn ni9BjA+bnoUTI9N6ZRuXkx2l4v6FXE5tQiQEusJ9MBWP1CW5C+eB+MoZQM/wd22LW3TkFXKT9lpB EYMmaZtd3nS8wlk8YVRjdDnrQB8CRuCyhQUEvRdeZ9Gi0q90NRREAchNHbH0sykr4jFPTqXVhioE Sur1dEfLqkXILtq8lBNEpTLKPGtjgx+r8N88CDMdorabUCvjx5Zhqk18L+KdaFSmy7hW4JEGtSK4 TICeX2Xfb37HhPqXB9Fivn+4L9AlSYs13J35dDVdfAKYVTNkJprxQ7OOB35iwEWQQV9/+ppIRlQi M8xfL9rNFn9Lf2g71d2oOcME4aNgwpvC2D7CLgSZI5NYya10Kyars6K1NbVrOTbkdsg4Ri+S8x6O iY2nTZ4jO0xqv/QL8LWQ354CesaPUnan3tv1ceZ8s/mBdLMMYemJ7LDCoPPj6A/1rGc4TxmZEnVA hSsdtFSe4l7/Cn7yjfNkba2clWVtqzgTC/1LlNUnEQ/YQu6GOYwADy7du4PnRdmyWZfLnd3Qo3gU jshgyE0ZXv8HQsF1h7uy3Nqwt+NY1EZDKj2zAVtk+uDra9yQdwTxhktoWBMlMKj3C0Mr8gqJLr4e 91uUayhZsF1Es7wBvxj1cl0aOGAVxHPWBo1Gj0mtiSDxRkxvhxv1AGs/0dK/FrF5OOTfX/WlLB5p cnY/i7/0wQiptyH2fmcVY+imz116FYpOPW/a04L38eZSrYO6JYeY6Od4wQfv6gc+gB7CMud0GQbP tCR5DU+g9Vk7bHaOQD4e86h/IutS98ci3kE6rryDjr1oN/AT/jH1njLNVnA7ctc7PRXwDMP464I1 uCWfvgaeDg268pzY5gFgitwmsLEwEExqq9wwg39Tln9mcPc0cj9ep17+Gs5mc4llLnH9aJ5YvUU6 QJCneB2J6blNLGzvk0AaXqc+ytPuEVv2PovuIS9joKFsqidVQVw80xIWc7IZdsK4l9wRiUjgRPLd 0UzwC9k6S+Ym3hW756OJFfuwWanq/3JN8Ob8K3Ms7eLPyg0pBsd5HYqk0mgZz2ArcbHFT3PPzqXG IlOjh4qpn+vmqEb3FqRmQozHD6JfWwBXI6evqy76PZ9Xzsn7hgLo0Ral4NmzRXlLbgkIr3ehQ38g 9AZhBc0SmwQKULUpK+vT+FuraasBWrvANky5tJeo/lf5q28zpx8BQ1SirwnoDLWXkX5NhSNwxw8c jBdNs2gJct6SWyML6V9sQxsCERhmrgmwzekMQeovU8WO9V2/3Y+KyirsE8NX0eQ5dGdgw+5ZQM/L CWxdAa0316uhsixd2EMwNwls0feyMcHHD7hf99dkN6JeXDGH2LXTrLU2tD4F5Le2/AfOqGvbMUQf tG2OCRw1yI8DHsyXRICVkP365L42TFLAPZLx9mVg5ejB2Wkbqlr5S3gAXvmA5qfELDKXDVOB48rB NGT3UTxfp4X2diGaNKAnpXO7GyvYS4Q7vQqEzw5HxdgJG9u3bN2MoxRH82wYzLlDKpkqDQH3fgJB EaNhpYT8ZyOxoyebg0yiP80i4LCKvXElW8OMDYRBDwl5m8Vi3x7xt9p1BB8MAlBxXigNMpabFQlK YGS8iPtVHB6sZwGND2I2262q5gTBBR2Yzk7eEDWgB6EGO2AxrUJo9SAqnVLHw1HTMB718jghxIS1 RZiodcOeRQ2W1ewNPwuWe6CW1FoLqnuBCF76Z4aiFg3L6Cp/VykY3bMsM3URH4qhJ0Kwkf+P2meD Ynne7gfwVkkOgn/QzDqvnCf6MVZjw8UxjE839i8d5xafRp1cJ9FJX5hOWGJ9QI9gGR4y95PtPeSP JXdvrZONmMWIoy5Kp7nr0T8jtML2w9EKvTOtPOEUI7z4VWTEJNAyuslcfB0hqPEji4NUQ2Mzjq8N wY4526mILaI9MUL3OJ/sVRTb8EZRbsZEPVP+BsRkb5vsiP1QrAj1x9Hme3SswbkScGjh/Drx0aZr OY/FD0CP2kwdAoKiddlxWd5C0ajNEW4leWpBPoKtjXk5AXuqpVjTl7L+ZfPZKW8sj9oBLm0HeExQ YbVQk3ImO0LRmMKn93ZxpwIFCxwmD0yqCpdUPAbtppwFUSOy3xx5Y3uKFXIMTSOzc2sWrCk9cSVD b303BVMyBOs9imRe0Kg70nxndEG/Q3EraQ1sGYHp6mICnmnzPYoUociQNQxZvbCd6PQ9mfqAIqZl +nBZVYnRHDNVEPjq+LQ1Yxg0ueD1Xn1pLZ+ILx8jNXcLsGfe0y5loukrIYvaS6uURQyLgCbo7M9Y oBeb6BBGjftyBFBML6XToG5kM0zaXPS9u8eOJ/PmujWHmuhJ+44k6EvUhsG2FeLdziigZzP2BWqA i5T5lO3q4J+pwflWzGT2iQzECHtyBMLY7VPsyMl6J86c8evOKBQu9eTiB45vD7zTu3nPdCOKLnTU WlFFhn4jI913xCuH4E2BzUIV+9xE0zbSE7oW5MXvUaDHhqfHTsPDAvjdJU0dUaEMsy3dsy76obk5 JmMWSw5U9Evu+id96fGHBwtsoeyaaZtd6zXOdaeBc/RQJwokMWHyaaozQ0yt2AJOPMIYz5jtQ/jq A7IItxCvbJmqU85/ivTJkj5FoAreJ+elSjdiSXLojVVfK8t1IoGhy1zdhLK/86BGK4Pc4fZerokp WQgF3N2RdJsl6hs/jbwaOPDvb7a8FNKDapKN1OsKLtFybtq3w7K1AT3EO7TnBwG9kSAcze9M3WbQ fFgXjiXUs+mp71bR03yjmtnZWfiySUH7M/aEvytGfTuHYmxuVrDyn74H8fjemSLcmgtY9M2VVp1V /KPIYN6Va38VvE02vESL5jHXxvZEpTBe/yYwOc5APO8ufMzFdviLj/VS5HRAjQBeWWqxE4mOVw/o OW5TpNF3pxXXSPA1XFI2bqocvPY+m67X8e3I3JoCgc/sGm4p0lP0Syo2nVkFUre7TBSuyvMjKwj/ ntvpYc/jfxh2d1GkVu6NVA27pKSDrS4IdBayPStbTdNN8bZytzmzf08CZ9iLdNtxRHOUTj5w4nCz couKoQ/I0EoPT1AGetQF9HCIZgUIRmPRtN1IkMbX6dK3ijwf4F+WB+duFpjYed/Uk8/AzSbNTLlX GkBBhb+zI6ot6FHpoEg+WPyLvmuX+ANOW7HhF2qIZQon7S3EkYDeCqohLmTK63MvYi+Mm7pUxkGC PgVRmdulMngG2nFa4yS0Tg+iWDUMcgr0sMDNO7qYAegJPqiH5bmkFndLHkxTNzNtfWY99K6xYNmh Cx20Ssgg+Ds1Qir2yWeoEpDgHV4ByxcayVyaUYvJIiVEzvKEqR2v0QlbqsK+BEatay89+C5LszPo ujpJGc1RJkAuJB2pIq6cEawSEMFbkCUtgrOISxmabGkyI3US/KknxxcL65bD+QHoiWDiafCapBW8 9nuUT66LlUwJmJ69mn5BJEK68Fzbjx2617CrSxAa8ucW2woETjaOsa38AzLFZh1HhD+wrpFmIEB8 4XaMfIfs22iyaG7eFzOjIRsnvJbn+tdqHD/9Ny6Lv1NJvGNGLI0izjeYx28dZcxGcf66IzJMssf2 gdzIrgF+QA/L8wOWUmi1nM6+o5+pYNrXIXJj5oyb5+9goprp1tjDDAWNKWZLqIDXUygo+Zm7CLao BsstsSiTfCrVvWGeH1w6evhkYzf2KCT4+Jm2D6BAU/XDGjOO4x3trA5nXmXCXqUNl0DaiXHnSZ8G sSbCc6dvD7QQ5F5keeTe1mXpb/zuZkBPTi9vX9aXnqONdzUVr+/aUtD9R/dmf5EkvehUWgPzKv6W ZP3vrD4sWsVOY/OdwOwn6mXKpHeoWyPVt7mPjVtzlwjWFGYygorZX92UYwZ0IbfO20JwhgbcX+1g c+iJwEkM6cmyaIRuT+iSO6hfzwd4Hgv67QelwbQgIwS/ZBO9B4EAPZGGeFchzxZEwfV603CMva1O GTIxZ1ffRc7bW9A6vHrTjQRpjKl0mTqSx6S1UWCXK5y30HC9KWi6SLVBzq0TCRh9CJdy0CFAwS6q Mdtw7Y00GCuYBSmc+7zUjyse0DrmA99it++7RGUYYn62QykhSW5LZFYrBdvvRX67DjONULjtgJ4d VXJ+5B3srP5GtbzR2ArwBtVqXPfT2seF4bam+lpw063kSOYZsxXQNLOhpAKXb7j47tDj2KDsfzgy p1SOAYaEILPM149KsGwV1+wjS1byjKKoZ82/Hu64FudkuJraoX5JWipW3u1nzRieOzrtW6A0ZqnB ZL2uO1rwRR1vux9e4AJ6MviRT8sGInqKo0RBTbSwfDu64jOuw0qp7otIN9dQ2GYKTmzzLpg9ZESR s6FQ8AV+Uo5sShHFKALaSsOm8yKrfy9qQvxY41Ivkl9kzjMX3c7atqyYYqmgveYWrTk4aWjCRMoD O11vSh0+mr2Dtsa+G/LVrStoMXg0Opti1zoOC6wA7jkCGiTY2oN7XDKYEgkVBaFz/HuxB77ZWglq EDoLgqWgz2ne0D4JcLMHQbYvXMDmo8YtOoW5LIRKOg7yI+W7+D3iMIvFnYcOL2fBnpf4rxkcP1EB BzD+d3ywwpGx8P1j5wvTykAgJ0ZvGbCo2YPVaTJa+z6uvzh1bk9f2QZomN29OgA9jQU2FB3I7ScB dHTTI310ujfqYDyMXyYgXKBUbAybBtoL/jRHJ1D94L3d/QWWTi0Xa2nRvThx5IGD+TUyhtKhy0WY u/ksN5GZ2Xucnx4mWOb7jhwug5N0jy0DC2SP6j7hc2ePCHkLTEgKv+hx/BGJ4p3W8HzxjZe6TNGc ObP+aSgCetbbDXcitqaQu0kpcUeBcsffPrIFLezdxAaLfAoVggUxdLaAsy0rvkUm9quFyFfHZIwe hzoSKyim7yEmNrzCYze6lx1c6rBGR+UEZHPgIC5NqdwEWrZPf49VYOxQlfrA6nvLimQxPk3hEKdP smk/ZBkQmu2eeFJ1Cra7SdwAUeVWAnpAPEhkC9zgf7vpBf4gQm0cwo7P7b/7+ffmpLK/2lplswV9 K3yHHSoxfQLZTipYv9WvozzygoRmBYL5AUl9wECHIOGDwXSp5q1wr5MihUcsLgR8itGG3LzorXLJ dP+hY2zOlB4O3oRI9KGFkH5jrb/v7IqY/OnMjWcEh/LAyUratgP0zBw94//I9UueicNcgkIlRJ2v vd2gzPrhZiCfiChyvBfJgJ38wop8QpOe9vj6N6jt/W1NDN+6Isw57leydMt2f9cwlifh8KUH114v NXSBtj1Kk+iGMRyYKE0yv/NlVYdHuMWRNr9e5YKVQlfCj05rxVaMtu2NAM77U0sEhFMUocMD6Emz fNzk7hPQ+0en0lapWnm16hC9LTJ07F/8g4FzIDR0MgCdNqP/vqWQFCz0eKgalT+aao7fiOEav3/c CLWkHY4wog+tdJ1w08PW0Mg9nyAwUO6wxeFIxW9UWmSc5HzFOsuG9NPar9qqYDXhDFIo/DrlE9No tr661AgO6xUX2XP0Y/1NPy8nEe3pOjmMHAfy1m++bUjcVtx/U/+NbPFLLTFeNFID4rwcVaZQF9pv PtH3o8ufbx65LPCrKCtUgMwc9mzZERkJGhYmB1ozbawr6Na1Ex9ltjhgfQ2EIV2BeHfk6gebRNWY 1EtEIy05vjtfU6e2um8gjxhuGnVP2v5n38jzKV/LQ29AzzsvJdWZECI+wStLdrMwPO/SWGIMDuSf nMN8TNkd8cb1aPo9LTK5q1LhoKEP/cNBCMJUWc0WrX5ZavmKJAMcjDG4esnBxN1qzd3nIZ/KfYjH vGi3cEsS/EXWFXCEvpxApvlxn9ncr+kpuojZ+GtL3FsjkQWNokJjdrbQqPuVIKBKBUoh9wcCvzRF QM/bMX8DsZhsr0N+HXZJmWZ58RNB5LpYp/6+ETETHUexaU9ZKM8M/TGa78CAvkLH0RHp7zam9dph STPwKLNF5z0u2eSbqLMMSq70KF6/9A8Sy9P0UrScb+zI0YeWEjTq+dmynXVkMBvNMjLhLwU1NrZ5 I3Loax0qd33jAT6aRc1SYkBP6bizjZXcLu67VLQ0y20uUIxxRWaf8qUwKqveeLSlCcU04ipePGuL kEUsRJZyorXZ8e+2c7YrWZdC9rKD6eeJylC/o3Dzia3byv798A2rLT5k9RDKPzVgVOAP5B1wDRZl q9Ws0GqF3SOXouhyDf+oE+0d4i3XID8vTjoe7nTwUAcXv52IK6ky/y0zVyymHTru8JptewxoJ8Pw 6OEZsxLk8IH9QypQw335C3IlirHWpVwj1cOMf3m1FYYSDOFUV1jsBYwFkmenWgg80eB629xXe0Tx vmwlwcumNmOSWrxY6KBcvWpuR/Ot/5OHPtomSIss58qTkwTN1pnqxjKI+YeFjNI7rD6ccYW1quzs MZb9YZob0POKLJcWiIeZt/Pc2xKvLsKWO4Tz5FWqoW0KYu7N1UpVC3FHuIxJ20GPOmGupHoMcVcX EyT312JcvsTBd6HqK5nWRNRrb/PYdDJvczC5BUae27e7AHIb9okd+YGZ7Nx0PxAl45DNyMvwmVB3 msc995RNM0sy/wJmuVoPkSu42AyIBtCTCbOREROcNc4LLC5xuezebr64SK9PG/k0IaauIdDEFSTb YXDdGMM765S6pyxAZQxVB0T+Z9T2YNQsL6/Vkgxj2P+5DyXm+RtuWHgt4xOC+7qtrNfc+/Szvag/ EKJGOSRYl4QKX5PKNQ9r1QfeGRNzQCFQs4YP/mW4DEWcY5dwzwXQk7uKHH1RCPGZbUXbSgukBPFC mH1OpLc5BaF1NWLDNinTKbYyZ4twC+ljkG05xM/hURCJ1lj/khHBq7Cn8ffAp877Ob/sIwZvavPX Ewft9rlPYc1vWdOjpUUPQmafpbdEuwibM9z1Gy5tNzThZrf1glz+ojShAeOifb9Tk1kCvm4gQM9w oNSek2Ggt8ZwaicwSZq/f/rOBbV5z8QDpfA/t+kXA2kt/mgDtHtt2Toa9dJT3X23EUwFkwGig9eS P8IBLDoKQ8vNGVmOwwX0aeD97FzBy+0LCWdb4uq53bc3NmIttUf7Y+CxchfInl3luxTu32QDi5Pb E/LfgmqC5zHBSFwcfwA9QDT7/l2f6Ngufs4u5O/8uA2H/KlbeUCPVs/n+SsK+dJIAmtg8B4aUK6O 7MarJ/BTKNU9iFvggoqj1ZiQUKVNEixwGkokO69NW5Xg1QQ8RQJ9fRoMm31DfPZw9X/JiNwSiFNV iqtzHqc3KMy2q2tWx6w0FSnLrnfyo3zjPbE5bYnqMV1ddY2c6Z62+2EuAiYcyyK0HcQpgvs5cJps VQJJP5ERJZFBgpqvrYXKdS3PcLogV01+UpxQ3A23ONHEBGHwPlxxTRBIMep7jgh9n5D6Fn00zSbp IsPfsb4gAoSd8G6wYPtSq57UOlyfDjCpTf6KPmxAtRqv+Px+6b1ysrXplchXPOMAU/brqbR5eXyF EuQE9BCPjm2HKj9SNLcfGLEWX4RnVFGtyLgg4x/1wNRwymT33qIhexH/vuCHvKuTlS/k3sbfOAnC wv77Nb/IJE9DmcfgFCpqJ5VN1Wh61/Xv+UheNrsfK3HKzC2OUY7iM4jE/vjZQys3VXNWc0hK41p9 wgzC+Afyy4hLE3FwVS11nIWcD9Cz4gfN7/AegW4OTBanzF4O5/WLu8lXKcEeeN8/dml7p9mCP6HE 3RgYukPaybqlPBQodW1D06BfWy2wuhKdyGUn8IzYJCYIEz0RTHDqg6/YAavAB4yndQ3Td6zx70JQ NjPtqYZUpiaDXbHEtKkPQzmNQe7sBNm/mx5ngwvzvKw87yJAT/fM++ya9SWVr6ftaMgM6QX8g4tn HIe+ENmkTKTIC/udb5/Q+qvM9htefe65lAFvzaK94jlToBEbZrfNe/32VGSO71x8zwKieFEW+YPj rLJiFGwPj6jMRZbtqVQ+FrsPkiGEbocLPSkBXc5NTmSRvMHNg8/wjBLtHiataiJtzi4aoGeoIIeN H4cXymgRiKzH7JGGgCx3qBXz1+KofIDr4ga5377yH4g6Iu+4NfH8UWPLMP0a51L7AseUUjHgmD6n onrN2HuzdCpOx2l9UqlFFhAqQve1VMPyeZmhQpXvTMJUEYHttvMGk95fvHtVuGheK9LJXgEgiP4w jTcBcuILhREjvwE9a09Fgtnau57R0f6GHkSLNy71yOTeHPck9j2QgXcduVkHI0usUpQIhqRw3ZDx exsO4ah3gR7PB7PbXqfDftBN4CqBSsmbjk7FKAor2N94bNFYFVVZ/7hM/EoU/MVKRvq6yBYK2gXE 4V+hgltB3Gr/hAnkIOJP44NToNksZavZfWYDeq7/UEpbNVVjMc+LraqckLXx+9BPpPSDjvYwPgaO MXOv0GVtbowETc1q+kIdn2qPDysbYN7H4yFW7j9phMIP3W8dx/WSoItRlOHlX5jJqvTCb6ERfwb5 LYACv8Qh/XmfmsoMfHk7HYaqnkhkstjlTwgKs6AzgHxQxRqRskbO2d4zBPTUFDrKeLWbvvOCkwOV HiXzpt8TE8uWqkOf+6wtgGKZ7fpyjL/amzu8KPJwi9aKi3TQQLjSq3cAa9RXksm0YyeG91FOalyX M1IRFK+sptzx6MI39J0UVjrHQ//u2HnT3BQ8tWWF8hl/p5zRyBGCDDEq7tp7/NEdvj8a3uE/QPl5 NAX0FJoTG2tvOZnSURGEBE7eu05fDkRcZSd8Rn6EYTY/r3u2xG5jTPec+RqoKD+E08TJ7dfg+i0A tQXK+8dYfm3aRw2cwmNe+is9v8BLN4KaT2H9CaX0GvdApEkhorjhs469TkIKg3TMY7hSbVkC/iNM JMIwEzYF9EeuOKZuwSmhkVUI0KPI1r1vWBm4XLo8BDUCVmmlSYkyjyRVr/HAS7e2PEwd0+CCvLnv MEsaL/mnjlMG4xSSvBpogLub+sRYP2hPfiIbzP8oMBLPjBhstDqnvsM92zWdEIYab5c4/efXKrjV WPuIPTa6iQRkVJEuDb0tpvPcOqTJuLFqgj91mgr2/fcfFkBPANX+7CHPAoj24jHTcfrriEeLAVbS VSUqlPX3JltJyLDvObWZ6kiCDDbfS9OdM8S5mdjkBpSSy6mnpkOquXszdD/reQ3kNKrI9q3poVl2 rma8fzsD/q8veQz6LmPoVrGtMavlefuLZ2cGG2SFQ4EbC4jMDcQ44hiECqwUL4kfrHlAz5WSRQ+q zml/q78J35wBznlV+5AnzsxLgZ8Iru2n15Pv9364Fd0fo+pdDTwuLD+m0q6CmSeKVNSS09tB/fJa vDNhvGb3NlAJ4Pg/frmse1G3/CG9KkrYvRb+tg3HV87OX3FihF9FPVChTAnljr9xKG8m3g6vI3O0 oeemVdKDp4gCAD1L1hDuB/KUkCfnRiDbycj4Fnp2J9T3CdVQcCRubOEebCTn3Y68rQs+ZdVFoSnv ZMAzIoQ4xW4tFawHaFbqvPrreKriY3gqhncie54bfYSOqlDZt6gWnewc7CUBNe80//IEbgx7Lsyu 6Ts3BavjQYRXXzbbwzqkvj0/iI945EEZqQA9bhgZYSFy020ps8zF9gigR7fuDrIpXn45U2STUqa5 QD68a/zz2jDDavk/qJjDfAUEU8ifvPYsoiOQD/B6PFGDPlWeZGeL3ZkCpkDY38CDBGE/QL5yGU/a WCGeFXr0hhgfrUjNPCwNsuEeD7JXkgSG0XyRlRvwfSz5c5HZ7xrDPRKAHlpNSFkJ/UwnaE3W0Csq 0p6h7dvKJy/qaN64VvRD/2p+poR10xr3pQuKuv6slHm1MOLQaBgXXJD1b2vzfOn+owXpD5b43skP 4qrYTg24hg1Z44nXM8hHx1XbnVl5o7VHXQpkfQMO26hGaVbNTL1/3pbsCJvs5rU1k0QteJzi7YKq gB4o3+WrVG6m1pAXji6rsntC7t8JxArzeaO1RvYM4/uduqG8PczLY3yixEv4rLxzoS4eNtU5atJV NlBxFKwthdXiRXTQQKfO5UsmehXCUHXRH4r+lqYpTe5nKp1MOuxPOzfFdoaxnIW7FkAehFrAFb9I pMVt7U9tta7rMFymizsnaQE9sWZ5maHnGk9BW2tODmTb7p9azwRnk7qcosrn08SK40+UTM2dkA3L fH/aDsjU+4DKGoAkmV03LM6/KR943YeRFI2uyCkeBwzwf9x/uAwfXgvhxxJjw9zLnv6y16lKUTyc SU5Go5Okynzy6mXmwQUVjShq6qhjEWMN8hQwvrS8JfsDerJTwIK64DwcndZ0LlabIyl06+CjE75T WaDxBlWUg576Dqy8OOrdEqu5ceWFu2ebiUt86lZcnJjHHKLwKyLBYNRDb+6aDBumObs6jWblFWzW Ea8+RuiVzgmV/ryPg63jVcxZ6VpQBkrPMCtD7tv5pjkQmaJ4W+4pc0m51eHzQJkgAe7b8orNbPVq iAX7XloEZaheiENrd8ROj+QMuIY0d1uQdKhwpulrU2s1JDMnMM4SBIfksxC+ByQOWeISwZ43tdOM MO/S89K5/RL1B0Q+5VXaY77pFHuoVAto6fovraW0KHNgvq/A8BCn/z85QZl5HeZR5XrylJ88xcXB YHEuLfKetPXkEvhHjK5vt9PqeyTS3/Q/A5BiDO7tAwePZZ5Jt4suFOuzjOqP2FPw+6iFP8Nn5Cng KA9jEvxC+bS+D9LdmxX7SDhw7gSw+gagLUgOk/UKzGJ45sdxdlhPVckBS1b2hUd7QKLJYn9N1uXm FdjoDvtniWiD3EUq6Qf9d5xY9e7BpgE92lFb1sJY9UX95beiA0s0uCOgGmwym+460D4+AfYRJJPy MgV35ZmSoDElf+2kU+ynQHf5D/jSbrTgFQ3indV7u9gYkT8kuA3x1ViqUiUF+7aFKTZFhIE2BDMm gczCof7OjP49YzDi3WlYLCPKCUnzD9GJZWQqBsN50/1Iz9kSVO0F9Nz2TyF6PPbnaN1iOObbEoba zdM4+LiDIKOnLsf3iiKtVgZHJMNEvN/BUVnMJm1E+nS5q2teMpniaPyuMBbJtH/qcCxV29miU0Vt mQXOJkrSTbcV4+Vx3VRusR3Z3RJrgBRqzDvC38JKznn/8vyBjlwgHBMtYxhoUTWQKHr0O3T9AvQ0 WNohhmb+uPuD363IowJdHrtP4yzPLdJ8jF/So6tPYO2BIDVfEb8/E1vfbVEwdLyYbUdCwRQ+kmlZ nAxk0d2avc3WEcchwIAOMWzDmw+6QBR2Rrkv6nbiqUKwHOealCm8mF1+6h3RKewx7yVekaqh+zaJ TRsUyBrmt5q/sa6fvwN6SBdo9nLe36u34fDcaMdh09RAEN3FO/n8u0e+FChtbZF1ZJHJWCGuEXy2 NfRJmlftUxC8hK0G4ul1ne5frnQcbbGlrbRKvfZLY73s6mw8X7IpS/+84YleloL/tjApPvZ5PcH6 pbG3e0YLDokCruaqisF/+m1mSfLrFpd+iJ4yhEEW0OOn1P94lnvXHQce0U2DcWDzK8bqsNuP2zwk U2XqOSET+F+2uXHz0+VOIj3eA5RyIA5hZ4gmmKxHvoHOE5Z4wxMWTMUgxj0tTw+hgU26aNT2F9+E Y6TePfdozWJEFLRiyTSjMUf0r+BlUjAmK2t/uBNqBtbR4p220v7ph1DU5aBuY8C+B+49kvRBVrgk 84TEoK+i17s7cRJ54KBEm0N6r1alivjx/LLveJBPLZKxZV1L1UdCS7S+1SjhW0CDX8VbZAg1m/JW ghQmKAg9XBvlQhLmhAOXDfXhsRfLZSDJCjrVO0KyeJMou+Orc15iO9fT7tii6oXwQJLvlgMOnXBh r+qywSAb0MMR5urYP39sqAcpf8DPsM744dzF2EJqYwC05D0+2ksuC9yUcEPs5YoSmFG66VX6pH7s 2D1wO/589hL+w78f3YXMW87fF3+aCMM2+pyqm9Y541Mtdtt3H/7lXqJ6FJovCS+hVjMRZ7mH1uxM A6mWsDD/tjbscQBxvsGioexH3VJgAOjJZmXP5UDx/8TuMmwabtZ+IRBQ8iFvJxd/L1ScUZNVGexV OlWj8SSwNEvZEkV3p3V3ty5IyJvo+J3p6QHRIIpkeEfrUaJ7GLRBTTCSvQVyvp7vCbUAliPN8TIP Z8K6s1sxse2mCXyHr5ss3eHk0dmgxzQc2dHSINAiiBjlQ+0h/hfQ873nVvgLtPkoqNOGG0srlXIa +VbWW2XdX0sjXjsb8iUXpfShTDSv9tWSKbdl8UgiYolR4bxb5o8kV9bLnnlULpwMC4PS+oyVXCPw 3AHCdvLM4Za44vsVNdE6xZjRMkbLremniKt+LVYY6SL7465LfIWH8eKfsoRt0EfqhFzT8nZZQI8u sZkALz8JRLl2cw/JH1n0pOkQh7OhLzuU3nD6mOyJ6aqbb1rSim8JVWJzlxoI+lMwMEP61un+8yaB s1J5ORiKPjdlTfC0a+a2l2ZxfmsvUu4WvuqKdc20pi26UaiacBAcGd7KOOxPYxZV7PyCJJ00vNA/ CbjQIR/UXUiy54N/1QA9jY6R9EFgDFWRgrl0WCrHB7OWVVRGQZrtfP4O2HiIsE7ybNWx/LaHs1ww FmzpdUdJtnn1MqOEI+dGJPIXlpYLdfLXiKYyley3DCYdILqMlZLjm1BNGofoxSZFXzuY7INll25A cShs22UjKXnto8SBqB6TKwMa5zBEQhmrEww6Olf/6VNDKFD2GxsGi/zmfO+qESK+jCrpyEUT52ol VEWBCKZRjQ1iXzFeOHRIa/A9g2PUcT58cwW0fIlXyRq6AnaJh3MPYBTwF729c8XfcLM/0ZXA3NOL 2+mLlKyu6i4yp9Q4XfDjY0pawhpR72wi8WHWCANVdKyfZWR9JQ7477F9YE9aAT1GVG8fnODrbxKO cZp7vonftRxLTnxxB3tjfrV1uJkIU3l57xN67Z/whtLrZT17Ub+/50dc13NMRYMwHqoTsdaOZViI rlsidH61jECltRl491BFr799XjmH+asnJ6Zi9L0ud+57E2dH7WN4IQ9tSUfbV7y7fa/rz6I5G9ph UKFMQQB69LZz+E3lbpCPxh+AYCxv7I5GepwtquPicH+vSmxMKvzKBL2cYvm1iyJLrr9ZmZnPQli9 9Ng68eBXFgrd7G2gRmh8rVeNQ7tYrbIVAZpO8bfFskiq3sp9U+1VjgyRKDNsfuEMwjxZSksJbZoa 2f9ugEixBSqFaeK2xDwA7DqGXhIJ0HNkf1gV0NOHDGWkZ01pHMg1DR3a7Z+8AkEhKnq5dFZaPf6L +ZEtw4U2uiB9XihauEQhf64eo07C+GmZvSh2YU9Iu+u56rY5SZi6L3QavijBrCCMYpSXcZ3fyPyW ELaZQ4zjDhv9MIgd18m4VKkyDMEtezxiM1CCfFGA1VUM1grjP338OMvWtpC63sCRkVSluz4ny5AL p0sXAVTJZ09k5w3Pm6VIUMW+xzfKDhHIqASv5Zd4OtYJF+q1eEdm58FDNHjvVnTfYlRoO4eOgvoh p8T8/L/Z2H0mEp5oOgxxvW779NC09NORusy1KDM3Xt6lnj6r7fc2GoRJr6pqppSkox6+CTJATzl/ yPwXs8bADOc04+KerKekXMpfl5XbdUvyDF6Q4mtVxexzQokofe2Rt62UhWdhZ7+gJEJVaNP11J2w jTDOOy/GSrYnbCZp9ZJYnJwrmfODbDrEreVLDZyxa3ZRNBykdoudpqQQXBl0Nk71VWZioW7wTb2y SjJCIEgr6AmY8DZsQE9z7pYSt67S1t+//lk6gsvPb5CjsKIOLBgOW7u6Bife0zQXi5lKC6gTuIHi /fewv4+Uex0DH7Mpn4ehJ3nZ5Q6L4aTQ6OKjUKJOnMHLQKrf3Fch4af2aXE9+kLZqq5mQilTn8bE Dc7+jmcdCDs2mdHbQgDHMG1DT3oG4KmkZv6R0AX0aMcRv7Tyzp/qtcphYWgzjrFyuA3yP8VyIFpV 11NrDk7Clw770jGOHA1Te4pKXHyVzCHt5OYY5zjgwP8b7fKtdzRrFVW3GZcbwn/avGYxMY+oecpf 7NZwLoN1ZS9n09m5n6/DA+mxaw+FEhw6iWrjv3blwmLhjjkXVLBVCtBYLksA9ARpJ+nj1zNyM2G7 lNw5/30mYyf/hNYxTSnkG+qhI2eFWYPJRLpajcoej87MxsZaEOFjvNLp/8sTG1rHoI8tMUmCKdef 0YMoQNihWDypX6TNEH5VIC3Pwbt4V9b2kk/tIXBLfISv73wFLNQ47fDvRAoDXDQ7OPTGoFmYfnX/ 8ZixAdDjS+gmVhGhLUjY/jRpVFpk19tjIwHcHKz7/7hO5e0sDDiydRa9McMYai3gLsX1zsy4GyMm h6ZnpznZ5U3aTlNKc92lpjlYwNA0b5qUag+cc230OWIbhkCEckpbdSkXNHlJ+HD5YgQXrWAQ78B1 hDGv3s6C1Q6DdZFcxfBg3i/mdQH0rHJ3Tv0NAMqvNPMlZKJApBhQLhQN4LxFP9SoaiR/TudlalnM azTYpQ5nnd4cz2cZzAKdv1It5R/yWyA1UwHatPSW1ipnCto6UHd64+pE3oZoraRBlxAkzQMLL6MD Sye1odGxeV+TGTLraVSLh1yndOnsTTshXVOT4Z9zcod0VdkC9LjJrt9OK428Y/3ZB2ZSnjTmgGvT BW0q897mOOyp0mlIqMW+rDbEzH5ywcqc/acavUEgKupmXS2m9akdf8m2yQKeXtfu0YVpaII9QN+y KqIVHxNmB2aJIXM1pGWzRbuW1Rt+L8pLs4sTsnlT4JnYlbcBxIuYv0dEyMre8fI5t/UmCehhHEbc RjXkfZ111kiEyTNDxuBfM0dpTYq4NC5/x5Y6n3Hwnmyw8A2NxuyYp2I8D94w7qxuSCUD14AK/V3j FO2WVYLpxP9DuufdT1PJj6aS62QKzOKaZh43XqJ7RTgbdVvXBqtk6R4gsk3U0srOVpg0wBqvqanv Vy5UkTqshkq/RA3oybe0v0CYThICH8ujnt8aQJeyvNfpsq7TN4Bdl1i/ca/deebOvpRNbrAgbMiP BiPanopqxxkv/UaqoBnhyEYLGLYW+WeMQpHRurtVJqs6Kr2qrmITDx5B9ikK/7vVc31JYaDX33CJ Ez4dC3sommgP9N6MN/+6SfsXmTFoXUG4h6A/oGeoXCXnWGnrSEN5pmkcfSP9Ml2ztcoJo6PE4wgJ ZU0/mn1IFrc/vRZB51Gp3Vyq62HPQ4rPGP95FgjPLuFhnlGUaW6FPaW+oqe6imRh/bOiccOhwmE7 oV28MVsAlN2imlMxxXjzxAb3NMj6QPvbMVCxyYbqnFBSXhNm8p/OEffLHKCnBhhoYbmp0Vt0PDNX quOjvrdTEnxSg1v9m0NcSNuLjoTYWXuMXJxsQN/g42dCM71J5o+vWwfkoANBdr7qq/s1zFDxLtBT j9Ln5l9zNrmrMynOKSb0CXxboEaDz+WjF0KYGHzbugRIND5G/J9LUDdRJjJN22NgN6SWNfja6Sc8 WAvWgV5J0RuptG/aUYwK1gdXY6gzOtt5PavdzvSh3Md+GaVvu55zAj93DkYGvhELFchNp/qJwktU Bbwuq/wsjZUKxhcoMI+CCqOS3b5bifmzZph0tFIZdHDnx9OJDkjv39AXLaEiDV6wzsD/vvvQYy3p GfXBLfnHtLYm0O66ExpbQLKs2DbcNDhw8G0NytFbbrlGMUHnXhPtHlama/BmId9i6Ta6OCccDQfY z6K1GdgY0Ellu91WIIWbuQaZBnVcGPJND0ffGHOlyHdoaEGSbH2YlNAV8EmSeOW2dWwWafoi38X5 zlLHLOsZKzCi8Gac6oNT8w33RDHBzAKX/g7tOfO9ZEndlzmk/8tdYI2FnvitugPoSdPkh4q+3jqj MQVxCktC20Hkv0bmGBH+3OTdnZhbbBgaYrQnIvYgsk1t74Bj0XoeO0SwyQIhBdbLlryM3chJm/w3 G3hPn2jqtUKSOTwELJ4MhTHl0y93U6qvTIol2AVWudAKP2ca5jNWMhH3UDUO9K+HzZwg6tQ9pf3p JwZJimMdDGlAp6FefvpBVSGQAXN6GqWRAeKeslfM8XKowfte2lyjPNZ05sgBfl7tZoB+2yr00Vyb Lkd3AK7OFMGS46SdW8bKnkgOpE9qWZHWOYTCh4yDpARpv4Ud+++xW7Xnrgosytr0F149ycD17GSN TKTOVDUSTqS6Vmz+UfXjIicXh45drLzCggq+Kz45ugTw5a8XQE/fpezfM6LSNIfcZm7cNsWKL3M5 i2ZVReOHpYSzYbquwKCIhcqnczf9tzUnfMJxei/SmRDIOmUHlB0yB2HNqjIpy9Rrm1o6xsxwzbZt bK3wuOjn7UCuSm5Lwks6EBByYDxfUysiQp5x8kUsRV/w0jb7p6e/AZQldlb8uHmK47x/9QE9kmw+ 9HPek+Za9DZ65eHxJLIz5PJenx4yy5Rspwz9rNJNYjB3GYgofqI7TwnkNpgCjhqmpKiwf9Jfa+jW naqXB0v4N1Y6z3BzLVRIq8NC0ASLeNYsyk77zOVcVAe4lh+/uoRBMJDjquSrHk6M48aoY5rpBm9/ 4hex7K4SwTmHKPAAPXQkvWpZJH/IjDZoZu2FRGxvkOYpUcS7fYROm7jeC+uJYwgIEbZFceFa4Gwb rJzsnfY6XNW1peTBmb/O3zo+rdRZ4cpMNIbWvWBj6PlyKTbSUahI4ai3R186f8IcFaSKnvZtcqp8 DCjotGaJVQQCwWQofkADcX3CQSly1wZc6JC+AT396rV+Y1Mcy3B5kVe5FFs6zyGh1UalIhF6QXP/ XqGgSpWe8aBB0ya1o66/aDNSnwvFE9HELBbmInExUT6u34Gi+k8y6WGqE9qmQrrDLeHPRnSwwGSk UIOa/tz6Oe0IMP2jhxFUEvjdAqfxe9xEpHVuPP0LPuzTv236ydpTyspD/xnQU0oEnqqnX1ODuIv3 77saclS5fWE+NNbUiryo6wA73GXGPN7pSF8pvYsf1lXt1u/w+vrJQhx+Jms5wTcds+gsa8obyXKW Hs5TreiNUTlBCAELGW5QPROeWOpBwFcXeiFyYY7XwEevcQpF3gc8S5PVJa/Zcq8I5NCiqRLoFZ4Q OmUB0COVN0mO3z0xIGT/3gTF1nq72S3OKo+W6+hHo1l4Yz0YgvxU0V0/zyQN1XoeuVVJw0yA+Zu1 hWN6rxnVhf0su66RxVN908iY9iU+yHHVBSgHh7IjTeeF6wD6boNEsp5cgnTBrMTYwTeJZgiUXX99 ZLG1MUgpm12gPu+07bgWN+j3NqCnahWW8jBacBMdSCYR47btl8kNWo1w7iNe/R0GK/dpfxgkPpzn rq4VziidziekF+NCXkdBuS6yx9Jg8Q5JvVf/2zBMAJk8pndpMKuIyaaubIBJAB9qfVbkA9f261xi Wix7w3H8MjcPnYfWISPR5l+Br9xAk2T9hSOtlZGsKg49Nk5AD9tafSXSA13kPGUCLS2VTUVSR7vI poY+SIgxf20hta5YSM2mxC65y/gRc7hp2S+bCgLXW7/LnX4mvP1g8ISAWJBU3G1f4/DwiDaF9JH1 BIoCdxQrYUu89Qyc3tVbYZ3roNbxl2mitFIFovhgAtev/rjWGht+hHs0GAzE3UXI1+n//J/+kSVm 7wDbhYcMUnsmnSm3KHgvF0zkH0OHyUkMl9vsI6qwY1W6aiubJ8RXqb5+87slXuc4bNd1wZ4f02EP 33gzpkgsXOTU5cUbipKRGiLDMTln7xMqZq7onwBOMywzkfki3PyEvRCDltTH8+smyNzyfgGYRsek 6Pu/Ce3hn5GJrIAeu2gHaZi2qSbKqGUHN5WgXvFC19j0v3VNuV5a27Ypj2WlZM6CXyMcRM8TmtuB lj1/Vh6u/pUValRBYz69JVI5D7c2LsozR/5rLpxXjErw+GZpZu1jQaCYJ++p4tcQ9k8HxmpgjmRx UXa1v591/Z4xBvck42EgrTeDAnkgpY2NmikSqq4yWYBS2mNGOyb1ijhZ5bMGMYJfwc1DdM3tWLgM aX9fa0B5oyJLYaWslKunKKwJ+WH/N+fdxCgycRzxh1R9c0ksfuQXCmVYEVJjgGYFv3GENJH31QwZ Nl0p1PdaJh5bvJYR/ynWZ/iJbJV4NRvBCH+NcRo02FOaUTE3ic5AKtDxoghH6fCWlMFwCiqpFu4u oOc0+Yoz3PUmDPpkttxiwhPpg0JdATV1aGaao+C7EtfTY7pAn36Jr6elaxOrCsf7iXcbOiwqNwCP kRuV62Dfv6rGuVOl8QGYRz74qhzyWDf3EobfjGGA0g/ajbBoSPjwJFfYqhnRvUNUN8+tR+yXSnSO fqkUkuQ+byfBvGTqLvTOHKDH0IR3auvFR+vYPSB3zGyGDSZaXo/d2ggEnCyGb2cHFPnPxKNjqaej cYycpfR91kik+yhSrCKpT+gOdmnr4OrZ3n2jZYxfS9kv/Y7XLgGc9iJ7VdPaOHow4OcSFe2/wyX5 561rqU0cK8pVmr/mpXQo56wneaquVWKY+zmyy/4tQLcBetI5/bzrBAK25EmliJ+uud8TRx2Q6kJn fjjHW/0OXROqu2Iu/cUdRyd1NnI5ta6g07nTynNQHgeyfmfMKSnLOIOLYU0uYRwEzC2X1m8WIBf5 xspNhtJtEWnmEvCmTU83ldfvHmRlrELU89qqrYWD0yfgUTq/zEXIfYEZbrjoFs1ZAnrCAi+hj58l 0cLK9A13sc7emb1GI7XezblMRPMT9LmXRH6HRFR5h6KotM4NLI9QhojCkr+cNGaH6FetnC1rtqK8 lXrV82AsK/XMNVuhu8u6CgWXRLaC8pWB1qChF7kkbEfzzOf1/DQ6IGHus5wtoMuFBvd0Twf+GnwV SJd3cONlrQD0AM2GivWCOnGX8TRidRGLbSNa6t7PIuu4UnnPAEH4jryOPKp4t9NNp5O0ze98RgP/ HthbqyIq4bisFP8FScKUbkIZ68L6ozgzENdhtZSIeB5eiWrrYVNH2zfId/qO65v/P7LssTsPbQkA cGzbtp3Gtm3btu3GtpMmjW3bbmzbzr2f3/MPnrX2zOwBnoOZ/SlrKn+5uWxdSAQj/hvJuYH9SH3E LtRws5fGMg0NoEfOrtz5s9VuvX0K+quBu3VxJ96xJ2UK6e8bKTQUuHGm3NN9tBIhVDVVExIlJv6/ s+wcFsepJehIVXlC1+t0/OrenUKo9UTSFiSEhzuzWTEjPStkqEhc3Ietu57ws3LVUP00RRzTzmMc RWrt+lmIibix1ReuQZraYy/enK+snhc8QUCPDJFi9qi5bFbGFx5e/lN95CxkisQQIsTLtsvsJPen 0p5YXWLWhcBVUQ2b4b5hWjc5wVvMZerDEjGoFcM7JTW58VKSkisFs50hh00NxbJjmRRReyoD3hmz itwGw9XUm3cBw1gGvF9TLX+rteFeajBW9GgOsaWaU4/OeLHyqxww+MV/6g+RbPsw0L8ybCa3Juin omq43Sm/cD6WKrRJwTUtanqeb5wn7LDvGOaZw5WFscj2ZdtnY70ckDd8Hno5hzvo6ZAsiwtp7SZx Q5VH36q0+0Yt4wFmygNaQ2MzuC1CzSi1tOQlw01tpjDVcBU6mH2tYI/UTqkqWVatiYbCpFDsxqQ4 QM/2w9OndYbCS3n30xs4zgjn98WpEVABh4XaAs/LzzECitvkH5ScIZSJyfwz+/YEQ0HmgJ8A1uss 4w3J+mMXWS5nXmRgFnaBzRyLiZU5IeSE05/QEB4QPf1U/ypQzzjMInkWSYyh801alUP+n/Euacvy r/83i5GftMy3afrDAuIvMoCefFXhyGLKPhsXlR0Pz5c+jsA3nnRcWowhDgPHItz4iAg/9dRl/yAI vEzWN3N4MkYrKSOX4BazMuHHhh8sq+Pzu5m5WELDaTMEirlPVrTT3YGiCYw5Qz9tazbiG+74F3FG L2GR9V5fdzOXisn28hBuG9xr9lUrCSUCbgXSnquOrSoyv/zrk1d1Et0thxrG9sPYuxkjGXCSadPB Uy5N5PAU6rUaNqXJUkHB03xN5QeslNXzGwv1yi1ZKL6xxFRgpHI3J0fm+Wh6NMt4dCcqYFPyTUez f2uCpI+v1C8Hy0uSGsaK47g0G/7CWmdXZZskIPaCZJrY9YJFa94gG3PTcaFOXmFt++fQKNYgAQ7J /XLKkCCAHs8cVao8OmRr5CKR1bzAj8R0ivyqft33YUOZ3pkvj74OqVhR/mlne5YUTcPJ51Oyjid9 kdBKTBPX85gBVCTbiPbeJAytFMH1m5+EH97bbb+nRVlnIw/HhPyjifqAYG1vBYOXH10nGCy+9spa eMx2F/0VFTvPgGQYtK4auk5NnK5sQM+DfBebydCeid2z5wZ+Ly1+SqJOpQwWbPYxCpTHeQutnGT6 wigUbQjGICUOL2WAnu0/qEl3Ix/5R3FX+ufAz/lB1D8932UJ3hHZf6AUTVzLuz1bO8F/3Ay4PVPp Eir5jwOPKnv6N/g7Fx54d+kmO7+9SrCavN+WAupgcVmSV7Bl4wA9Iltu0Gt1v1UrTKWn4Tuh17Qu HPcDpAmP0r4jPyPSmkvldiYc3XE0lzWc+r05voPJvrbI/u5rVzMA03UDr8bigm034hiPsQmC/9UW /jiMTxqF+jP7ID9xuoXAZcyT2OfqOQNmgvBbf1ra8WXLq+dK2RVY0fl9Ao8R/dHRobF359umuEsp Nyw+K0KD9pQ+kF0G70NfItBqV+f0G/2LRsgQs70Brm6damG+f5lIWW465byPp8wie0kvX7afw7a4 sNzH2IdSWrqrRsm/HrUffXGpTv21deoo6BMz/Ppp2vwuNlxCSXryZNrl2pWjLIDa7s+TfVtApG/B q8yCZGULSeFvwwJAT7XpFI5q04vPJ6V7hdVk+j9ve4lYCYqR7Q22AO1Ne7RvjRx7A9wUEBEF9/6k ZWD/fOkg4IXVcyiRioga4t1d/oLtg1mf778Bb8aZYTDcCAtDWvaHPO/uZgiH1FONX15b61VM0lGe OHCjUQgX1eadxKysv9/dw35o3GA6bH+sLq1kAT2snYynhKDE4TrThwlb9xBS2kFY8droUnhurywR zi6TwbM7/A8b3Lo+mMBpGrsBLQcMIeVyM4W16DOa0AF42LfMZrhArv0FRRDI/UIFoLW+m7TacbmG Xei53KhB3+2wxPiTQsWE7DI77GX65I9tumXV6o9B1o9v+IkmE/md2agUMYCetjZxbqrz52RwZ+uP 0g0TbjHP2fkmyzrn4vTI4qcS2MKRbs0Ww0wZQ5m9O8Hk/Tly12p6kiX7OS567ItV8JmIKi2EkXhF 3T1PteDd1zEOAtDAmoYcNZ6JFITwCan7qMiSDkv9TNWudgUeuxQZBIlpEJClAAzQh++xEDfsqBN6 dUpAzwA3Gvc2VMuEQFA8uIlX/PxfKy4P2tav9E26nb0/PySo56XSpYIZHRxOckrgZ0BGEVcN4V7M 0JnwJHI44UA6llVEsGPRiCcuMdE7hUMVKR1Zp0etqL/vvfzCCza1d91hR5q3pJk633y2hz1I4RYV bx1bRIgIDVNKmDuDvE9zKxpBAD1Pxu9hdp8hTAMF99lInlz44DETxk+ub+Fsu6BPId5W/rMSJmbr G6/1R9lKFoXDZiAweuodAYH1DymMYGyHfFolelbgMGO4ZDjSzRpGWEkQpjs+ykUafVscUc5ztoOO jBZuXd2E5ZY6+R4NDSoPIn5/qXeAoHfevOTfkq29bYoFpQE94+hjdPlNLFFpMyDDFiL1PzssRP7K Rpc+ZqTBwJRI9QG+0drzW8Rwp3w/Zk9QcWxPPHuFlioxpQ3CByzC89eK2b2+Gr7KLjFrKUPglCjP 0zbTIy4OnfHiq2G2aRt4BPSIGMnwnktQndlP85qQkZrsE0CWFrZ9V128SpTU3yQwo1mAHjJhtSWE Uu1jMeXMBbqjGD7o5E4EWSLFR4Q1syMRDPPB0Bjps9g8UIFueo6Uggrg8MC2lSaByxLHFU3s0tOb fW/5Nku8Aa2NYIETWpMsbXOY9vqW/XajWnpE3WSxdReOhLD+wTEaBgjnxYBhoulh4bGyyMnE30gt ZHta/QOmEFrzgB61iyKO/VNb5OVsNpKRqPHEGEp4egbK6+AgJzRQ9CKnaPBf54/QJ4SX+1+K+iV5 QxiqiqBRW1RpUGg44t8x9lm3gcsffdzmz+GZn+mvd9juVTFGTCROIBL2BJ8bceUEjlSgXxcGlGY6 rGXsXCj1PdFHbQUyKZEc5OKQcOfIFBRYLICesnvPnKHTg+0Exp5GPR4PhVvLVwES6qKgTqaYo24f W5P62rcfIx1oaT2guIVIshpjJ9brW20/On5wvBrtrewuZrzpziMRelRW/RYQDKBlNHtiOHwirY3u Ib3iRBq0sNgs3B2KMARnelo+j42X4H2+ObUuimShIabexTxesDbo3VdAz8QeaEitVS8jC+eim2tc 5sCGyxIeO0wRPp6Yc4sevu1NIPmcf6slMEarJRcG1g2Jk+ECot/k0J8jC7r1rwyDb2AvtRrWz0ws tQ8W2eF3/fvnqnL0G3bL2HtSpnPLbpwxjvkj/Jx8mhA7Yqu1X/V/2pPKVSjTgKR9DBb0b4EqNfkq HTAkhsXy0sRyXiY+EHaLrWYRBQ3z5yzcbbulB7lzzo+ta1XX551HyF62ma2RA2TxO7tmxH3XQGBl XfiqW0JqDiMyJ53QWvq8ivG0KbZ2Fg95GvM1lvycZrsoU8qy6O4kDkQlcLkYm82zu6vvtqlD0iPI Hunp4Q2lya22bsbiFvUPOKVgsMLqJXXeIEiI1KiBAT26NwFwFSvdETKBfsUx1n71yi8lYbUFz32i +mcI8h7x1n8QY4TVwK35Bjxv3HdN3z6jWJaONf3hTIHDmF4KaMWK0ceEiBPXzfl0tQxn80mZ0/ZG CHSl1CKGTRPOCqn0w6iN1c0q/fbA9hVViaGief/gSBtLkW+gI5FOV+sdv2cLcgF6rAqOC8+Wxn6U xLVV/ZfMA2bhBY/933fzGAXHa68eQd73n3QPHu6D/l9wkcax2rIV9+nnVEBEqQSE4e5sgs9zdpOp ONej6w/D7oqAv0eiOOCAlN3vqf07qzuWzcTwFSacOjo3+XR/UgTVQ3arcXp/eXpcB+6obZCq70Kt zZ76P1YAeiL0eXEgZLIv+uTPYs6EqXM+MoTtldgEf0VsgumJWzh+MYB07pjszbdlwIU9Bu8W3Oa0 wFcckc4tmxf+qQXZyVO8lZq44Lb/pT4YbgoD+tFJ+EJjrf21zeGWG4PIkp30MK2k+LL+Ayzb3wG8 wGohMMyCV7LY8YxzzDDFGVWmC+ZbDOhR/Gl0lvzduZoISb7R0NnmzLLzbTju2gCVuK/6e4Ba6O/M S4tnm1KaHrAJnqoGhTKf23R85bbi3yomOhBUq8SuEDMo9Vww9hgb4vopnFqoLvmODlnCejhZGevo UwNzZdavtyxqwQq78PN9AqI32T86mqLorE+bhvyxemBQxBno+iSAnkIM56Nw4g6F/tEV1X+TtEDV sm70bfZGFqad8xlAEHFk1aW2jn8TtCxPU6RyRhuzD0Geedlfjz3oj+OlBc832f4RN6dFmcVGiUgS 6dwlXFtNHEZe5X4fjZrXyd7gOO01dQDruXed4LhKdSVjgeSaugYyzEOJ26czd+c6FR2BxZl0AXq8 Sfec4zW4TINVnAaj22m6UqNrY+AG79Tgzl/nZS7jlZfGl6+8GXheH27cz8ZIltiEKwY9wVQrknJJ xXM3aMxb7TYkofbnUzy6lGl1lqfsR5nqY4AEBCiieUHFL8ICNi40c5sN6qdhlNOYi3ohf4tt3aCg DpHVuZY+tdOn/+vAiP1PfmHVKVeLP+cSXLi1H19C0oLxuy1qzth3XmDIlzZxFpJmxSvRwp8LWdis BjgMlpJtp0Off9djjZdMCbw1gInJfgJJX3RvoS/cLzEfgYc9RhuOeflBG9+VB/JkkyDtm1YrHaQf Ypso+TyHRvB8aWg2twYy59EKBZgfkoklqIKjzC0Dej4f6BtfKrOmkGRJKMR6IUi/ycblxaDe5eZl RTjUcM2dNtsxOLFT9qtjKfGdYzYU6xkeiCfKW0RsVt+8hDB9DE9JPicQM2wcbNiIgp+JwN+g0osI aaagWGKg0kIdPUPy4J0DwMpyWGQlzm6K3DIbFaPdYC0XL3cYy26Jp4jn6UppAT2mtkwIuYuQuYmK fwYXc0G2p6ysI8wx47jJNB/PlxSN5+uXOQNj1SAUT04VOj81VBCdtXq7BDRvT/EfQN3ljWYfWDyp DkCAirqspfdke72ffe42lWTuiunMtrIPiFKpxiRUJXBHhB+yL5cZWXpd2176vHEX8gS1uOjuKLrJ jUZ1JgE9vhnw6NmWb4LMe/VQ0Pwg2PBfyDUfyX0wSx9JVz3ipb/lmZGvUtOJpQNLauJpoTXHfhI8 JsxrE2yZ9O4pOUc6RPY0J0Vc4V+qdLV0ttl8OPNQXEbHlarhGoAX6SZ266rjKYZI2HrnQskYxSCy S+rA+8E08Doc5M9DUYAHjrP40SUAPZrNjjM9z4K2nCXqk1ho7pZhBaaNqyXKQXsGUVDURtk+9Sp6 OtECiVVVTu6wcd/r33aLixcoZE2MYjHYczi2/sKLVwTveEs5Z/vbO4N8qqkvTGEs1KKqMz2yWcHC qOL52ph8Zqmo0hmpYVQueUpWHvnVW78im3g8cb6exRbXmdweAD0VRXSOjO5Cbo+uqGZ2cC5DUiBj 0E4zJ+8LWXHzoRHZkTDliqlELWrmCGFCOr+0Lee9MI0GOqaZFHHFMObcjuAi86Bi1H3AGw3GlBSD ad7VByTSehBe8OhO4c2HzxOjldDsIU0fT2/A9Gnc+UIk6P7fQYX9DncFUvI94wmSRG6KTwe8T8LY 9b8SfIzSvNsGC0vkodXsDejd7kbOzUEHwVGKZweBUuRxR043DkErRkS5jhgRj9OkUAlzwA+yCOGL q8ecK3Kce6UGmWJ12r1yT41CvrargKJCddLEFHoGYjciEy+FO4b9I8iZZgJiwXtaSEDmfEJBvt6g H12V0rRCtuWxNgwHB/SU0NJ3MOSAPNdlax3UZw05XYhagx2iZO64cOiWH/PTf1XOaytPHQhNY4ci JG1tog0rUxcWVszDUa7DrlL4cgnuwCbenMQbyVxQNiPKBwW3Oyn88LjII1HiJlnJfikoXp4dXps6 EmsE8IZwWYSbmVNvCXRnrjUarzmUY57I+xV5DwB6oPVstUMbXX6a3NyJdtlEXejjZ8pdU2ViIfEc FiSB9OBEcMDpXOwl2IRsekKfDd+V5OrvT0RCwXBBh8i5Eik91Nu/myUxN/idpmRW6/h2kd4LzIDJ ezbUyE06I0n7xO9zlB5I6V+q8icmfdv5p9pNJ+89VH6CxknLbj+g669jwIEAPcZmNFYHfIzSwoVg V6XVFr93Mqzhu5WxtOOqPj6E84cX3ZlRQWWAAh+n0LXJWLYY2aS/5bZNeZtK7QcLUyqcV7124lLg x801OO42dYm9U6lMkqJ/8v3TIpwMBae1UulmG+d/MtrEWXk1Rx1ZnWGBR+RFxoZ7EZv11lF200dP BMK7AD2c02DKGobpaIk32553yIsTt0YqyY1lWMBrFHbDTjCaT321RAiSoU0Xy/6Ftn6Od9EPDKS4 D8mcQHh8U7HXc1jvG8LFrwdwH2RiYkoKUM42DKZw0/F7mv1oijR56rM5WA0zJwM+02EincrSeWnw MslrmxJdQukUpX5R85oxOF+SPJu7m2irZBFegznnSIa5jeLeXdFv3NJKJ7WyFRCNwUQVQKyV6Q7L J1FU90hAeqJXOBmdzSYIlMZeQVpDZuNF6xU/JGJWZNMbGPhqUWLHiRxJtVU10dnj62k4NoTvBc1L l+6yqSQ3Sy3xExTkFK1cksxANjp2EM0TP6mP8EXRnjYbgJ4Py3vTSJGocCHoe26fG+7LAFRv4xUK au4ElKGNksEkKvW10rgyjqRRAalquJKuhUuLVQrmFsx1hUlobSQb2nulygcSdVI7cSFrRy0sA7k9 bw3x5JOCTk0HXmXJggcN3JoSKSIuJl5TDU6XBeQTCYWRZRmzwT4+2iInazXGfCUYKkAPNFVqUkHV MrhArfZu26eQ9jDrqoVkxerUyJ5Fgym/qhwPOIW4n80g4hj2HgkOcNUIsoI2/YBjLVM+/a/4SMML DUjOxWZ3V8l3yGOsWjQMBQrTozmZi6U0CUUt6UlEjFNGBbVxcQgSAVn0w0fKAsZKF4ryX+3uSLRG j1qYutECJYmAnig3r0UKUVhU4nrpL/DViQCFIXK65oxd0WjpDalcPaxe0CUP9WtKfcK+aylaRor9 IOQfSp9JFzYbWO7+3vP8tpinkimJEpIivtGdUXf+Hb4pG1I/Dsbl/E/VUj+wX6hwa3SjybodfI+C ssLqc4S1SGoouri6+sLu+r70FzGK95FlgB4XDqk/NRjIyw4kf5cxrI/m3LjMPIyF4MzMK8BrZv1z rGjN6MdPHqZkV7Y/JEYG09slJzSwulYPGrZXKJORO6+89ZinZgrMPP3H87ygIElaBOnvCUMOp/Yr pUPNdDNUJjQJjKzv9PWSfirQQBrW8UDuJQr0Wdo9860VSUYeBAXFcQE9S3V2RLrQ2bo/jYS4R416 3ndD4P5YQkJPwtfAE5HmdpGx84VypgjF2/a4Rg3h+9+Ra7qHzqLMHF5CMzAyChEo2lwmrCObp1vR kEfyAqJZWoNTAWMZfCqhz6I5on1TRS90L/l4duz8kzXPVVSftOlrWt5NsoN0Ywtwq27h8LtpiF2A nrk27xmXNIis7Qn4YMvdbegJhHklD32asGWyjchhaql2Amby7X9rE8wtUEZr2H2FT9G7q6Nv0aYh 7XEL4Y5Zsb1oeZh6m1CazWeLV51lSd8MrEi5p48F1UoazDdaAjF2zQG1blwcD1nZpMyG9RbtvjMP W7CkYRt2+KELBUO23BPRRjuitURzSyGFLPMXcOuix14nfPlstkWHXgr7gcN9w0aTp9ScTJXum9Cw U1wUTTeSCKYkXBQn9KHRrI3nNIlXsARH/fdxYzwST5kiBBJNNR39iBasE0Ur0PWFDUx2JIltl8ha lQw61RmXkCNxa5JfktGmOyJBOsDrTyZIqwvSUKrCwMi+qz6aRFv8U9BAvqdzgJ78bFt0Fr/ubPXN YfUJQ0djB72ljBNL0er0I5FczJvpjUpzSI6MCFyRZpEH46vKeo7scoUi0YUFNFBPtGY9NkLYyXLg idvaTYUlZbVRra/w6JDrO/xqGdXCmthQd3ZZu5IsTlsKcJT5ca3yDPllFE69dnU5UGE5JDaI9fuO F+7NS0APDYvCTMzzDYULqVwPIhdMSWCtIbrnSuHRteqby41o+z38WQq5z4eKObcnDsz4gkUdC7WZ LNNYlH2ixKYqDTviAA3S7ZiKM5mRVOZvpj2h0WO9w4HuyMeFRXGgN4j1VJVWjjb4W58inib4yqeu 2r+l11kIMjL5cdfi/7oHbJ528b0APWo7xqAbTlS/yJip9PN69A5w9V2aMPKK72n4P0KR7Qw4Eq9/ OPQf9Z5/BeRAuTlKa97u/0JxBVdxM2Ic7pf2MAjE8WF0x2n681Rr8Wk1Wxz2JdE0rkHx58er4nwx C6aHSTVQOrA2o0W+d6XVFGxKowoS6aft6nC4mdxT3NxbFKGaHtBzuahHIFHdH7fHMPLwDjVu8I94 sK8+LWsQJyv6bEazxfSQvwJ7xO3Tkckw/6/0VSOrPAWlpy9QY7gW+d2LP0hMHNIxeJ8cmVgoRIuG 3wXZcdyseRghy9bjXNmcYP1dfAtaSHcDttXUxqLTG0wXRLqQqpYTJvNKmPCZZvwY4tfm9j9AD4nK UGUuacj5+lXkCjl1frcROitf+dE8qhYy3kCOBnB4IifMKM6Hz7XGWy8GxpUylwiHb052mH4s/DUv Sh4o7GkBurzmqRy+XIjHRMf0sdpJ3UnsG+J5CmNJ7CUKbeaoWLjg1ujQ1yC1+4fbH9KnGNHbJqSU pW/sHZ+x/Ka9e1gyQI+dP26rq93V/LiReP/cWeZaAXUsO9ECcS8to64PZboPZE4w0h8mb398/ZkC hLRtrJTLPcNpR1R1Rm3fz74mWpLpUjD37HWyqzGNhh2rXWhmQQdYP3+qz3fSU/2FaDJuXFmademB UKtQit6QZXzRJmG9tVB7AaAd8M13cfKWyLhHQkBP5tQibBO4+TrImg9bIhdcX9E4B0j+mTm2QiJM k8AlsX9QiKI4FMf84WBbciroWcwUyUd9ob4xpZtn7ILO3xQq1V94dJfw9PtrBFLxQ7ZZbW8OwZsg bh/LWfEXcGFIm/V3qeDxSudL4wecK90x6UjohzGQMiF37/NW4k1gt+avAvCAnm2CgkOZadrBc0us mbqc3OdBmwl3JvxGKXNYUh0on2jDWsjdK0LgzFWh15Suq8K5eBCg3vHlEftdOm04RKhtkHiY5jj0 aalXQZuHj3bqXb2LmvnHr+4Jm+7dK2SnCI9fk3mTQnvjrxmkgb13dt8HqNqTH8/JVN5sPzpcVfa/ f0gqAT3pD9symtECsLEyN6Y53lp89Nc521J0HqQtQMfJiqX2+LfR5BcZVDNL9wMQ9fO/Vs1l/wx+ 2W71kyhcZfqQnrUzSSWZajcMBNJumMelPdUGWe+TkFIGszu/tytUiVVgcQ4cqEf4Hhlnd5NF+xgf rSrbSeAEn5Htsrsy2az5Y0UfDwJ6iK/ffIRWWrOXusM9OfGFwDmB9if7ycECji3l5VlPU7zfqB7A xk83zN7Sb/T4bltcf8I0YY7kqEbZRKnNAtTboXhzM9MxT1zhVtj2GrFAZsjKjOXdvcehr1ev32ss gDBXlEcDYs6foKfE35/e5+5nKB4FFiXKNy2utQzItTRXlukAPW7HcEZjB3TAgjbW7LiyORx4oGTY EpDDTBwxpH3wHo7+JrSQ1m/xI38v3p64GfzIlGXKknRFBTZna7zkyCef8eQ7YOOUS9aeamWeVOmy H8zIJu12dzQP49sPPIhdgoCZFgOWKGxetB9clRaw6pF6sUVuBffyMvE0yz0LsoFEBLpxAD0ZKle+ D0uWEArhujd33dIQV9RS3ZolKXvcjhgrnzYzpBt4gdcm51hZrfmsYAG8mJAXeNV5CHMzKl734boc ApgcLNjJv8acDTWVdFotKjs65eVntHMhp9KGYrZmr+a4zl3rdvBL2q1QLTtOyDKQFQjUga6VeiZE rrrMpwKW9sJdPgE9c13UYCrtNdF6LMvz0KZhN0arlmeYcysRtvGfY36VoHKuZTHitT4Cs7qHalPq jyhSjYqqbjR2zauIJ5sYSQz3eU32KZh/ZTkU3IgOIXqJetwmeMu6MuE2Ga3d3lwnXMB2voTFguHx embcWhpUenIhIDa4HwclP1NgfgVnfx6Aqh8DevrHGPDfOaWs8Q9jTmnwY6JIaHyza8I0/5o7/dPB sLKAgihNNUoLRMGE+ozzS0pdO30x8M9ldHAnDOus6qYkDmlfBRW6bZzelYtRib3mQsPR78p3yJOo mv2F5sNBTXes5y67DgUE184DouuEVHSYI6xHfMFVdJ6abFHgBeesq4kNCrj/huHuqP+hQrY/4+DP mD4hEl+XFj5seUfReJqDSmxxMxTl0Vdd/ETsG0jmMGItv+6rE8JSocMzU0bFVRcJDVspCM+HjW7F 8LjQS6IdoXKJ5gvFP6MdTWZE1lYqHNh8LzBoBy5dyQzlRDZBNJuPYK78NkUclk8J+yAPr4sPsKeZ 1RsH9MiMU1Q6/n4kuTg7iezTpxsX+EX5by3A2plVF8gn1st4loRXxFdwb21QQtt9aozYpid9rddS 89CH+kmOPwJ9z0v/L/pIjLG4NaEz5sxheIltN8eWhww10bl77iHFy9HDJIefItWDLRkj4Urq9VVL vIuuG6+RF5x/mWyUd0ZLBMMfP0BPINNRUTUo3rDHb+RjCYVwzdtfZ3XFvLr7Vr5tltFTXNE6bJjY pDdbxTFBSvoi+p+Dr4LU/a9/ciOkpz6cmx3eDEW+YT5OK6EaJPMwQefTtjgk8dxzjo65F25io0dR 1ejM8cc92/oeByF3LquJ0bPtHBAbWXO6D84jJ9va8DfXwzAAPTsyWDRTcDQJfPYhaYix4Z4PRh6/ zWnl44GbU+x0bIGFBXwlOQzYx38e1Mq2OHtvCbJistCCR90o4V2z8JJ0vAVem/SyZXMV1QYjteJA IVVQ0mA9ExK4J7nAFYiFIlsiWIwPqOsas9nyAj5zjCyXuedQJVgujSsvhW6KA2+plLUJAT3+tl4F vjdydA29v+ZlA3ggKhmKy7Zx/3noDCO6ZQjxGP2Bwx4lacWVMi6HVJBwpjrqyWw+EquZpd0Bt8zZ nYUu37yQODQj2LsJ1fetUGJuxzgv20eeiZHVx6kkOdX0yqm24ZXJ4RlaVtsKRGcUVX49y3pmEi8g Jt3G8pAo98rMGgb05A4GGqIG1LHuoae6vsBfMgYgtslqQ4nQo68NG2pvt3SI2KBD5FYQQ9Tlm1I4 Z2OZTBXVcDdggLaEY/4YhOF0pXhOwJCk0DDBL2AqGC6+cRKTNpcyDLFyN86xdYSxx6g4WBo4sEpw stg7aAUuFoijyJeheu6AG80Y3nMk32TOY6OF3b45hGTDiR6HGDzId5SbbjS6fpl1xIVlvZBBjDSo jWueFDWlcDem3nrg60xttvLt0dAG8BXpBW4GiVGvzgjeIAUmx6NExpFpxKhecWsiF4hjqlEvN7rf t20dYWYzYORyrpIsPDJCY9Wy0OV4nPlHHYJDcEw2hfrVZ+a7ycIayQB64uinlMOkId7fRzxATGs9 kxVRyNK36OFlRHPBy/dhF5Agia6oPY89tLTylMOO7RvsvZ5lRWKHtMHTe/VtYuNsXmKQQXZn8spL +p3MXoZWTTSSslNCBYvHZ7Nppba5tZN5KDBHq3OOfeB5BVi7/ZGw/kqITpqNYXcnrgU9RcxMHyUD ehptad5oECbBWnR78IkHJxD/apcsmA47dpRR4RD3drDS85uXiSLTJv6O25/kgleamf3dE7BeQRff 50p4EAlDLpF2w9xm/TLnmkhtTi6Hk9uvcINbdT8+LiwLT8Tahhyyi6mGQsG08oi8wTMNQrOLxrmL rBGJd58+TFEg7D78jeG/C+hJMh9kvr+km5Y/H3PPR2JzxdX8UykFxKv4vK8SFaRTo4FgqxN8N2MH lQE/Y2MniOl1ED0wt9crnxlN3qlMvt/iaqQZv6sfivkoeEvB9HaMcXPAIvwSF8rs87QI811whPHO 8oBtLbvyCH7dwW0vkTeMp9T2MEgy359/QXAHSsqzZwDowRyaDy/eVJNAdqMmR5B922AySCpM2hhh J34QRiey1ELUYtFWCDcrOn4Bqy1xsWgK8RdwW23c8IRtlvW6pedXEDHS/eeyygMN1yr0qYg6p8uC wdU+2l6Jh34FSyNfLw+2S3IzZtona8Dx0RU+NPEVsqy3zURmZb1Pdis9a0hrlZMA6LnWUwumj9GJ MrVfUp1mNQ4XLe9AZMeSi73x3SrKEKJtV7aVBm7tzg0PYQ3iWs1teG0IaFrXfSP6sYhx0eLAzjs+ oWJxq53brC9VyHHl4OzFYYv5m9BBEbdsIgRpuLTN+vJoFxyE/lmByqjM2FGyJ7IvgVUvW68tMLF+ zR7wIFC2+Z/3aoFBaHBhJ+8mPTXZ/xthl9J5UR+MNEbApRnxnsSXH4qmW2XBgnc0so7eXpqFznvl wIg6tpd2GuHwchqGh5v3tC+Bq6qk/v3ucsFHYLhYim12W7t0Qq0YQS8GVXeDkR3wopUSU2jSq8Ig 9sFQDrFyboZ14xvz8IKXhqkzqETXkgroCR2MM3eHvaRZhY6xrTiRiaST01kiYuyFRP6X/EeDhZnC KtWpr2x8+M8+yG4ypW0jZbm3AsH8aySZ1r8xxPLkkF2nqNnR87Fnx8FkugYbjfoX94loEKso2P3y JhJz92KKPKQr9dpowlKTi84FrF+gk6vwJj6wZkG3TkMZV/B/m9xAAT2GovmgI34yWgFJG/Rl6E/V 5+JjZJ7MU9h8vaJCfn9suT6zvMZ246aqXgg+vyZ3CzP9Wx62duoEpI6PI35XB73+rsjym6qSPHjB P3QAYawogy29A3LSwthAIh2AD39HyRY6UH7zQwhK5OAfNM7Zkt/JbPdYwrGzdUQkJWHpELTFbAT0 7K+WkDQlQbOMVdOdhnh4/MOpa280cNiIdbFN9ycTKFtAR8Z6Mk7k9f6r7mRpkJttxbn1op173TkC vtp3dUSby4vfV2qu11j/JiGs5VUw07+qXxfmHJP4Hi8IyzcUK1tDoMut4caXq1AOTyzC/Ilqb3hU J+m6VqUB14Ve7gXiuW4H6AmJpQnhJtrDaRox4k1bIm3SBD65Ytr00J7Y9A7nXHf90Ct9chcwIbtI zezS2Dx7zjhShg80TmsOlGi8yAXmX+VmVaqb+WdGvgGLhC3/EmoX+yeESleirft5lG+19KGkeA+N rEiwmjVkRHDmpv7jGHuV9SsDDzzuKF512MMB8zmxAdDz7rByhEGfKTiX0B3dWmNW/jT7D3fazdNn Sun8B0VgVgv7Mp7tNzIMkHaeSS4/CT9yZic7VMutvrOemCKe3G8tXmi1i05Ji/5ATlZJ06jcalgT 6N3HndVlOV/pKbdZ+97g5mCY+FV3VJyHNj5Kxy4dMIuYULJwQvcVkk7HXPv67yLAfdj+S0X4NJle 3sobXhG3umkP3ISfct+JxqNL3Vqq72FGxOMxTeRaGpE80pkQCTwxUQk3feqMwEycZtVUlxH1E4EK S2nVYz82EV5ke5bMxcUp6jMGUk52MZhBHbAZu8iMgJmcS7doOwRbA3/d9iHMckaPSyJQGTb1Azme BItnAkeVJ6Dnti0NIuOq0/wtoYJI3qfrJ8iN/l+J4JlUNo6Xwnf9C2N64cdpWYPKvfKvDsIZFeck /1Tp3Ymy89WBEeSvjsMqmRSY7HJeLh1LjKd4hWDaT/sPQRpOFY8wVydgjM9/9crFwuTJJZVMOo4b 5oXxp4ov5OqCGin2EkAKaIkQcTGSq/uAnsmprHLDDElXvYu7Io6gax9yquJ+YOc+OBLFZ+M8953y gTsmq+DaX0EzMugVuVO61X9smw5rFFEN27Ce4BRXZlGzPR5QkrU7la3rHnqM9EkiK0Q1qUR0mIrB VEyzESKgVti/Em3adq1/OK57YeQvsLjMCbSdhtxOg6k0xVcaKL2FAT3nC+BjUvh+66Lytm5QcPXO a/U1tGwNVx7E2RpGpYsVweIxxbXuYxwcxzTB6Bv1GjY8FaIUpvD/nPXo9jj2NBTr5SuiafkF9tRN waNqzgyzv6b3EirhtpP3xnlqUPh8StNb1UYVdwTa1MEzTqo6IPTOm4TCoFeMW9dlNVnLE/QdowA9 nKTJ4Npzyls+KeHe4g7bAyFEIbDq8J9bkhV/TJKNXDayW7Uh1aMzBKtvuDQPOkMsDP0roRqLGLAP ghK4Z/yAzGvk48EuvethoB/3IZP1QPyIrb9KJ/cNPDfT0z19iFK+8WvZpUTFWBoV+iBRpVOyDdha JsOXZ4wkA4dNYIP9btMAPYxSmfAQPrAhEDsj/j2v12w/GbEJiyrNGW2SC3QK+2C/NwoNy673ElSr omHZC1TU+LX12k7FLO2rRoFZt5iksa3LsFrVF3aYfjbhbSQz/f0fVEtAgYbpdguiHLPDkwOhE63C 8/+SlaIB47bNz/0dEn7x6Mcy69PltjVuimwx6mppBPTs1FiVltzZKWa+4zeEbzev5W4wqVwgh9Mq r0k2CG/GC48ltHc2TXVQvpBkMiRbYgYnVMwRE+V1QCbAc1BicwaMKby8H4NC2OCkyeoKl1I0BUpu Q7XOCBo+9s/qFp3nyhzKhkX1JQjiEJjTRlNIvqzyydNVBDSxDrOwFf3l+HU5lAXo4Y5+Qg+UJbSq RWUafZOxdMCNVm41UmAmZ8kx+nM30RvlzXyiXUg+lkBPVB6KUl5v7aA+YdjbJ4dB1KVB9helL1zW oBRmCo7OHEogC/fo/ltWOWlzb/8FRNlrlGyoq4341EXHLsV18ZfLNxYP3QWGpntcWd87RKYicvm0 9C7ELTkroCdtK6R7xaTU/gvUKVLo9iaaZteg5tWckd6Qy2C2fwudVBWUbWVOSeuhpIM9TKE47fnk ZBstMASKwIIExCPgxIJafaaFjblNeJVcM7ogt/wj3qKXnRViDZNyCzGmdhQ0lfuvq7thuHDEm+ja veG4EbDk1mSNPFhVnl7DSqva7W5FIaCnMs3xN+hNvvhMqJvgobjSBHeKEiGiUVcX/yt/y7qNcmtE RHh+7AOtC5+gFjm6WURBELEh1wkloR9Fg9BCxtgz0ApPRchIJm9bFjk3xGhrRSHZrl2izyg27bUx dV/GPeGo7CIo3sG/MWKle+LIdC0HJGepg9V4BBweUJNY1r/GcDeAHsxtIxzsFEe6wXH8Ezk0hRrk LUsmIVz+Oa/Qc142E6nuoC/gELNeMJy57/EP4cFRhJQ9G+F1nasoJpXnkGBHIrQSJBuofjTogNtV Y2uOXW6+/rXWa2h7qDmlqDH71dAvnbGBueyyaIMU++P2mGvLaQwzsp1mikTTs5x0euSQlJEPQA8D PkLGRyRc0AKMGQe2TOJT6ZtQ/xIjFM28qDKJKZ3N9slCb9L+RDpzCqyyTiuDfSIFybogR369mh74 0ywZqznPVId5Yj//b152TRaQHVe8rXwL1KHz5lmNA5OgeuXYWrLz+TUQnArpUwyiwa/VUkpXZJm+ 4k7I1FHmBrXaYW3DJkBPrs2qfzRDyc2ognYEN58ARGxAzmNLKpof4Um8rBKpMBlOqgVSI/GXR0im hqp07zYI72awtF1+tbLNTaVnPqu/TUgXZn2NWd77OKG5PgkmXjlLD/FfuF3e4juJTh4sDoW9+BS/ KPD7KvDIYpLxUxf+LWfiqhL6AFPUm/puUxhgdFRAj8gUybdP3p/PyxkjnFifrm9J43bOjmE4n0Eg 6Qdcfipb1XMd7oPNmZas6WLlUAsdRvgjklh0WnD6cYWTafux7BeEVBDHU4k4hAc70KmRhzDgRnWd CLN4aO85a9jnIS4/vqlWCPhWA+UdxG+PGKw26vGIxUwcaCc3IFOQMPoZiYNzQA9PXhUMhkPj9pvV KOO34tN42MGoGkJursBVIAf+xGF5HZ7rP4v479lJS+ytEuRhmQ40h/gZkA7ge5k639v5toiqP/hr adLvQkOple73uVwFXTHCGYw/fi5rlbUUz+4bv3wKUMbb1mvNu2O8Jboe+cUJ0c+ouLFY1KuLBZk6 rHkoCAE9Es6qO0sVynMyZus2TpnEoJ4BA6azKq+Tffa6r7ji0ffsqstadJ3S1IKzz+jNC3FJbWcy 6yw3W07l/yzwGZqWVcNG7ugttIEsMKcfvhzq+NwDrPpguv/gK1G+o93iz2iqsXibqRs5OFboMNzR C3dj90R6QMmwKxM8D/85iKxDYMUD9GRkO42MO6W2gnK4wbSaJ13KJ4AFODfKQlD4LiQjtup9q7Sr 3rpUYLh41uwKLGyyJQPtr/DnaQXVexG1OdI0FHIAPWjQ9bNHfc/5NkF9m223wUKkpe7uzUzbVOZD gqBjR4/Kkokqvfc6BTR/6yjK8x2K46TM0CTNFNczQYxIxRKs22/R6BQhoadO2Px+MVft3AhQM7aj vpiYpCNIwu1kkrS70e6zU3n947Pq7yBqWXsqKvQ1PdhJYaSfkjgvRITnHjOI/bKiQRKenLZQiOrv /Qs98b2vXlnQZnKLjZkejMYS7pQVmm0gP9cLuDjAkmLakCZJ6IbG1Dd0k+z+VvI27/EfoIfrdAGy hlz1kDsp2xlbvFRPjKdzfsX6a/4Zs92s/zXgNm/3Dtz3vKPyX8ImCO8W8duibNwQ0TldPyfFJJWF eJW1gzDkQog/rd6b1ym/ECySsPceiQFE61qS7wLWCo8EfRBT3krHPqvWP+jlbFTePdbqxZ7fiuQk bpmOa3XxacApIYAeNgnmLhbE+h9+l3iys5ZWeXZc5u/LaMqqDVI4YX/CCvUgdmR+N1KuGaF5Ekqv NRltX9oljBD2uC5tdQnCxfkZh+34RfogIlU4r9WMq6vEwLuaGzoXdhHV+RmGV1GhnKcp9IZXmxGP zRhT7UlzM7Bxc+KTRv47IFsaocXjbjUYOn9Az+kBYZxpPmtoMzExyIEMPhJpe20ZqRghvub+KGt4 O+n2xu0BYmxqYlbS5vo6/QserQmKVRIGz4rZpgbZ7JUTvU5esGFzn/DUoGnldM/uqgLk9tYeNCMt rYWVon76jEjep/hepYZPLAm3Ja2llKEgj/eIL30Z7Gce84XWCDixLsQJoMf2BQuqlFCWUU2eDnaW hjqkr9Il0k829RcNz0Zqd3RJYKbE9/EA9Hb3YD1CC78G+1lssllcx0dWzBo6AVHO3HaOLNo2r7Lu aHqczhQocIOGbXofMpIG9Vch1krP7a3vrIK9aBUmos42zngz5XhN+7j4PRkV2mHp99FNiPfrOZLw f+J5Je3xtcx71JojWJ5PGTIezGjsr/UwJZ4XrolZKKFQyyGli6CzGzE61e1I22/y7YuosLHtiamY EDMYjXH9VOqJrF6YsJLUA5Oa/q4iifqSdmVs9q4jOXOFPzMa9qx1OmNz6HSk5eGjmjaarb2CH0KY Wn8TIQSKnbgu9M4MGtg86EYBPVqYL5hOtpSb4br5OUj9+TVs+tPy9wtUtRhJMk+L+UpCA4xWsXr5 SNGyzyEWQVJ5A/xVS47LOs06b+XTYxYN38l+H20rSFmYt24C2BN4iDj+cY92krsQF982rzlOT9Ke 5rxHPl66yAaCmj5eyQ1nhGTZunosYQnkeRI31Yjakkq+gB6DFZtr2VKIK4GyBFrGX50T22kHmdci IlpGdIgng+K84lN4eUNak2cijR1xL5qC5TzO1CYC9x8kHpL33QGGW/KLbpwBI9k6xPLW806mpxVf tdzyXyI9rO94ChaXzb8jSXXTgeGLnRLWCsAEwsZXtoDcZ4OzB3Hj8LoKEVwZ60hXEzl0PNKPWcK3 UjZCmEJWy49YeLCBnkFmYn4o4NuKXMQEXcm8inPKRq29xM+SuTdhKXJrJnRcTs3tIJjQZfrR/cYq Lr3dgrrjIe5xGeDgz8XtK1V171pWft93j+gRCu4n5T5Ns+AKkG0YONpU764KWDhNsbdRr1MV8gUb uZ/cZEcpPWGOTERYVll5aCFgpOsB6QDOx8Nb5ChS3eQRR/L29PWn9vkmBfx7d1Jy45IrzM7g9O4S ZCM/daRAXIhW2xibKz2WkchkCl21KVhXEyFiWKEx23cr6Dlw+PaHdqh0dXRZMcaYLOEteFIwOy2d TMtEBN8zwdCF8n9+maZmbdCwK62wB4D8xt8lY9ALGHfK9lIdc8SmBfSoMtfwbuOgBRajKzbJIRmp aPXT/sMxVvob3ZuzuOhvzHeHnNtigsO5aWhgWcoQ/vJKQ8vibKnptESA9kp51VebTZjYQ85ATviy 2TDuvUUZ3b2n/OS9gCi2WgMU7l6H43K3eG6Qp3H3uGeH2rbAXtsApln2leSUiHq8O6rk4YkbJgfo EZ/U8OMGCihtrTZR0R6nO6o6cPMfQ3xYaPNRo/fcSJIbEE0utpLIrfpNqg0B7pm25t79QiE9KlWU ZPwky9uzns9Ce94m/QxOokP0x03rIzf5xzcch7M9lLTZA6LlZfv5+qvvTnfpbfgFW4+5O4LecZVR rH1xpMmV9JO93yXevQoZ0KMsV46IT+URV0bZgD1SaT2w8DVGjOMoU/SzC1IM2pgHZSpUfapxF9RY +mERRZdT1gsBWdOhKzAebUXUap6Ac0h+9TPFGYu74QGBBxYc+Ti9sBpOHKV0IzZBtolSWMW7x6Av z1Rmbkk0XNJj7DEbU3C6Vdxxz4QNBG7F9jprCv5RBuiBsCq3jOQdkjCNgzEMO4cyNhQ+zYYqmjo3 Yk3IzJax1wQWGoTdUspSuakwen7uZtZ/sbVZ9y7JrXlpmKyOYwzCgxnA6hpk2by60yi0avFa+RM5 EDwo/LRxzN7DC/r7+DH7/GEFa4zhMW6w8DdexXbAMJqDg8hPZ6IITpgaToO9HzygZ2AU2W5dgVA6 /hJjUsjYfqdjAvvQS//ZiTaMnn/tZsCpwi0fOGMrpY6GFDlEIf+QPIEv5O7akarmCEG/sc2/6T4K KS+4jumulecVFanXv1h8zbxqGHjlD5DF8M1SSsA/3SObvknQrLouLtcgQmZBTbOSrJ7n2MaFk6yB mKhp7koGQE8NsCgdH7BtjLBbE8munwFQGLrzPh4WSBDOjothseNqy1PH8hrK9CeDA/gZG+qjMKS+ yWAvh9EvVsZt/jSyXyudZv1UBnDmmCRcs4EyVrNXoO2U1McZOXbVL0PGrPSNR9k/E0OmUYPn6U78 Luyyv0G1pZUoKfillzVoaMdC1XBw+QA9oJ6QcPrs/8wZG3XcN+fx9xSAA5Q4E/h5LBR9WsePgaa4 vqWYZHuAsX8oaL3cUAtxvAdAOSk/pKzvYt/Ec4BcSXQ9Ww+QyMuOB8hwFWiNmND4IohmAmkNqFVB TSv8o5Hpjvm2m/sCmU+xg28OK6+PjFSCUd5miyllhWmWQF2r6tYAPX+VO2M7XYCjvFueGvmbK6yh k4v9JRfUfvnaM7408KDSVub9aT4HbiJnbiFTk+G+S0Z5aLZ7kXZ/vfpV3qTQ4XXMSlWHEKGBg3BF T3b3p06xubUz9tU6cMklJ7YwnnNr1dtxSmEvSvRD2pmU+EAytVe5IMJsH6Q4gaeC6IJ2WX/WAtDj SPyU83Y1o/dku6wlF/2dOKwisoE+izeC5iiSkR0PBQ8pJrSmVFOJguA2l0XuX2ouS9LEIlvzDEdE jOjVDqb83VUV/m4wuvvc8jtf+0CeqtZ3IueB6GT2t5fNsWFMrWxc5doVmTaXWPF3DV5N8n0qD78Z lFHGYath8BEbBc6lewagh+IG/lnMir5dNBla1NJ7L3Y/xze4TtWr81LFGQHfqfz3lHJFvI1Lj2gR zeC24dxWA5xlo4aOCcz8GYH4r29gWxPovmpvLLqUTnfCvXbMlhuFl81tha5ZXFX89fsf+9vb1f6p cl9ZaBVEcbtXJef32Zs3c+Tn7qHGjr3OKeI3Q70nQE8P3t6f8TZsVaCFMbuOgK+ec86yNTuqX1Nu d6CcDLy3OQraPdisoAv9KJ5jc5RzN3pkYUQElL9NSePWDVns+ydbxzbT5EpaNPRaI1lFgFk8/Qy+ Hb6xlsQc4/P/tlPO7yyD3jAF80OgG4IaR+vaUHTcYP/QMDMyK8J5alwggVig/ee/CN1alW10FKEB W2++qJAU19QVYd2apXQZp9XTz/md+E94bXqbrVB7wSQSoWXR1x8kL8AGZ48xgW1l1tAhhcuEuc1u +iooYRnDdEltp5u6SQP6Qj2r4TVc7JLRpaFbaLk6UwYNB9UmeLRHSvStuhHUmMG/8AOoF/2P+ge+ ivio329GQE/Q6sBa0cCO68eMEvhtjV+5eegeezUpnSW3oDbadDocBSqQF99Sn1zWDI/i7Yjc30VS SQxaP6KHJQ8pxo5m+NaecPc81X9WGdVwV+VPImNmN7cUxc0OaOdsQbZm/mv8frPYLUSGcpz7NwNL /LnhV2z0Px1bGGfP1w3yNVFcv2cw0QA9yCrURTCXaMNceSIIT28rMC5XoXeSh1VYMCmqkuMkD4Gy ZRZvymQkHkpipauJ5QhSpoSLRFlbp33JUbLJwCgGv65xMyNV9xzb+oC4s4f2rPstYlo8eWMrm0G1 CtpjYbrIr+uQRdW/bFS2yy02wdPrH0qGs2nCSYMZtwPfj+EoJv9zz0ETs9w1YWZkZKLUJkGMQhJu r4tFn4Lew0m+FLKlhwShFAmvFmU5qx1cS9u6D6ky4NZQga8ZBh8d7muhQszaQWIIeUHjQTNkRfMf IAugJxjhKZP4Cqs/gWBWDDom5sAI++tDGjOWrei4s7PGq3mDWbtFO/finWZ5RHDrDXdUUJCuAuiJ ijVzDNPcdMRKuQ1C6Twnmv2Tah4jguGL3g0dpWRXzFpLbLp3xeEzcZhBfqsVDsabdWyzGUUIV82j pig0e6GcMZVyY5zwnHSiHSs0Ppph4VfxqxaOydyKNb8ppEsF8a1Akie9WNNg+fKBQIurjerF1L94 nfBS47CcYTKN5LJqyOY/8WMGa1XbrcpX+6Re6/y955er54y+zHaxoXCO0ISFTvg689tvr5TnDv+R PM6msw4KRSi9a9dKxBL4BzEspKXo852HFxtj52UXYQN/zTr4XYlInAHRhj9LNfDWy7Phs+bowqYT ORTE6FolbQqnFLOxOaOCpJXXdyD7l4HPbslhQd47oOdrkzEoMTFL9rTCrti9XIe/nzrkNUngzaur WdSytdePISHKCX+ea0yIy5zKdtCQ5htjVi0Guhjul74/Wvr6p78NiFJ+tu1FpgueVc1CayZPI+fu jtdwfKhwj4CFre3jUHDcK/bSFDihLV0zJyI13ncj4rtZJ+oyHQfDF3DDowWKm9YVyZLB1t0/xsIB Yzmsp+/CHf1rUAwCmguMYPYO/gDEqNDUoExG2GjPY3IVnmn0Fn/wqFsfRInRh821CCOoz6fozkaS Kw1TOtBeSw5qFpu+IvGFJUaulH3b4jEGFCL8jDM3DCsLf+uAK6WEkLcPJUuEvyiaLkhysVVbVJHo ZGisgJ4EbqZL9mFJsbUSSZS//2TFe2gw1xn+nkmkM9PYIvq3lkLqm7UpvKy0Vlz1RDcSYjDRB8i7 RGjrXVp6NgnMSnpqvPPZpovHZ5Orxy4R+NH40kB5+jEuBRSfLWVFx3JOz+z84ItmY6zohRwTHshg z6ElHsrg4+G/g1PhI8TSpHmRugF6/gwRm3G2aUXAu80WR80ERggrJvSZjgXIE0u8uvM2ZFnyVAuo Jl1eFeph8yid+FSor76QSd12NTxTTRJE1JmR343Wz/Zx4mwffYkvwPur8LBLTPhINZlVzMmvpxkA b57TvSywtTN3/T3avew1+i1TWf+mfxdFOkcIIYuW58EL3LcJ6CnJycgj14iMgjgOkyPE+w2LK2mx LDsKh5KenYdIVJwppcb5bv4PbT9+mcCU/X1k530H4v+zgbTa/8iypx5hGF0NoGPbtm3beme+sW3b tm3btm3btm2fnNvZ/2ClT9OkbfC+UDr0hyqybmOi3ddO6K5Ri/YjQQVy9bUcb9xRD0cEg+oBwehN VkxG1HcmnGs6vo1G9wo7+9Fny+JMN/Yc42hWY63H0ahGr8RfD6sGSL0ZHGZb5sm2zh3Ye6VMBTR2 dqPTdVU9qyevPJTUjn56W0C3Gy5VS3Rgl6ymJb/yovCO51zTkV50L8C5XxsUA1ogayNs24Uvq3W0 xmcXGCMjFOD5wGIGFZCM+9XpbzYdEmTKXe2RnFMUDup4ZVKW4oi3M76+9WJn/WFQAFD4X8+lUotq AAQPKKBe7Cs2mOBmANJ0BeOLsugSQnFoUehXnCkXS6xsYkRLFjhLolcyKmhQQwBgM2g2ln3CO4b4 VvhWSh1uFTSOAu1/5Dk5AHhEcrWSZgHqA3WAprjL8PFtcCokoE1zOXRO77n2GzZsh8zMLorgaNUO U8HeVeZJcnOosn89VtMX1b690VcCd4CAyLYRAeIw3x7fujLTSzGnwC0vGT7LboJUH/ydy7OD/o7q AXUESdsPVz8TyKnSIJf66GDEjuZ2DXOIm72gH0ZHzhqRVhzveaG2N2QiETIdqeu33GO+ZREkFKOv hZIzc3pIA0z0LMhJDa5bYzLOasR7+NtTmLZ/Pb8shImLUKET3aKWiX3gpUFeOpRvaKOAqOpMpuXl dfXr59NRaT1NCL3X9wx88zyW3LmrOOsbW3gLbtmBLEUmvXd0ijT85Aj2YJiJplBZDBf+H5IQ7yAb VDXaA5lrLQQ3wirNW9xkfAq7M7xhmU2hyJQ8lTIcmmVfZCrOt1Y9/HmQfz3RmOEmRAm8LVIi9ulr dMyb6Lj9x33GdgqPGqUBvKbzDXrv2ntX3bfLxHftvcyUletmHNexsH0O/0WutMin3o5eymOxQNcG KaJyJvOE4MbmcoanTFliTa/bepCVUNAP0PTXPoyOUry71Y1tUs5h3rBJ9mdb8k3+vjDSwgje1Wsa g/31ZDC65Ih6gp0E4uyFtJIAigkdLdRelllust25Ml3qxvqbGu9ksK8aZo/SO334kp50vqbh0qxF N9txBwBvdlzMKi0rCsIpXDocrvtIaS9/NXBXsiy+Xeee7u7BwZO908yNRNyL37+KxUz8V81+Fotl X0PaKs0Oc29pzrrFaM2JFs7010NzCdVcqkcLIybazk7I8GZx6JZpnJ6fh2efEfgiKbPnQ/xe0cPr QlMrqbSfZibJOvdVm8QdnTcD3TzXzhoCKVPrwYoiO7XjNYmdaejaWXdomRvXpZfpoRyj4AMlx7OD uhouK7MhFJAufqv6L8VQutT/iHzUoiKkf5cQROYs/ITqf/KSBdHXowKQl7kuzqgReo7iVaU6MZiK CVTrM4oV8lJwmbj77x0d2jlsSoF2YDOjaD0suHwpvq9ULSctIjGHSC8paaBQIXylfMqSXC4Yozoa A90ol09QrCSTn9iY5C5njQL3ms8RNAA5XVzaueh3y4GLM23d5zE97uabEgBhOvYr/Pivh5AxSFjK NfL9/Sp8NUE/EcfUVaoKhl7LzjdbpH/Nn7hu6k2l5CVM49pijM68WiUksB4jGWCJvrtvWp6g657P wDm1qQnpP58GNwgU6jDYV6BJHuIxbmPWJ3PChWx/C+AdSIJkm+oOR/Nw9Kf/KIL+yZoW6fg1jtE8 uZDAQxNQiEDI/PUc2VsFpnJo+esBq0+AmDKpYLwpFi+NO+M6TraoOMUJLl1qswWHSfjZLT8QOeZa YwF9t+4Gt02e5rK9t1/Ie8xnYu/EXZgfVao9M7b3n1Z9rgUSjK0R1JLmrTvTUcktBAIONVa1n2/t HgP7dP4Hm3tgrtIMLJsC3NB+iw3V3DuHOPnX01hQEqWSTnfh2lv4sIpB4VveawgiGpQFSpkHXHbm xlkZKyL/1f5hcEwCvrw9+YsZzxKa29qOLFhCUFISy+IwK/pSJPuL3PEf2fuUALOib/IRQTHXMr72 w55PzRQ3GdPYpLKmBLYLCMNtxawcmdPA7S28z9EJZMTUw4aiU8uTa43lX0/SyU2u9cfkQzrosAnC fg9qFlhifJwSGiAJFFPw8LW93ERuxs/0QVxE1ODgyte0RF+DmkZKD/euJIiUNa0H8BfPEHqb/tRq UCWalbNV26kuM3BnE0rBQEdWgY2e7dUAClkgTFXjGhJzyRKcAuVS/FYsMDW6ovQL11mpusqBeYXw +l9PzRd23qHKrN65lC2aqefyllFOktReTe/INutscG8m3ekYUog8f5Z/fFaTcg2xvAHx4ZgoF6dh 9erzhqhBViulYpYKafUpxSMCZu4dIflq/73Sg1wArn+BsTukaTAFgNwshQeu5OoTJaIqJYVylSTL hGSs/b/jnGkiY8sfp/3dq+K/nsRi4SWgQtrPPjMD+uNPx+GnMVsLvF1/IxFEuGqYhM8YXEY/aBh8 u3+vbuvqebC2E3oKSX4vxotROOckvKrV3317gxhHuKbxg9DaxDXPSjbUiP+BJsFlktSxTMCck8lO g2fibEF7nyOI4q09BsqlpRkcutGeD+7kVckToTNYqfKu/vWghaeHzaf98AXHSuET3K/WCbuL+NAW qVJd9IAG2YsrkQdcz875EKh24hdEqKNpLz+3BHh5f5GLq12lt0sfYORTSE4G2drm1N8jTxivgQmz 0r6yIA9VD7R7BS82/wjwJ+paNWiUeX7Whpua5H60rfr75MvyMcLQK6dxqG5RgzPQRf71XGz0UFjw SydmoqbexoQMuD98h4KdpHGqLJ9C2bZnA0mxj2Oa2luESOdPIVRMQm4KBz4UroSrJ1ncinMB6DDa aerYD5tZSz65GEI7ph2LNoZCjL1kSPZl/0pM52IlLqqGl9tP4LN3ZKEF+OfLHAsg23ckXTYUaiFd IWcXwy1LhTr99ZSHtli62m1kt3Q/EFpGoKl2vtlpDeALyfhElw7jl24pLazXemRb4DWzE/bjqB+8 BouHZ+dJ3n0P1wvXNqNttG+RCuDixx2IVbxzhpSHYYH4ZrU6FjhVWfAMuIZNeilkOvJO0a5VBlOV JkJP7HmpY2OKDSiYBAW60DucXgo0CMjd/vWcXVx1zKQkuCdMWKWu3GAwYBVm9c5AG9NmFkwHumK1 e3D1OPEro92ZFHcfaVxSFWh4EqytNEpU8GC9POlOrf5XTqZ0H3bXYEhSb3/mGv05plnFh1f0HFIQ w3VjP015QkKmmnpzZo2mAAh3nPb508GaY63KxGkgp/mfOlaIDq5tDs1fD7x5n8LHD/OYiXqYSeqH qkx7hl3v+9EMM2YfvoM56LytL/NMdnXFArLg0treF7jaWTh035NBdfXAEcHuLcRDJxHK/ZqgODhT B1+tqIk9TZ5jCwbKLhsVjRtiwBv91IAUG/DAcorPJzqv5oB+JHgIAmZQ9Irg9m2M8eAsp3PeTYX1 Xw9IedIajGUXUqhV5+gXIzrOK82afpJiazhLli5jQsxXBpIXMVANPAGfN2Spjq55E7tpfhKi5elU 513GlHJwfSd1LhmkrmQxrnjQ4S6f6OzszEJPq6S8kbHq3hIZe8A7Ci2HN84JY8QkunfLqdyDmruh EZyRmpyfdBqOvEKkSxzHyV9P+kZMR2fVWwcvXxJBTUCUVFvePF3TJ6AMM90enW12Twy4HyPhiu1V FWHClDFGgDOxjJCarK19f2d/G23ptHQRRpiB20KRR2evl2GOQMHVmTkb6drsU8FoYdB0o6UOhqwh JZXmz7rZIj487/OWxFZKasPLqWoJZCcakXUm0OSG8uj/zOeI6Fmt3LclBPrn/uASK74Ju0qzDhKH rkl5JzpV6Iw9Y7l4nqI54AT5V0KqL5MLmd18QR9Hy1JkwIlcU9ImqDwWXmkPxraTFLhlTNvA8srR Ik77squWdYAUcSX/xrlh8Lj1Fo97pEPsDCXjxouDJOWheMeVa3ELlPI1H46BlHD5v57KyCCs65dK HTWg3MbJMMCFlY9rfcyXnvwBXNZHAaWxm7uH2w54w10WbeiufxRQDevFOBNGdvbYDuDgrtgXKQen rBHrxCKcgZO7L01JSSD5NtKQuaUGRNTjarpxMbiluvXqqbBSBwcN9F3ScU4O/zwN+DmU+OlvjO+N r0JXkTDFCv96zPOtARJpqXw+Mksfzm2XwM4hfblzqyVbhIlJjjSZtgRo3kJt0+6dyr2/uKCTauOg XFwxjwB5LDoTg8EXCJKSOHdCuHJpSZ+iI+epEEAV5nxdjL5I1wae0+ILLliUV0JlZqAMA2KU2QHZ J0Dw1mehxP97XknYzltvZPkcsx8SOaj5+39rcVlXuRN0VrfXHGH+YVlzakNlAShQfZkIUMkyI62V MSjFD1A3rkJxS+to536t5UXAnj5ODCpiUrW2yiR1e/NmE6fVhytXgNoTSkZU6/DGVKNSN82VxlJa qI+zLGssoB5E2mlDhcGq3qDeaaeoeL9Kc+CvuueECVRxjBcdTCKio4A69N7kUe3UnE+25ZMMkX9+ YxfY8/HVx+12c0T9R8KFNL1fpvWDBp+PI1fUFTw3kIEISRQE9LhbImZ9no566EIAzgY+JDAz3zU2 j67T9S4pt6eOlGaQzA09DHhjgIBs2n/A889SmDE21kGI9msYQ+zfr1peXAweHZjiP4SZtAtRjL+e cO8tE2OJ5Wu5QyDk9RnWuGtq0S2TixpcBM0D5+OhSI+050jsEW+3VLWlSrlRDPMJHox3tfmJIfhf 2556ZojG4eu31kotgOR8AvNCRbQQhkF41Jme/iCXg+0tyFWfgRnzWOVnjdWX7wJT7eokKjc/lXR9 0HGnjQythkLy/NeBJ7m/HpFLdKZvr7WhNqBCy8dM55a8AgRQC8uOX4JjpBggoqWS9e7pBHjzAqf8 12qlh4VTw0iYVZ9jr7YvzfguCo1e5EFYAnyk08m0NX5GS0rxacwzdeuKi77Yc/192r1zBYvlGSqf BJaHL2oRj0ZoCe+h6CgoT42AXfq4GlcZxtoOGpOvvx7BSsD0gCSMB0v/QFhfJxVGsUVBUyQURLHt ZUboaMsk+y7PYU008B4bBnwt67zp5GV072+r7VeoBKIGeCW3and5v3FmLptrdPKkIWdIA7uPz6Se MfF1nuEremAG9xyEkBm4pbxVoxqrQTvDV3szdJWKLgwdHuiUW4He7f3HmjxEgf/pn+sxnDxYBOam 688R+HGIi0nk13RQLKXVI2OgAKZfIHL6hW2Ee9/f3flIR613b4SHesEr73VFElPa1hAvXMsQXpcg VjZVSo0gN+4WypIqIymfTt/4Ub3ULtZ4RB37qQHHKEhkOrxyzfV4eEPz1PQPlRIzp0yUgS8ijdKo SqrNkJK/HmqfT+z/4JqiCBCTe69WXqi7u/x0G9fK5F/wbq3MAc+xvpSrc6zQ/6Hx3YOAl/O7bQ2H Gk5O9HqnCMxA5hy3oXvczmPy+Wm4BLkTZ934jwEx3ERS+jtk/RtUySRHGJRrrHmjKGUQhniGrd8g DQn1l7WxpFM+qhs7dai3DJ+4YFa/+uvpgBRx7OWYiYEPOIqhNhCnzp/N3QwO5feJQQrdyUr94pH9 NCeQHGWODL5uAhc94eusi3nKzNRxZljWQ1Un7yLKhkABPLgfS/iv+8ToNgFh+lh8O9wUri+6LEx9 9LMKKMzgEQ/xNNGQ2b6Y9f5b5xq71+cySqh3mzs2iVNji52TruCvh0fqUMVvWM4hLyJARXBKFEIu eEUMU/pS56SshR0nmEK5x5FbFTWuAtwaaHHgLC++QVgBJqBYab4SV5XV36cqVemLXch6JRj9YP1l UOuaMp0p7RWkiIQyww1ZSF8OAMM9z9nHElaNzjhagEl6rr3Wz+tKzG1S+yiH+fVb8BNiAb3/r2ci Y2+RudTIzHfTNPb8TCMXGHHy0mMWBrHYkwoKXQtHLed1vGVKI+8Fjo05fhdzKm3AdIQtafUYhfDj NmKCTG0/I5b6JgODp3HkvA5v6S2TNk5gYiBqR/dJD4yWO6CyUUtu7juY3rNlRJO5NXBQiIY78bWp BNz9Qne1e1tIyi7Y9K/nbMFDKU5iHsX/LE2+DKc3YCdpw8B6s2M8npoe8pRsEzlYGfU6j3Ci9xze RHXisodVknopn4eKLcKjnwkjN8ZmO+k4N29B/kt2IH7GkWN0zj4Or0usONE/E0YdxYdmTBnE9Bya vS9Y20dv8X5K0TyxLlQZu9wQGChiV93jLFeAYfKvJwXQgTX1oEjXZgb1dgYECo8LQWbWbTm9xcot s2sx7uN+J+w83jEz/+p67jk6ANmLS866sG/q3D8+0it2JoGJz0bG1FE0rbFeK+HK6AOtuBPPOFpF CgQ/KICDdKTik0pJvB+LMBUZcRreEqeWKq/Ahmr6W68awXxpKOf5W1ruNsHrr8fUxNZAtj0xICsj eRF2o1ZWWOeKg7CkiuMwO2bkQDIDf8AHD5wNNPiC93bu2712pLdM2YODUKOvY5elH/wBegsYjkM1 nJHg7iJjWCdBxw5kPrMmIdKCDqoyaN0Ex3N2JHAfZha0y3QBXPSXgVLsvsyVAquyMMaanp887FS7 Tc7EXI3OoDpFj9yQ5LkbG3cpA5D2cQ70io+9q4k1rP/JmjrEYPUqZqUxG+7D6dHtQ5qUtTb9kQXg Q4meuWNp/3KGrMtpeGxoBU09coq14E08AIlF/MnSe5+vk73laZ2dtG/SpI+8oR01od1+HJI0BTQs dij3u/8j6v3xUw03hUwLlToar2Yre89J+wza6uR9yPyu66+ntnb7riBsiqNboHDVOcce+L9ScZNa JOC42zgm/jrdve1+fqpvBpDaC+2L2zjR8eODItZjXUwP/mSwOoIVX3ypMM1frcQsQcbohPeutxwu rz78NukBZjDZQqCdrMU9S9tkYuJmtD3sEu1mSJt6cdw0YGMcONCA1JSasisONc/QenwdIC/uVvGU jtOB3E2PUH5uamPKaNvVN/vpzTZc231pasv5awMCsn/v2MurgIHuLZjoOA1pRjfg4BYtMP39/ogy aIlVacRO6QXuQYC4+u/pbMrjEcFRbKA4NZTa2IwM79T7fUD4nWPKUZIv288XGDfSBLi+QrxffNIz C4VA5qkDx+54xmXuhSI1CPgWNCqkTYd/Pdjpip2TXRNELEPSMVJo2zE7SltrIStN/wXW+T/zfigq 11II1h59KBtIUqhBoOSQkDVE4J73HcY6bW+aoeHium4gEXI8AG5uEUq2+rfZJ83S/GBKhODktZzE Cx8njwnM9v88sMgrWIaOU7/NsFGo3BnnlSKqGrRBmX55Bhq5d934/fWcml/l9KfnLoWSbBZsyHx7 4bFTDV3KznuB0FLH74IXhNCfl5rZVBFBwasJuYY9bLgroW7G7HMUQOlCcFV+lmlilCc2nkH03ZYM aBALdC+l9QUv+gKYFO649INPSNc8cEaHqdShd9l+u62kGRtkuqHQCyqlndkWo6rWzzSRAwkFhP31 bIDWENE2QHn+MCJRZnDfgvJ9bKcWUmFmSdgo2rh8RNgDRsl4ORBHNF5Sih871Is1c4mtD7VDc0mj 7Nzv/Vrhl+gp6Wl3wiprnwS6JZSguvnk+wa2362UdVcy86sqlLK4vkETRWyCyTOS/IMBYJ+EZJf4 hHKcACC2unKZ4HmfkXP967nj0o+7Bkwo/MSRIXFrBt2zyn6MkgjsPmpMelDNn38yBMSVBIl9fbFS 9/ZHoDAS7lC1CRzMHe1lSc+KoSdQbZXKWCTR5a29E43gAjDd49NldLFb3yzf98hNZ+usJDem/4jy GMBmn/CQGPO5suUZnDgxXFhnj+0aHlZqBVa+8zWt/euZtTZf2E+skf5oTFKb+N1y7dk9bLh0kBPq gi8D2B+KbA59li04ZtJE5mMpHn0Q98U9oqZwMvF/0VMgzHMiYCIrXpnLAlSL3N1s/s87aBcgWRQM FMM5JzBIbto44znNfKBsZQb4ccjNeYT7PSSi0Iij5Z7Pd1kil2VVbc3rGo83deSvZwox66XyO7o6 5LbOhJcQiXPv3Emio8ZztPwFSEtCXPeQut/3pB1ixXPab/9UQI0NTtKuYLzaWEle3OgUdb4zv7NN dmsANFlXcAw5CcX+Y1Z/+y3eRJX/AT5Gn3PJH19N7BJkdyaKaNz1vYl4R2QXcYkRle20kWY/U7Av AsUPQobqr+dn9JTV96cVsXPObK6/tFmPRBefQZDsn79Fpsmhakv0bqLm4BVOEzui8z/qWnC3id45 hzU1W1NUIXt1Ch0FkUtTIn0zSPA37tqE8QWK6tdF/lGGrMNr9NAjCnC7rnIJQ32QBZbQBUwzNdrn H6qO78pRsBqXjgauqAAFkbRpiDnu1r+e1vZ4BKyEGFWV4sVVrfz+lV2eu6UXyWBY9RhMWfGDaFOU w5rXaX1PuJA57gSuCrBc8hBnK+8gYfkeTlhqCKwbB+CUemjUj6CUd1FHvAC0jEybhWGUAjTTTmCU 2sgQ/A4OZEeKthTAhlflJdS7BPTN/2qqJW1TNaPsSpX+cQppBvv89axWj0VcSGicW30IhxYXS10s i3Uvm4qc1HTRt+Hr56RrYDCE51+ZU/H6KThT8tNmJzMd0aR2LT85ewkQREEbRqJPikLJ4jFrdRrD oyvATbM4v69L9Hmli47gIna2vdEr3BzOyhSsO9u8gMlGzO76ucUKdTqTnUG/7QVACPXCWUxq/91H XZISlSxMi9CyTSi1EJIGdYJmBsHeQZ1CBhm9IvplYFRk6ejCAhu2MVgpcL+/0FBix2atRCHIYPK9 mWjnI9z2aJ4Ayq5myQ+JRScpUgh/oVcB5tYg0Tsf1AcPkxXO+/DzSqkzZbI5SjBgIMrVa3KYYyjH Rh00ZHN2p+fHjl302k3/eqBAckquXJ58VkmzYD/RYK988JI481YmWbsH/K1BRyEgLJusEOCcJ53I uy9EnWwWvENACRJCkZdKNyHpgRGZniQtbY7x0SKbx6w937BYMY1VUmrWX/GNidBDOyWI9f3oEK9b QwtxxVUUTnQQ4t9bOHocxgqNGT9lf5ESzWao0n4u/3paqZnPKZ8MCJsq+xg8TpWr40HVEVVryLU4 aGYT6SoNE4ei3b1qLpG3gXjDYJNlT6P/pWweW+l/5Rr985BQll1S2B0rLuNGjUxKv3V96WJ/J0g8 DUwlGxpUB/emJv9StAlCEGRip0oUwNxLGUNtowJlSDt8ube98NjXiqhoPBa7Q/3rkZO8rV9INba6 NFVRG2qmxYgMN8mmlYyvdzJqvW3874yzASK45i5KmKnpi5SiWUqS93cQnw4jfPysS2pO8DANpiuK MDBXu+JONzLhzfPAkAjE9wtQqsdqPGsGvC+nE2AC8mndq87glVEdkiDeRLrBwjoxeZ7fdug9SfjY 1pWbEx0FwKdNwPiqKnvUFp8h6veTD42Uq5gYldY5kLVjgTo6gF0Lqxo+WCRyGtKZjOsL3dfcHnWF U6/qWRJfTROyy73pw2k3kycIJMRDqAX7UnQQMA5K4sRwrresA3hgIyFR2E5aKthQW/8/8VL4STDm DzNlV1NQWWCc30W6viqlRw2bFqSTdmkADDs3nMhp64pCcGBK9FuoI36XNFaKTRC7m/2XFY0GAsHw wby3EFY7FwHujkPhxFme1JDAJEkicqMr/XqZHIuCmaJm+dNwgYjiIECyHrC2RFUGXprHsdwcfzR8 s8FbAi8K4oFTXL33/3BfIWppfk+lzPRXCs5jt8ln2oLyOfo27rBtaTQrkhqNsSiXU3j/emCcGmxE vK763rGwaSkVN1x3anderQFoFhFEJ/wPE/Vw82sxGbTTAVLpNso6XhiWKD6sEwFfqgoJzmvrO66W S1JEhlsxYT0OzSuuxIjZC0CnLXOGPNonlnk1JMV+JnyHmhwCW9aKjuZvPK2/zlZBoCbBmHSvnzb6 X6OMpIJ092H7/3rUKk1I7WaIMi5DsWAHIIYXhN/WxfBB5Ok6bX3L6KE9Eav61MmY6eh9Tywra7sB ugd3gQ/anzpXRNTcxU/xDJ5wwIhVVpUkEbosY/aQ88ARO+IHsIRmIaJq1sCb3EP7P7xVNnqMehEB lIqPRq6aQ9OJMmOKeYAUTqQpmtBj6Qa9jf96Qq3j5ssOB16QWeWuEMfGLhjsfXCBgUrj7ckGol82 YoCRCrjo4FfwKkpWgFolLyJ8X50dOx4CirLwEDFMyuFEORSUMj5FGLZddU55E4NNtbTGoCVnGYnL fiiOiE8LynSGk3rG6ID4UXV2psf6cOGJJwxdSUtj5XZBiAaFOfOOTlf+eoaLP/DqTV24y65OpPTn YunwduHYU4QOEo5AbandUyh/Fe0zKvuCpoVwudVMfj1ZMc2HBXPXgaG2hMFr24xznHH2FSOsyK3Y jI6qhGp5BXxRvI0sMLrtsjZkoGb+Q59Cke0+w1czxb61ih9b0pq56djtAqw7ixOA4JMdppQY5qZn +utBREiAPojgFD1uTsU34tPCU7z/8qK06nYWHqcB9XysazcnGypQApxJr4NilxGzI9W2hFL4UUKt Dza4w+M6EjvQr+7DzjJ/OHuT+wjXcfgvuewUehS5ZEFndME2dmIUevNC0f+0En0swF/XjePn8gXs nzj99QUzA1lv0y6dHba6LetfD5Roq5KLULJYYT16x1tc7kHeIRQYYJBe/k4Omu0XRIu9bC70Njk+ oZx7WWUsH6Fsyeru2oFdG2FcnPVzvROUQXCa9PhW3Becft24B7H1Vtj5I1X9ngwiSNRHnxCfSVRH FOnEwsWbuQCmn+BlrwFlEOyzo1CsEgNI4fTNSS+3h9voX4+zHefn4FgED2dzujSEQtRkBqLna44m 5m4+WpoM6Jlrk1HaUYjqvY2ehfRrnpX+foxMfiHnrq8jbN1hoiN8LyOfMtGC06C829UlN+blUuMW PB9mOdD6uJoZ7pRbly1+1W3yAwRrIF/iHoPcYkKjJbtEWjOrCQ3s5ODAsMAjqtue8l8PFeRMPE3A 8CZaOQgJdJtXusIUd1CHc8gjjfkik8dztte9tjjYDtzmPW6vCMv7VEvjLR4RWqUwHG80ClXacTyE w2B2NGZMu566tOUu0V79if5+5zHeFDsGlU7HkSc8q3GIAH4pJ3cIiE9fg4GwY9jTWj1mDWxjX/cq DlRY1MvMcqRe9oSYKSTLejal5bmjFxIqcu6FASj/P5AdvsMvaTX+Any8kvunOfkvlY66kfDLI8ay EP0orkfLAW/9pEnzwSoOAtoWSM5oRSg060my+H5NMo0IferheB2FeZNXL1/rRGLiMT0KRvI9HXxN cZXsH+7M0BO3EnGjO0WxqFXkGqYf/rdBWlXpzJiabzN3mk/wSsW/HppvxS0/PyUoqn5nD5prkoVu rjrE1YLHOQtFdiTOab9veyPUn3I86BnBsNGfkRQmc0ThTeik8XBB/Y19Y3wSPeyKfLH66QpVPt8Z x661lByUwgELVry+4eQ6nRzjWjKvgqS86sckr51xDCMt/CvqBX1vNshdAYUsMG089kMS8DKUv546 dK29rlu2x0IEpFE6O2fQjq9nXdboFKUn5W6WdFuIWgATEJvMJotA06rmRWc/6PBlDOSejouCXOYO Zkvn7e3zTr2QGEXE3n/U34jX8gypXpzAzK1l7gQH4AAMWr7ZqboBfXhdag4m21w5FzNWbTzqAFC3 97xrBtLv0ZBVn/j2pn89KRU5Z73UNNXF9fHjCei84Babd95mHdMQl+f4H5vHSILXaqHkTF5f33IP 30nMI7SaOSdSOyUPtM4Yi1fBZXzOq1PuEFK0FuqqCSrIKSyD7dQkRWNRaZ01gAvi1U/HohONsWUw iCjXadb4PQJgFP47A6znml9BlFGLUaMfdH0ao/9Tn1D/QzpTZ8JqTfOaDKQ1/ryRyuAMKNTvevbZ II9yKH/ErgjS9dYPkU0PQGDVHryFha0cmTuqB8tTrKYg9SIANlF3oeeuTGpWtfBqQsM0eMBRZG8u lorBbXhyhDOFWn4QxCPGYQrsa9hVL873paXN0l7bCI9EKRWMhNFgqH7sww/Hv55dmKVhPEaR9zvf DwYu40UXgBHR36V4euwZUmHnbSYbky67FdziGmiTErMe9AL4TnWjOcBnEzYUovCZBzm1g3fHOyA5 AiK28Y13vZcbykE0ml0udejSCroSBWibU+TbbQ7DQ5EVbvFX6PFEGlTctbNRTzbdUkaLe32t5AEX REGex7+eJ7FoOEsXCV6NBuFfK/DqqXVj6kUxnSCuVvB45s9nKPnxvCZAY50x8jAV9+SKl0yDntvE X+u0I4nWKK3D2NO+6VUlholZ8T5gU9i0aF/s+uHx9bcY5HX7emMBzwVXdiws+sjFjasArtrbneyh jnXhlaMYHCYUtGUUFyCiOWakos6/nnOk2dEjZ4TxLmrCrXQrykVT9mDssrJDySEy0pqvTm0u7gBh RfWHR/bOwE4B9V547VPsvjyBRsYBq1MrH90F+3QFDiRGfj9fiolZRdTBxSGJ4CpsCScVltFg3Wf1 6pu3F6vO7BHzAKMiw52yVSDXj4mCHxLebihJJ1Z4dTHJwOv/yatSFZDcGuE2wJBgxamiEZPkkpK9 TlIyqzYCaAqNxyDnzPbfYejvwpyaCMkm/8E4IiMOR9eIegBMmLSRShtWogmP6jpXjPMZZjeAtEA8 +isY8cc0W3x3RXR394DueE6PgEwoa6Yj6ErgIBp5ELv96affln4ABM41BnV/Y4xaO7nW6HnzOI3v cCC+kajejEaU+TbEta274+tVbxiKiiy1lw7OBuJc6pKI7FmSvEugVwhXAAJqeNkSb6M/0YdAvLp3 SjwPXq37pTCp9LgPyQbGPvgYlH8GZgK/o4DJkNtzkgePiF2hQvdu0nXGC+YdBvV/XE+aG7qzhEHL 9f82F0iUbhRsfs4MrMWIsT0HVJCnyjTyhf56PKwJYzaVYd9h4qj8ifQZCle7cJMHlEge85wjPC0M Js5hUJlCKyiVbUH5I66u04C6sM032u92yxa/m4oHJAQhtDp3FOXOHCsY8ex/WTSPkR8I2/o8pI3Y QxORddEAiC320x9wj5K2iSSnH/iFoNVv50kSPypmxdKKL3dvysnD8H3/epwh4ss3P49FgW3QWgHu JH0S06Z4Fjwk+MbRrxz3TtE0sAexrniHg1SmtB13PjHZ4eamF7uobE5N7blIkDOtOcfB0NXXUl4t ropk0Ju1OXYdlh+7RMGH3SrCrptOiiCSxs3JTx/oYtew4Vw+PtwPTtZiHtzT+ghDYFQLPXosNFky /3ouJvEY7lK5dCrDZM+jnm98Dru1RyESZjpoXZTLpihbIJAd9dUct8gIS9Sajw9gPAWp5MSiVFNq zHzqcfzF1IfDfvkSquJGwgJ7uotxCXWiOuirnCSKwozdOTVK870YuXZ4YZsCKHeZ1f0F87IybLKN OMg6MfZ6cK8VGyxMqsC/uf96mtqWZPWr4Deyi8Og0j+2/+s7010o5RTqMLnwalwitlVyOM3PYqbN vSpk7N3yHRfMuQ6fOYwcr+CpCLy+8rWJ72K9XfqYKQ21jILqk6jKfIeZa7SFAGdmIZkTKzNH9kGq DY9yMCGQg7zWrGtPwCBABnnjnPa5xkyLnoQxh/epHKD467GFMVfbeAGjwSCZe8meBDJvcAVUsedM VKG+V6HeefJ8raJRIfe695DOEHs58CBJZj6yrNYRyqaWhQYZTuAmjQapbIpP65oKNVmWWysVMi06 cvIX16xeojByTh6/8QO9X7jPsLF7ZQJmoGG0CsMFAAI6uzOxumoTah5+dzUahOVQ+etRQz/dE8fr yZJR25Zu4vWMcZIUsPlItnO5apPwWwjSfSZ7W38GczuVy992NZLNgYHWk+s+fXzSY0OYnF6VF3Qk lXv+tSIMeGCj5nrSX77LswvIcI3LRNTH5uTT4phtEWhOc1gDHv4dgmzTzkBvzv5CDRJqWSAUuPQE e4hHHTXk4P3ruZ9XA9uVVEvMxvCQFn6uTUyAicK9kBu3V+yKHWED9S+SbqhJJXFojxTTpXl57o4b zk1LkAkAanHWs1+K8vaygStxvBDxUXue0yt9hb99zNXEkfhWKHgVNGy+2bBDXOxfbmJfSKmYq75Y tfxK47vkmaoNlXE5j3Ty0idaJ7QRfnr660kWdSnVG1OYXpLiIdRwQbShxK/0ib0i9zXJoVtSbg9G 2SA2jIWLmiLub+x1/3xwGhEcSUR+ETNhm7GXDVdVK7qkHPS11exePXWOAXqOaBtrqyjtmjNqQlLV 2ce2NnwzQ81DaEEVCXX8iXBoIDRmtVim2kl6NZUXUM9UH5RuNBIG+uvpIbxSqb+gPq88PYCwuqAd C5rBpe34N1sHeGy8L89fKN30TpzSn0qau/jBv2vxT6b+gbpqzgX3WGrQ2NM7FAWu3lJVBVmPJenc D4VQg2lIbQUYAP/mosy9L1YQlQgHYPHkYVUDAuIzMXf7FbOZZi0y2nZJ7/PaNiN37du+U9daIJqd sEDsZ3WREEbpbDy0Gcl25E0CfxIHfsVXqwMzyue1ZsFhpbUhju0o2O396r6ou75Z21p9yoI4vPZj eh3T0YgIE5rAWwdHCGJXDRIggwr5h/t3RNuY+rWeRg5aLp75K0i7kmEhjktoNs01dpAO1lxgoz7r ZiW8YOHtavW6E9Kk8X88PAVOxZuU1O6sibFyW/gZ4u9cCGZz+WMPXbMYRjmQ2fdlVvHnz654IQ8B 9LW03HYPvnx9clNBSWhJjID30xrOn9lRjQFUBZE+ENYe2c5CTiOW7vk30Zx4q3JQ66E+ujheYgC6 H2agg1KffBpOaldW2gAU9XXM6+0boFJ33yTbEvde/Cq+5ZUO+FQsFjZcOKc/yPadCDHgMa+mFFUI Yn6jRXl3phyoO0iShosPTqcc9vFPt/hxEAt8y0LQK8e0ysUwFpQybw5KVFDjWTm0eUQc4w2TNfiW l+k0h2dq1/ePW6Lob6hIrncXJMeGWeY4bsD/wcJWAkRJ9GBR75NLZGOWrZ47Q8uSzoPXUABBX2y+ 8P/1eHRJDGTGcT9Al/ft4WQDIdF6x7IKVhl52gZM9HhVDN6JreiTKBOKu+j7BjZ10rbX31LjPA6i H7eaAFl0wtRdFGtUPeXKABCn8URyVQ7+tzwENVIojzrKsGs/5K327QjW80FKFwn7VYD0FJT6D39X SgcD9DdWfwQU6Mjw7mMttOjxryeXhYIX3LxSKX9+yN7ylMutmnQuguGziwCn0B1E4jOYy3FJhHOl zGxpqcUdMboozV56Ju83vQbz5VJ22qA0at7wC25K6vGjdgRKQ/gYA6aFD7b0FCkgmtjRrhCl3Ffd lLVx7MEtRTZFuBejRQo9zTufsGGCCqMuERqBX6L/8vA0769HHsqkMNMBbF8fTXeyHEVVDvWldVwM 9JXt8rSHxXtQ38pti/J0w92Gw+cINQ6fNZmYBoN3SRyYVj4FeiR893kXAj+MAW64f/Z4cPkqd8L+ FFFbfy5ltxWSI19wOggz4ISqeGH1UHDLzAWp3q0ziRB0RdY9O1zKfk0C0aa3BTDooOuvB7Ikr24o TsQu8ue/WMFvsUpY2Qg0Yc/t1p7sNknK8E8YJkUGzXd8aXyjBPU9M0IcATJz6tXXFBZg3On//Cik 5uWWSAFW1uSU2ht5l0OxQWKKUgJbuyU8z0a0WfXSyoiVvILql56dD8MyztQcl3l/eUg2vLGsA3bA ObhYZAQjPH/o/npi78aM1ccN5wR4Znh5auu/fq3hX1FjR4H2gwBZ7Bdm+HKYFpUSMTXQBvML65Hh RLQHHBD648w+HupNy/QoVUlxyw6iDSRVWzCFHAPf5vhf+CuzSW63DGEr4EW/VMmgUM2ZfiQk9nnR r5/q4EWTgLC6B6XLoj47v5UA/cnOoJC93P56iD7JLB4jIhmHa8zrWZqrpX+wZm6lIGzOg9RFFENX AMeQEeiSQx2xEiBcpF1Ln0SDihtnOZxtMj9F80jlkYpiOQYcQ7zKTEYrYooeZuhGBnqfv3nqpGGw 78JPjTlnb8aG4D7CuUuL7u+GEK4NBmrltRqJz2nJqOjSHZHD/P45JaPLSEqDio1dCMSAyMUIkrYi 0Io5GFDvLAnir4ZqRNE33c0er9zeSCrW4v0TAS17illxr1pbEHeGe/gorrL+1VuwdCZmWit9CTFA XIJrmH27H8k7gIqyikQkUDSwI8Bzu4mvg0olOX53ADUPKhtLVZbWLl9RmPUswvTB8WDnRXgLPZAZ Mdv3ea7M8lcBJhmS3iH/64GD6uE+OlTDdriMIVtfCA46Ib1jww7NxXTvcwMBi8GKqw94UYtf6IVS LA71mDxbWRPINbnNgJYeGSwhtmPcKb2bVKQLdYiSKCNA4N3QGzn/ArKQpqRcIAPVE867mAgNPD9n VzaOnUtCYlMHjAUtnd0zsZMUmuKJX3m++X0gQVFP+ush7orBpmK0HQyJ3oLRE3+6HbUgL/emldyJ YIoWhfxXJzrJgkBxGLi3r9WFIe2wRRwD0m5E/VbhHDxbclvTH9Y06zkdM73SITH5xn0zKhUERIX6 JDBbTQRapfyABk1bHX5x7QgT3r2/NcEz0s1JaxCnx8JZGFG1iQtS0r1nMG5TCxJ/S2CmBaZSAuy5 RlYEYeeCFvPWrJIqc2tKaFmRTVNybglvU8krhSiv8gvR5PEDumkRLZMz1e3qiVNmBD3rciMYKpKP RoWsVoEioMcOQsAWMnqGgef4/Z7yLN9CdCzQmquJLElRP+uiPj/y1QGu/7gRTPPN6xysyUDYbGcO rWhqF+9n/PGQsI0v4bNRhxE5EaoJsJ8vlE/7qDk6o4h5GVwbQ6Dn9fyUE1kE2pn36loiro7EgqLf UBdwC6JKuvmAQwczKcmwR9fVBJpE3Tc9T8zP0eZ6QTv+X2zasvf1nvaIXr2pn+2Xg/5aNBXOdvhE hDN9+BV+nktGwoN07rWg+oXGP/8I7+VMSqOvB0al2a1TifqaTwqlfRK8peVZqiI3qKBM1rHqdvGi 8BZOUkATP8iINRnYXpv9ksWIcirB9sjefCWTFP2r9c4HBJB65CbGmZFhIr8P0YntzLkku8xDSDnf iG792tsFBAzzd/uEmGNravD5GUW9E5mIPOeNgWNXJ2xB/2R0w+rXaFwRhIQq+gkjXT8QZQZrokYW /85quhN5IYroUqipheDDdIiq8/L+Qs+/HonJ1rFKLzetfFdwEfBIQJCMdO7vVSVx6xCGggX+aXgO bx8Rw/I9cIbRV9VtGr5Ts64V7XaNoMv0U5U+eM+oIb5mAa1ZFXB+/7FIUUiQCuW5Hzr6VqShxsPU at1gD7CUww3OdJ9VShRs3uIyhPp4Zo2GJlnZaI5FWgxNC70i13rFv55kPy9H8GwoueqBRIBo1DYy XXp8Y3hqWizlnt0zn/9qZO0mdful7TVs6dXWawCUeWWwh7oEAqT6VY+tnZ2Tf9FJDhFU48IyoTKs 7E3rue9OdKnNJFFn6a+/veje+WiQYdslGZudz1bLQ3bcFpUTKKKV0J/2cFvNJSkFZOjzdSU+rsjr XRvZWMNrQ5j0LgEn9aMEv2acwYqU2Arz57CfY2DA/H1QvNVdtzhB0EO4ONNf9DcG2SbgAoLFc7kv lDN2s90yoee9PegWcoJNsn+B0ud5EompF2forMxSPVEITn1gMI7gyBUrdY0eC/Qf822mtZZNs3Fn JeTX7hBUvo/L/stglAZC3zDxOZtpOQaa+ExKmq5DMszoa4p0tFBj7LwLxaPu8LKUduHvAZ9O+2iC QMvw7lhimJtP11x+p0+PZs6q6eFGdNL3yhAiVS0IFP0waETQS+Kc5ARwODj4riZaYgjGonr51wE3 KUmPjhXNWmKoxsOi5KUcHX2tMUBD96IjucWgbJyEY6TbUs5YC4Q7CDMZnc/mBCLaru3Vl/xunusZ Wu+TAkgzVdrb5vTyX/PGbRP3wtcYOwuwQToyfOji5bcuY64VXw9BdfyY7cI96C6Ubhy/alCK9j3U VqEupY/C7yWuT/iveil0z6gquytD7Y4tcrLveo5DJikd0KEj9l6IX95boZW+qiZyzG0quvMw+Ljs d3ptfSOSGF9poBsqh4FvWJgQzEHlYYhUjuCs65fFXQNSMlYeKtXWw1B4k4QDazQFmOWRSILu6X/6 N13oqEWb7t5SlSBcI/u5mEllqzoCbnxiU9MkV6irNp3lOeyadlBS0FbmPXKMJHrU/Q1GG+xM8dHX fa8ALxWShhbwPjvUK67G2ym/MmLKic0nvF7dLs76PIolmar6WQeIqvQYY+Muzv6woc4ZIlezI+Zn lRXyzUF/PehW48aS/HwSNBQRdK9t+ldEApjHrF80Bk3CSjcNSVWoWH5bE06srihCN/PBP2pvgfSU 7uXOZchDt4FZaL3HlRSTct2aTLy63q7HSMmD+gt1NZi0teYy3Y00z3R1sB6x02+H4wNWSWkPXm43 EPg8gAH3z/KB6F6IzlDBHRYUmIMqfz2ogaxGSMEi/rdjD0kqYleAU4urRboqDOJ4J1qeSvecnJ/i Uvo8LtTPACSn9ZJQAx7wWHeqC3Ex594Hatfpr8h0qF9WZ0K1eg7VY4vqriQoj5YDYTLIbYczFPMV CoNXDzi75sUwLYvcxO2xedApyPwLP72VFsA5QA2EiuGhN4UGRH893rLuHomyktTVuPVOvJzZ28c8 a66B6iaVz1WtrTk1T9ecDxTEQitZYrmJg9KVEut9Zfof4t2VhTIhBMvDBd0fPoQ4gvHo8NvTtW3Z oFkVJEc1LA9ZZvdVLOmdiWrbzsRuh0WjhBpHjVti2UL7iesLeNMxqJIrkOiLZIMeDNWd5uB/PYwV qts3vMTl8HuWlAWj8enMJf6SO/xEc/a7lCAttlvnGseMvYPw3Fir+8CcKXEMpW3vWZDQpJ9xUD01 /aqFOGi2ns7xQI0hUQdqXhjdysXxh2esoGwvgbnfS6kKA6n/RvphoXFPzzyB30tGwDugHYpwQF3d oLY4+Di8bPZMUC4I/npE5NFSaFsgeBxICyhWBSwrd6QhPUbSYh18HIk3KwNEPSXXLiqlPciCp998 dQpSIb9LYCZ45He08PXsLcawbSsXu/aPsRI5lIhGllWbIwL7ohgIl35CEa4XYLGPp/q3FFZRtRLz laztsos47gb178IgYqWD3JArZkLUl+b1tWEeaP56pBd/g5AVacj+OWR24JSzOAL5RnzJVP1nn9Hs kIUF7zvMNoh/qDfjb70Ni5oQHNft39D2vlzCrEMbbZ4jHnQylZFy4gHvfsQC8JqPEIgkAsGUx+m9 iifbX4mxMpS+M6OeU38WzEvsVutyHZSercCT/DqV4vKFcBoqsHkCkQ9kr5ry1wN5pqBx90urqEaZ T/9RljBVMKbdhkfCc1Uiaga3I3LHbwiKJ6xBzC6IbhDW8sTjWQJeCxv63l7TN1vIoDMmIgJhfzWZ wrfID9ahCTw1BI19we8FRhVEzdEqqgKSXTwXh5ngcFSLqrEe1Op6zfzyETbcale0jpYMdC+a26lQ oG0LlIqFp194+SsF0ma67Hks206EudwXuCdheEQMS1V98HuxjHn8CFZBRv66pE0mNUPUL3+rrM28 6BTt/2+I29FMiFf2ZKTrDi3vjQvCuTfwP3KkHgraLMmYR4VLLjxnTEFjJSiBZ8GQ5ZAH9RE9oSPN Izvos3ON6nXHxUgQ2ol/yOWMcLAcE6dwlh+vW5NpN6iagPLbLrxnVb/8x7JHX8r6OqZOS8d0e7P+ WBvowddEIsC/dTS3UPYq0moShoeVjiGiMk6oJsGkWcQQuOUEoRUG+UW9JBk7orqorv2kiXhG7vLv NXh9adzNJrkHJbecw+PdtWtmGW/ZPUdvOL/3mI3wMMVSHxOYCqkJxXE01IW1O1IWfz3hK5+ITmHY LZcsDmsKH0kQlfgn7p9dqOeDbxVmQg/iPZSqWgFYdf84HyFHc1vUf5j6xluYPoXRUiKdUQrVlm+N WA/jStoZyeL4RNRRGZclm6zKSbu94dPeO4PXF+SehQSPT84eAUyWuQCprmk6Csu26wqiDDvLHEV/ 4jmH7+RZ0v96vs839AUQ8l3OtXBc80E/mIuYgj/8cyB7wCWpazc87juoORt8vE7mquxc6QlzcXJp OAyATafn+zFnyBLThSdt2PFfkFN9bhT+waZEVTwYjInigRx5Iklt3Zw0QnI/NcFGLG4HtN1ZsRuL 6ii30EWYuxjcDyGBDD/bYtd+7Ss4sLvd2yQbeO2INTtOs6B4AYl2kdMQ2WR4TG8Vt6ojl3uOOfVN Lotzi/ywU8vC5ykrMq0wZl2VLMNg7dC0RaDvh6pXjFl7/oetdPTtJPggvLN6HRsHS7e1NQNJwbHF ZZygIiOd03YuVhm2OXnoGxwMnrZMa7BdmO+cikDEpGNiiw+h4dOm9nacUxhsHZ8/rHS9/vGqpVJS ki6IwWr4qpoJ/eTkN/ksCue1ISXom0mhYbcSdxb61RpRWu6nK21MGOuNf2uFqJPCqrMSwkf0GZJV eoAapwVkbPm0fF/Ot4cqDfRMLhEnJb8sID308DuMhDY6EqQNfoblp7DsiY7j0X6NAw8FVppxS7Wz QFmHFwY97WiLHS5TKWTPRqoP1XccwPjCwy2iMxZPIISftq+Txa03I8jlZWJQl46PHx3Ygu6nXM92 /LG+CrfAJLmmH1k69V8fbQs/5mJ8lVaSWXJsQ7QI4/lXvVCm9RL3ElIXMoJAexFnoJl2XsWKRaLX G6T67woNsGqS0ucVp6Ro5gFBhA5N5WLn5VzwTH2WWmwZDEbLHKo4rgI3rYsz1S8mk6wZ2nyCZV7l X89piyguj0PV+ZGPQE+Y3IcNQBCg8OWUp+H/X2FPQOV1mLVCm1dqzb4I+KPf8U1jbKQxO7ebyRNh LJtbUM/TMfKvMoa3aNJSh+/rDX54je7EpYOGuM6NM4TtLUMMnD+IV9GM8yRxc90LuLIKF7Ifqm6m Oq756grjIuTiKZ1fsAQ566NDDH7QacFTgRVifb7qrwYVpiR9emiGqwZ5yJf439LN9qWdw5ALdl5L m8OSZhItKCjxft+4Uy5oGEEgzar2eFAkR8Q+qcESoMXmRFaoebpE+aq+bBXmfDHtpCFTm4Q/B/9d st+ougC6EuIDZ0FOe1vxRhHlKve/xsfjdLyX4BWteplqZpOjrRPQ5M4oH/Dt85XCfNRge8naWRVv GFzFbWziC8caPjPRQPVIkE4pmyCJerhcsayeXILlJzWdeKPUG9Z9mheKhiM+U7UFM8TXfsgwlDQ4 eSIyqM3fYfEFPMPkUuH5BoiTGeAoJNAojRcUdN17dT/907enCG0noDG2ssExrNmfMuIUphwG8HLp lZXjZ3ZaeY/0k/HvL4f6wuw9/+sJSoaeFLyJ64EcARuSJTiJWLknSGXbOj1bgtzx1c2RXUwmXnYU 4l9Ew4sPAAQbe/TPyI2nfUGxX/jRBMatQSPgzxF8iPWM4aUsWFUS072skMW2wimJFvsZ5ATzmBJm MM5Xq7Fd1WvW6gr8JVpZrkV9I34ehIYbsR12JgqHfISM4f/rWQdmBJKgV2yqa2bfiHYRBJ4/CDzI jsLSMsDpp3t0RhsC8pXQnqeH3ymB6IJZYJ3rEJXmfFwV9Vpt7yDyctw+5OFO68zn7cShPN38Z0Gk VNuPPlWk5yfESe/DVe4IIuQkBg+2pJbay5E6wVGbqM22wIpDAUhsCsG4JCoCaYmuWSv71xMY305D VCP3j6dre9tLRF/UTzyd3QQeZD3bKe7xmIHv0j2gGwrwa2k0P619fQa89cSacUhWWl4tn3+LuzBo IKEAiIykBz+rADZ0V3icK1H2KnhQY8IFFGFK3XMylT5oUJMBhK6evB0CNOC6iHkAMyj6mDagrDd7 hWgZ7cD4JoO8reUqZG8+k7qPbUohkxG4CLBMGdO2d62cMqQLhMKML/jrMjav1bl/uN1iQMduomRd fZ79gWNErbC5fVjoHm+p2O+dtfgnzqcncVydFi4U+oLTWWmWgSuZukm72LkXCFw5egOEUgg9RYQn Cjyphi45XKrXu1b+vR2q9nirpVEdFRYozTvpUdkepq5DQVAw33kc9a8nD/4mmOC2ICiyofD/yLLH 5UC0JQrAsY2Jbdu2bdu2rYlt27YzsW3btnPP79w3+Kqra3f3XhUiVkW0BVHIVM65+Q/Qpjm15Mxm xThTputUOUsoHSZoG1KBQrU+NI09lu2YKNh4kRkSmhE4ufVN+aEtEMtF/+YH2qXSbG71b0npcF06 VtlV3sL4woPJk7rRLrFzBcyV4FHRCK++SPNz77joNEL6IsArCDnaVdTnQzBy7xBU4y4FNhponASw HapYWPB80oCExHcV3tpwD6dxvxPsgf9eqCYq3Cg9nPlS7snwriXHH6ZIrbuMXdIPm+LiBP8Y+BML ARQfu0DD8GO52OF78cp4dNDE1LOn1ET7075j9uXfeR4w6p8vnf5LD3/3VmyhwlGRRZLj91WgJGuQ z3ZFNKs7Cmg0N5ndLv/tgQz4Q8aX0APLw+QgF02MmYJfCj5m/wj5nGGdNHzk5zas82/kfrUcKhEV buudYCmAqYtMTzdFKhcWWXZCvd1rz2n0JOuG/iU2bodaOeuP3hNh4NCTA0v3Ce3GuZWAYDUNxKJ0 bBZqbjeaZJYdbR4XO2s/YSnzl1NVCWoQMwjQH/mS354mjHl3nC824ljZykLtMz+2bkoDkfV6T/0F zDzlfh3AaEBKTupRrx+2Bz5Ssc6mTTbLtJ9rFmGrFq+Ocl0XXZL7PCH2y5k4ql0kkdUYBEDTlIDM jy3jhkAi4bLef+VWXsNNn1FglyD1GEwfLuXLQobJ1MzI3JODJweU1CNxT0JF3f9XH4/DTz4nOg++ vBtdgNnRr4H6L6OZEgB8H3gXv9MoTt3OzHrCbWb9k8iOcx+9OOH+I0u7g5wAhiw2mq+ICBpBuJYf BYutFbqc6uwT5ganvAWBR/8DV82UKpXbE0Tj00Qe9xdpBvUmXyGvhMGSIcDPCPwnzKjropM3v9Ki RR2hTfXfngGDxddBBbJRIE7HSeulbE+JZsLZvUI0pymN44EbgVVJErOtV5rTXAtFNilUw5Gd6WwF hyQzfgu+xAnpSCroXmgfuEr8FPFgYFk+X9KoPg2NzOoCrnrWK+XTyQpikmhfQUf6jSb3dSueWyvI HXgIVc84V86FwhI4Jp332DVDOfGm3x6kht5XJHQsXnIz9PDICEJ8D67Zh4qknryVJLmDvDqPDr91 mTmcwK1kcgiY+KgREADhEjmrUP4hxOrcYobKoAdmq4Jx4apOspGj0YJAHOuvEfC4zUTGyX5Agz/I d+L8wB4DO/rh+TU6apb9JWo5gN+WNupg5K/vhCFT3TatRz1Lmb89ZMffbXT2oF7HA2FVK3leCWKU IJ5JsIM1ryXgNjYppYq08m4rl5SJzXRId/MCmm0DXWid700ED+CLBLb4CenCyhCYc7ZjtFUBqCbS V3uyjxk6mo8ZaxN71o4JcY71lIW2opdY6CBqnrJC9v+G5u+adfMkY53EP+mhVmhMFLTa3AN+e3BJ q+KJ0nXf9oQN8sIDX3tJ/IMVfBmrOQVgfxz7AyZl2WhC7jKIrYXaZszI3slhPtbqm59ObgI9E2Gh lXpa+wFOXdn0LytjoFMSsC8oq2Ak8MT/gTDh1v5DUk1Ah6enU6Ti3XlDxXRXaYb69OiUWDQP1reM 3pphb0lnkK0oV2+jjItKekFMAAhm8fSv9a7YphLHwUq3C7swBPOBK0YI/vffrFB89fWxThnkiIJ8 MJwdRXBmVsQYEeyTdiXnMZi3ScHNgTYa2jvFZv6eaYf4qzPKxXITYSdRDMhpfKC9quFWJVXbqkJv VDGBKg2npmWu4P+dHBFVuOjE3ZGfb2u5VQ27aenkHFyrOTnUHo0ogBaCz/+iatuwbRKNHQkAmRyS 7vW5Jt57LiTSHDKsPQxn09wfNgXDwXHRkDHXsK5hFWCLDf84kcCPbG/Vo3QjAjGr/XrWv5d5b+k5 5EqHb7OBbhygQQC7wtpNTJq3QVVBsSRv8e8m5c7rAKXNkz/HMO7J4KnGTyypm7y7bkUe6uWR+INf b185qAqJ/jIADLmH9+Xi6rcHyArqcZPe9SxZaw31gWiTPwNdD+4Ii27XMrsZpfbuXS+MBU3jURu0 8R/xoqH7VDjFOXcs2A0Fte3HT2G5x+YVgrYNqSmoSytrM01EVv+ZR6QkGMvDzK139PilUF4IYMdl 0U13GkgYTWbY4TX3dHfRPoNEEAbgUX42aUrmJ8PJhe7v/LYEPAY6j/rEgYzAtzy8QGJl1V+OT1Du PIpkbnQ+3xGkG8ztk15rGlmpaQVoyZFQhR6SFQdoznOCBhWBnEBm4o1M8rEGjD8lDnoH7v7Dpyl1 VuXYDsY4vhobfG3U/uMCmF+sGx8rUGfl1d1Yu7z9U8UPNHC8jQstMHLAoF6dc8Zt8LcnJx5mTDwm EXmJ7N4UjG1jt3TdTSvzcM/rmI09Wnxdld3H+tCWWnE6Dc2idQ6X6u8U8PVR0caNmZwnIUHhH13Y Ag5cYAZOJlC7rXqdc+5v7EAjddVjuyxDIJtazOBBOvBbBXLryp7JA0D0R3fqSRU029yDAD+Sjbb+ AOoggBYKFIPfns0omKvu7SMMC3YJcmu33t5UtC5f2it5WesjGYzF+0xNStLlRdHVTKCufU5n7XRD OulyGaasP5l15gOWZLd2jPugfe4M8LAoTpjisw4KCYPBJjPwW0xLnnC3hn/PkRZ1wbI2c44oGlOP KDli4hgwbdcz29SCEYPaS3kqRxZtCal2B2VCh4WU4sSAktmR97lE9tiq67qHF7z2J+VczEHAMZ4Q aaTbOeycjB2RokCHK+W6NhOpa9t1yVn4ijxv2of/hQ6uCkjFYJX6l6ehniqHu9ZlOfqaKLy8iPNk EmUqg8DHk8PMSIboXQlsWI+6Ru0XGhUDkqKcXNbC7qEtuuokK27+9ujUstY66oT6qKb3cgxblcKU 4Z2fVwRfo30h06OyoW2muWanRZ9jyOIkO4OiVsWwRR3v7F4je4gFnxGqCU+UPfhINM2ncSZC396u Ts/RM377ajjYegyANNN/RQXkSk4v3w6dhezN+jucTl74WFYi5ASlt3XY6rmjYJmiMIgKSVMm/fbw fNbUXVPiH1r5y3t58I19SaHKKgUkgfPEaobkJP3TWkIxIb3gniZEjjnV+XCbefo+03zLYxS/fgzx awIUuZydcANRxzFDf1M6P5qTDs+jEn3bx/T523M9/iR1nR+QpDxnC5W4udSWRcK1uqbqj89jUZfV 7me733eNpCnytTd/CP3bkyTojgeifL2cV9IxwYaS4uDFbC7wTOQqQggGZ3w0Wy4wrvNs97prb4xC 8I0kO+nGn1uQ5qpr7O+R/j3boTKSZV5/Vk2Q4wQz1oA2uUNPmtUchul66Aidi0B0vmIICg5isQ/6 Rv8uHHnDFPPG0E30vGnUiZovOGYV42/XXsVlM1L/29MxjHwr8OHT6ujfDGZgauRXUCJcnssSZJ9x UMPA2FPLaa2ePL8YrpmQfbsjwEbsA0HjRxf2994sEhvkJ+2vmw/j/bzr+N8B+eN+uVelXBALHxrJ 9h8hAK3rNGUKoGyFTpm5jCdwhC/MNbglnoggEju+4X+LKkmLlv+QmibdQ9D9Kaz+qE4QtQSPvcem J2azU50GI7L/lRN5CUGdzS4P1LZKg5j9xxp65Cqn3GUShb6AgdGNbCXjAzECF/kmyqKyM6VPxRWK d3xemc2l5P3n75SYFR+3BgFHO6IXfZH5Lm+djuSi+zqb1EvWVNQpBlMA3bqcMXz0mBSwgbW9L+x5 H9XtGqmfPHSpbq3ZektWdhw/E2p1CooAi+2uG/fZJS+j1CENopm4NewtBCtSilS8jwMhiWtEALYl 3GnCwqEZQyHeKJZKK/gbr/sJ+s/CneCmGxtre1tspQ04Rxd6Uqin71WYm91AQuV6iWog/1R4ASOL 4pIiShab0BAESCtlEesGGi9oH/S6Z+m0/U/Bd5kTIo97/m2GltdgU+t2qH6/IK1BeCXOxbt3NlT2 QlmbK11J/2FK/v2VByDyMjIv6CMxDyjbJCEh/AAwUUB9QqKl7UBojdXMjEmSpHZp7NbIigzZfriC agtq5YE+AgsuUla9D9wJ0QTotZHPQQW/nemKNwtbks+WUwCfjwCzy5oQKSsRDjhrYMGtSf38iOct D37IvlqmmBdDsUd0GCbSu2v5MotHCN9vj1d+gVdeevw+xcT2yEiKM9ZEOQRerBocoIYRGeJAb9a/ GOA35Bd8LvYyY4u9GlF4VOwCzAj3KHu5Rc0AK4ehyvon65GA0EzF7vxvK9rpAU45LFGNsQwJ8+K0 d0X/SdDvUMijF2OOp9D3FeQ5jpoIixdJkBEgKS4fjSxT23Jnk/uS3566QguX6ZEcJxq6bY36zIpu GM9alf7LPAjJWfBby+KAfxC6fdJF9TJHfWOpz5Zs44qKdEfIAgGXSbmjG9OafxAEsqAEmm11THqS UQgjGsHTUsY4tOHJZ+3vg7gqZG9CtyN/Stbw6+rOAGFF37GqSr1LrgeSQvVYOHXsbUdVCmJAUn97 WFeCXdq1Ly160OycIy98GpiDKk1QgJ2hWCN8855JMnnRrmnQrPv7UdQMpUZAGW6lmOI4X4jWyqbD MvXucL9guqdnB/rUf3TdNUFaFgEg61geAgTgExaXgqa/c+qPN6XiAIdg/tQrFYt84uaGeIz9bIt3 Qa8unZ4W/3Ti7i0FxcL99nyYUw7E31rvpgs34kWZS6LNAtDjLpLALTK3pWkU6n/UzzQ8X5Qd0S/w ao6zeZDMqm55biQ5K5/ZLTUh04KmxhU4krZ8MvkEcXY2X3bUoJq7CrtVWedDUQXDCrfJr83o5NgV Bt1J9Ip714XKxyTBG40fSFbcdOljI51JG9z40MV+/PZgepWs99zVzonL+UFSAT3cV/itAyDjkDtG N7vWcyyrDCn5mBgRE7kRmsV37d+eNy502QxM+HhfRNYGpvQw0Yv8dCHjH0YLgBPLra8s+H+OwOwC RV+vuPeSIQgF+a3UTXnNuI3aQHzWHXDmGV6Dg+OCCBBOJs/Nl5Ti1xrnMCGar/72HBspLMEe+DKF OwGKIsm9PtBFx5KKpHPIg8yj9knQ1xFuSSZMc7hArXcAzLTKPORlq4I+U/NjSzt9pFYaOen9daaR 0K80Ogo+F19ERxZ8HCTwAeo3oN55JpP5NvV5mRpyhbnO0/DeKrDafI+4vGNP1rQQ4sLPSjZ8S/Bu 6nLqqmX67XGBXVOc4lejzfAiawDEqlkqA1unMvCPvjC9B7LAiYYNVMHwK6fwpvMyP66KJeoSLWJj 4A3HiEAI9Un1sy7+dB/5hL056AIMfAMS6rQ59yGMekqEKDDQNayRrFL0M1Hk2l1MsoHP6jxmdjng UIRTKq2bP6tBhD+mdQc4e1cbSNkMmdgKUgypyESqtyUxIwpVNjRdIi99ldJI0aDit2eAJ7UBoM22 MjD0TWPbX9bla1jQwGNfCEUngVopoyOXY/vvdV1glvCnth3jOk2H+IdyEKb2ZAqdXj9sFrFc4K3c rVDkobhwmY/F3h1LQ7BIkh2Ns1qdxAPFF9Z4d4iVKH43zLW/sV/fx87zTLWzCq8q+4f967enk6iy cMe47pOhC7LhncWCELCOGIzLUSiMH6CdQGoTr9Y5QC5FoMNce/KByL2BvxxUz7Czspa2uoBWlqpf B8/dQ70AcSMGvW9k+3qXewWlty0yZqlrOMK+xtlVIyfjJyI1Vokx9lJVmTNnYriv+swtN2JZ5fhk ar/zGTcds8opKPC3h8Y2CRVag7LFM0HCoPHaqGkvSnsb2dU1VsZks27IGvsDC8tEZoJ/qPj6OF20 DKV1wzeIdcVB3TOmO30gt6s4rY6F02OfVXz4G8UQxgxHpGJfgzcnaYSxgmuH4dkt6izZlKj3MU0G bY/UQH9B6brsVNKjv5NPJV5NkRqnM0lkrDvstycIX82m60EsxAsoRF/KopV02LFiJVzVM2UZKn6e yUSml8U3m0uuClfwXovTrrNHzlAjJ5XzjNKDz6dvSe5TICiKgZ5E93YxSRoGfuf7ljYZ2eriiW+y DjgHNjs4tR8GjJlv0otB8pTlWooGQaIbtsH6pJT6nkm3K/1i0rVAapaD5rfH7tDkY1FAeSJOI9ja 7aeIeqMAbQaUlNrWJS0SOc6q6fVQkqocxTn4gWXy8Bb+oR/L+FUUOEkrifoNcj/Tq33gMRua0svg CSNkszTGrSVGFmL/qDk9eRSBelIAb9cyLRsrouPQOIeDp1uUycvc5kgO6JZoe4iUOseSp/ojbJ1u mOG3J7dGC925C1+d0QMr1mevkd9snDpTN+kHFtfoisQZvk9I3oZ9fY79bQKETienEcabq9VudZ12 Di8+xy4/aKlRcohFKUVo1j4s1JWUNyvLoQbnmHj5+cg6Re8e6WlRMpDelYKlbaKqZ2bGlEEp8yWt 6UDauw+aWbawHOxRDDr56vHitycpp1WlhvO9G0/BJS5VIqq4V37mQELvOkfB89xYTtRxVcFCYr4G oiAiBICk0FhDkxvKnt690s2ssEBPb8VDjj7YHcyVHKjjJgefJDfSpdSRbTiFWRNJPIhOKl8+cH57 AKbWLoE6GYTz8MsmDygC3r11BQHNXmmVixBXb25B2r1777cHxHxgiIYa6Kr9MUibpumyVUyLbpGj rzyl5YF5opzib+MkLxNYPAa4H/FoBBgMv8yZsrYk6XV8HAQXGkDlhqgk7Qzu1yr0eyVoi53JsCFC tL8w3Jzg02nrJPH5IwzNPvScb6AXlEsdRvGOtEe4K3W/otyQPuWLgIhGn/vHjJ0yG8Zvj2Ppdfuj yzZa0ohgbdWxoMxMtrW7n647CnGcrgfgWvY2bmvtJNOjVUflT/6bp+eoGqm9Auzsh6UbMoNReShH ZAFmvuArHvvgP0gmr79JFU1sRcCGuyXq446Y5gzoDUz8Y8jY1ICX7xifuZCXnnFVeSxVfSOKAZce 5XUY0sHEGFpbv/NAMyROw0SrFvL1AhXirAcqEK3e8U+hey35KNJ+skn29L0GNy/q5PHv0PwCcamO C1EwLnoOOZJt1VGs+Y0+pDLNN+Qe3UzbWu6Rkwn4AN7bKD5aWh3QImMh1XTni5QiyB22OXzaJgdl p2/1IVzW4ud/q6NksAfb+dRMSCNO1WNWxxG/PSQSjPLxpzcc3ncwFVDCjsgi3PAYF9A0j8zqUWSx wyuTwCUt8Wua+JjT9QjKAIVglMzv7WADoPZTYCh5BNFn8q4uloRZZotnAI9KEbaM2l8STMIa8BWm ngALaxRlMKYUn5woJ1rKdO8K1uz5svyToQGKtkJFTtyo1cP6x4napb5Gvz0TC++mtmvH+CAxvBuM IdiLFbVWdMM7VS3PYgxMC7NzL0HvlHfvT7rxcW141oStAYNjNGQV6v59Z6xDaEbp0ONmveYLhtu6 m7oSS8izNTsiOzWAI4wtDFhnAFgJYMBjA3HTKYRE18/R1Lkwa6/onf5u1wGAGtGPXj8vPe3sj5wG hr897FWsFd3SpvtS/ufBPWJx+MpH3sKwjc8me1Csl12W7oUavIhG1fK1LfWuN1zp5+ec75kW7nff AhM78Yhe/KmJ+Fp3+SAXuOVxD4RDUKBlZM5l0I72oKooLud1DIUKi4rLg0Qi7+tPV9G3oJ1CY89/ Sus2JnCZ/Fnr+Lv9dpVHnf6vf8phB2FBLlWJNNQbTyht+dlWuUWuzzpM1JsKn3UGxyCB++WdrFGD SNULwy1mj5G3rvnupkTF5WLWXggX3qJj41LAsp1MyywXxGp6mCua6qXNOJvL7W0rmpZOyTtiEIXt h4mnVU3zvh9MyJWw1SMoxt3XMU8AULYoUPlHEWR1NFQMVdH9TmY7u0pLUQjuLq1RtM+hkDDqe13H q3ttTH03yZnG9u2uywiKnY51JiB8XuHj1HQOCF4sgC1IPCF17LWs1ODSkphw3QjmJ7RLpbc1G0iZ CypWTwr06QQkJWxCIYf4DgHM9Zqa0t9Bw/6RBQYiC3jGbCnPvj8jsLYRlRtxPJD/9ijrC8djPZGE Y8bXJyndqShOvFaXj4zEDnzBVzcsBZKhYvHbAi5kbKVWjMpm2ZWE8ACgickIY6pZFiQOpPfYfcAW vPeOwlVbKXB/NLjpohmrMgdLhKkQ4pnVsDjufBfEls8j5Q4ztvdPO6QMfOgN6hb6id3TMTAQ03m4 /BNm76rS++2pNTZtffbWVlAjLxyL5mGORBSL5tZm45wrwNu00d45TPz4jmBAdBa9Xeklv3fRbyw8 EeHrbwyOC4rhcjEAJdA6LqHgZ8TYwCjqBKJLOCjBrmnWqitN6A3oOTqGMZErEPu2+/tQhOng3aje vYwUISQ0qoC3OxdpLjBq1sUPIxhSWvvbYzNiNZBDZ3m8XSGJvSev7mSPFCPQjiAh7Dpo115BK4Z3 +PwEnyaHVI8ZVb1CFUrwWPKddjK7cayJxngJAooS+UlgLA7ebK1zrViPNwwXlPhX4x/EuKDoHXsJ ecA2Fsu2bVfzXpMKMgz0rZ7MGgpzrUYMZsurLecQjNCV9s8K/ODyb49uA6LJX/u119B+QQnc+B0Q xe+GF27eM5vOdqT1Na4f5hQF9vZimnu8jroXXVK09nR4xaUaeHoUFhubhvEDF5kp29EunT7nhm// /kca/0DY6yN6iWNz5vHmCAgf+JAjVKZhZ5dAMOxXl8EQ55dJFiW/3VIlpCP2PIRIcfUk33Em3t+e lerUglXQ5otKUZjmkKpuA0aHaQSDCWjNUXRen7dqSoTEjKxqnB933xr7S9eOca1YnYCN3FAZvTRJ oUqgpWSISDqZxxUE/NqiwHD4Nxl3AlsVlDEVO8vHptvAhrSpe2tpg3U6e+a4q/Cpo/gZzROLQ6ro /y7dtXHgZQ7GGiKk6fbfnsMj8Fcuz3yVv5aOxZJmWPxKhnSi4sobDNsBUd3xmBb8t0keLFUICHF5 mkiqLoPhmgOmVfKT4ci1aNdebRP8BTFd6e0lPk1b0XYujLzvIX7SebD8LBDjx3GxNnyByTvvyfRE Uoc9kPr55q6Al30DrthGgdLAV1bau9P3+08MKdFoxfzcwkJ+7sWzo2lkynU7m0Omrm/fL9HjJGSe ahhSfjRDbR3p2JKpq7yhKxDyveXkgZkh5f9kd6Cz0jLN/YorkgBJExa0PcFvwPI+NBJW538ct2fe juCzwaxZ0r9B/8Qk74TStUksStkSfF7abJqWHWGVHzCJtQHUw9SHljWyvlN2anacA6n28lPl/qvv Udiv/+2BQdMgQtfTc4cvnnpDUq2Qbjhm9QlvhhiMizqv2WIL3SghNpzif95cB46xg+tLVtgYRWi0 3LC5JRwNcg0Kj86IDlWFQIDoLfg6pqflyO/zbWWfKFGp5pCGMigqVHhDj/CXXywiK1rbZq2B8FYp toxchJ/xpzfwpumevDQPcO7M0PrtyXAa4ydcOFrSr4AUISsUq52itdsUvaqAWBYyj3IWtHAr2eWy 7B3wdLquSC0H7nkZyneiyPZe8KC4ahrajukFYBtX1z9yT12Ij7/tykojf6GcxqfTA64R1eLeZrgR Su8NKUbwp8SKryCoKKyttml9gLW35hTp4c1OjiTDYarpmVD67SE5ZPc62s5SFF1VnW1oICSsljZ3 iG8zK+liXvgX3V0I5rYZ5vsltO6OJWi0vIePQbR0q14qyw3Cr35kCR0rvto9wCi2RFgUyK+Ougk2 GXb0hJE/I82MSc3XDGJG/rmHN5D2eOWDKifHKHv7gmnCs04jJgjj78BEodhdRtDjLyKk8NuDR4MF brg5m3/v3wxu3huL4DoMnN2OeiqO5tnPtHjzhHfOyHxi4LTzCdOSNMxWEtiyFvQVmR9mdw+vKi0u J241ilpQoeecMJwFivDfsgfJvJVYcUYBi7Mvc9zLrlkd2ZEXM2D3b0UzLqTAhOI5bQbjjqc9oGJ0 CtPJ53J9OgMaouy3R361eZ7sQOsUlzJJRf+puJvh+IU/3F4Np6C1qAAxsVv2EJ7iPi9+gMkwrveI nMNP5aShHc57gYwd6A9ud6eS2tX7X3RaePhp0zZ20SwLymP+h5eFv8+EhvoRgU0elmQGqB+XRnhL JAAjhKGwc8BaU5eyUKzCwHsZgcQEiPnGjenGvz0cV3hQ0MWihuvGoPsCYBlK+sPeaktGiJuFRSSX nTx+cPU1GZqcfjTm1qx6CP4oZ9vU053Od2CYdY4atV+q0SEaE0uqZWZiULYW1PFIoySz/XVbZ474 LzQYIz8m5t4lhTeHurw9ibMcfgO3tk5zJtNtykT4xGIJNay3JQWG7nsI0789AEd4AW6Be6Q5YhQ2 UasHHgAYhXgsInFDSTyWjnEPkj+eWxEb0wF2A/7xGR66V3cOu4r0USe96FXVY3Jd+fiMyWFpYpyc JpJlWdWlbhrMgpalyVEHk61CijopA6IpwCp/Usee+ofyyQnvkZbivwqtzUl532mnlXRnI8hLRjJ1 cIYX+Ki7D5FM8Uc2iZCioBtNHEc2evcQ0lAvDidq9Zoumuy4nrbzei0xAyv1nTNOOh5aze+Y+VRH WDKuwR2BVxYSHiflVh9d1AclX7WHv56zjcAq4y4O2ocTm6xiAT1njGgBb1XXDGYZju1fNP9piOby DCagF9RLftAnyXovBMxVWiP7v4EVkrPU0NGwmsZTLWQiRkJB1Q6YkBBIMrCoPRmi/lvvPz3LFQUc xGbtt1d3Am9GzHNQngXwENHkpEtHvYItJmOX5gK0VEVQ+MpSv7UIk8p+lGgG6Vt1M2S9zPQK9ne4 3UTQJPjUhjo98SwtNqzG9nCyPqX9oCT888w/yKt7kGbl+Nf0oeKSc8N//RXD3mcf5CTELbLjk5hP jzq6P5D493/8gUpUPZJJ3a3DNakRIYSB+OeWRMEBN4tIOmDeHw34Ocx53toUt42wAvJWvbDnLk3R lnS4nR3LyuCArw8b+YiMhY9NPHJML2AHA9XomGY16lnZ2Jl0sPWWdAsQengU7GAI1MjHrTp9cwvW q46tcJa0y4Aovl39EdEL9XefZ2N6bPLfHpyAvT8kwgtA5G6oE11AzszaWnnsxNQIorJxp482151M z9ldeFEF5KbtPyo53680js8gpGoH2doduBtPAelyz3Rv/nautC6FkYR/4Jbqkmda4hflJ7iXihDQ vED4jkmBq2y4cM/2J9/kh0owk8Dhw3pUBwQxkFKGIj2ssy17+1uPfnsYjAhf1l3XtXyyLuCe/YNs LCCgE8vworlDOVdwZUg5C2WSOi4DOWo0wzmW/T55zmIzTV9tXuSUu3Cat62lFj6q6HqMjjQZUU7v HfzWROZn4iMOdbs7V2iABsYqWq45LjyXUQBuufROG2pXosJ1UjH/GOZQ6RJ9hWsvFDLw67g5Cv72 pLLxwzwt3fb7oLmQ8zIQA4M9Hc9myEAl6HXBDRZaK3WTWWBOgt3qsZ9OkrQHRLPbRJQoYUFCS+o4 c6IiNeKnK5DUBoW16IroH3jAykvdGm3QuJ28yadQuCAdLACbBpqBds+ySBdNJqFJjfSGl1Td/NVD uSHyp+jWaNJj9sJ1Zvf77ZG1FT6CcBXljW3B+cN2kr+dRBRWDjfdWPNe1YfGGn+MrVZUBj+xZpWi XRFeNlIPJKHV7jLTdEBPu1Lxj1SsuredYUBl48wVlsfXclCULThAcIeIcOfMLUStuZ4+12fP5eVT 4lGWzTiPPqGA8vZFWl5WCP64zVHF3EnFor/pky3u8LdHcERc6KjZYfhfsgH/AwcWjGDgGdGj3DCa Q6hgY7TCZjPyUUw+cBzbOdebgY4n6EhcHejLSEFvL713VQIxoQdYGIpTp0fMzKrnY9ore7KkTT99 7vKd09rJ66cd5jMuTWdZB9iD1IsLbATcroFsPuYghdArZDOJyMi+AozWt/FNi/9vT/NBOnCfwrjv qFj0zT0aAsJL2GyYySvv7bnTnl7vUaJQ6FbzrYwORoizAI7U+zjXSQURKUfJg5MvhwdWV73Zhj/y BXwAVw1pqwGbA3iSIyOCsQ1NuCkRAHCQBa5ipyQM2Tczl+isWTZ7FMmjGhUOCB+aFYD9Lg54c7jh Dnltzy3ib0+xxP7w3QuOsaRo3Mz5X/gmo3s9lsqOwMdiT+2KiOExtcrbaulhhjoOuvylnqYPXtTx EpQ3CEomE/371vcD2DfoHDWWvDk4sB0o02NpDUZOOODNNiIJ/J+FBZq05vk1VfQCxmOX7OA4vzWW kepFziB+2d6rl1CSDTrWOz2dXaq6lN+eu/9GXpRKSoYu8xStcgg/ScxhtomKJ4MgsuzlxwH2xIns /rmTW3Lw/Q/6eJPSTGAVVzCmxrTA39rWIAc4QG2Fxo9lSwWc1yCui9YUS8/wGx13j+uV4dCm6TzE kMir6BWqucbciSx4CHJSzXlJJF+dtBKNKNO9DdGpPmd8G15z4//rH5LJx3Na8qbN5/F3EjkYXsxX T3BmABXHkETEhdYYJ75l+v6AbxH4XlDqRDvG5xNDE4tmPi1OuDpUDXFEF955O39bEKr8TXX5gh+p W3T2rSDQzUjgJ/VFqSfyZwiaI0wjdY28O7z8nZWZxXht47jUXVJfz1FXlG6eyTrsMGxvDTuu3x5w t/4rM0x94Tco7woaT9yObFviPCzqeih4sgTldT1zBKUE1GHBmv5/EgcpuhUXZCNaIAaoWakPWes0 hiBsq/OMnou7o5nJ8rh7YEo4f32gBJI8m08AyIXxymr+fBO6UqfhqCU11nesIsalDLR5C1AEN+O+ iyZ7xHPLv37EzZ4y/YuRYXzydEPsSdsRYTUIgIbp+2zI2Gt4jmmUGy1OIIJKuNvG9AzQLhNSp7tM ssJbRX33mGCiuSxxLF2HznUQYlZf52BlD2ZrA89CjtctkltqDeHzW5/0zIOrpT+c7Ma4Et62QEUT 7dUYjn4Ao/uDoIiw8EBuE+edde7qNgNMSzLs89vTu/E3SsT0paFX3z5lVN5LiuwmnO5lbTahbyy2 Ce++PK9bb/NaaM8GlUXmYozllphqzBByxOUT2NNWBF8VggzOdHXv+JyiCMWuVSL/rjivxytPTVe8 SWdwqy25pTKiON0wuksppVuhYu6SHbMFaShYhYGpgg0SqLlip4c3DjyOg/+3h55xoFqfusdel+Ad cBVB8hLmnE9MQEt0MedbPPZ6Mf7L2upUVVd0FFeUsbs+LuXCC1jhJ1qQXYTcNuSsrf2dIFrKocol 8nqkh56KLQVjaW6QATtew5l/fYokR7FxoJOe/PiuSsKisQS7qHyqyOvHRxccpDnSnjA0WcbDbV2V 8kHgt2cI6xIxfy3xTjthGKYSthkLsu4btpUClXGzocRuqf98CdzxjTuLtY6HOOrCTTkZsvJJZ4TZ dXMOQgyLQPvv19HpNn+HZVzL7svHpxBosn+FazPQxTv3Tap446FjEs5GUysQo3TktvYuZPgqC0su dI5IBVfrTAjUshYvHgXZmZx8328PtvZyTNERiyfE5SCHTfaDzV9HTSJ2bV0tzVKPmzRQXdyWt2+W dvczGqlE2H9D2SrOJxhDInhf4xklYtH+dd7of2ylszxsv/MpFa8hEJ8gP7AT4erqKD+gRAcy//ln bRn3+URlnggyxGl4NBRFkgywYainTX/CmUyDvHhGXrZpsKX99iicOZqYhOEqKbaTdslaLGMaT+ER RjHHDorzIJVOMfWKDgbw91SMXcZcH5fMbPI6CTbkjNE95bcUVOGRnckEISRrUKwmNx7C3Uhq3hwk 8E524aJk5ebZnrx1njsnzRkImTttLncNFYWGaUhpcfbEN/OzpBH12Lu+x2yG1pE4pgzY/PYg8tWx Fio6Vce9tFy/Jb62r5lzeJNVsMDtiFYVeL/x0vhg7/+rYcND5fPcedxhOPw6AiVc6xdtNzxRHPbD 6yAWfn/G3qXUy+EaMDnb8vyqFw5U0I73AKIQlmzJ4KBIOuB7g3WlCOMBPI2JEhs7ESGkXQgVTqA5 B3dB02g+7EtlK/jtyV+4EGOoc9TOGzOJlcelX7pAx+woubvtH4aXffbtDtHDTrmC7+y0fIPf4N7k 3DusMstRzlp/nv8A+mPql8dpE+/2TV1EQdhxpIHqiGVDDqGXpkqPC4M+0umvinnqNkr5B00E2EOT bQnVdDQaalahC+NRiFUMUGGYK/9SxvOtyivpt+e8aqKDjw3LCDzk4GKCyXGirKzp+BW5XJChHIbt HYmMrIsqfWBbDyXBVEJYwD251gcDXotRtrzXB/2lIUHBJs0y2iGXcbRZCi6fHK+VCKK6XZvf25Q+ 3g1hKkvSCunJgYfW9U04v9CwQBEQ6+RuHXbftBjHZ0lyiRmNBJajYXVaOT3WsktYjJ0a+WLaT+ZR zHABiaNQYOssnZ+LR5nbCde9Fl73dJ7zG8Ml4xZr/lZEagG+2j1djBrXyY85HEz874bRjqIjad2u mfOyNLrnEaRSKy3/A20oVb1QjyWfxnKGEqhduhrxbYIx0+FhootJ002alqyCRf7rNN0Vj+dP1iOL SqxGBUXzFmv297foz14ay28PXRnudRCky6SuyxikFCar7KfLXHwRpgv77TXU9n6Kfcuu1HiDr3qs oN/ZwCwZbGLQ9V/wK7dHNPy2BS3K8cGGh37HGIoMjjJ8nCUg0GPV5buZfkPqsKdjGSjXzJV7Lyet 0G5TgWIgOafdDcZQv9IOqzWsrZyTzMHZzEaPvIHxq/Tfnk4xjLAF41gnHmdpwpoDpEkPTJqrz94L kl3EXMA0yrwI6YMZjTZC6WHVDNxjr2KDnCIYzHEyYn1yX/j05yIuC9/eYrRLURhteimISxyUlvu9 ggbIDYjGucj3KvWTh5DCftqLPMZkdW1vu+e48gCILy2DUq12chYGQ2qMO99KJ+zA357XWJ4AXXKE hs8dkfiteZm9+6sO25aZDoHTrac6Fu7Q65TO8VCynHleSpOuJDylyEl3iOT7bgpebM+YHNIbBovl EQiPnYjjz1WxpJ+aTWSbBq8/6HU1ZRTiaS1LA2ScYd3hLcWQABsOXmfrLbOVgFBz09w2goEjAIt4 3Kuq/giafb89S0D6h70t9LSzrAZiiO8BMn/gBsJp8a26aNgQoer1inxXbNHwfaeuTnSeOLCOj75A 6Jb1byuKT54l7BvliGZTkUmKTwF7S5EiMnBQ6RlTbiS6gQ+cLkdwea//hJ/+TdnIaJKBlc66r5Q6 FGfNEhePMeF6yDVZcYLU3VBpNOLVAwP97QH6PiT2YjNp/0NJ1oRJHh5VZE2UNnhkvc7ZZqAcZ0nJ 3vf5KCSGMsIfY3tAs9TYDXl+Iz6dHA46nAiqq5IYddA/EbDr96pGeDqjttKIGVR1gvSK05XyXWCP Fvw3pb4ap9vXXcsH34d6OAh8VF5dLlO/Ixlg2j2q55mIvmFhqG7772/PZD22bfDRXn1TZRGsOyIy VG7fIDMM8OPZhR8VNq2U+qFECzLeDXS6xn0CPmHFnd+yHn9wEZudo1jMl6Ttm/Jzrpsjjk9uPy40 JRMgReqb+mDvAhHu5wEx5B+h4y5So0Lg71S6HmHAecZtmHuYRYB6NzoHdFZ+xTFSmwZsYRpehBY/ WbRE+Y+p8a2GC6MM+Q17vfX4+50bVPrGXnt4xRY9RhVRSQMjXX3ZiDeulkNuymeHcnJicdEZdA5v rpORnfUgJaZn/HhbN3jt+XdP47lLEQTlLSa4EsKpEiBYb+gOwZy2hO4kedOSSataai5megMEihQn 9OnzyHpG4AD4pB7rp4KdXYIw9NCTFHZ8dP0y/vMrUOGDmPQb6W1725ht/aKLSBGhdEztry3g76Hr z9D86jW6ErvihJNw3Woi/+E7s2sgdBZx7vWbMvssa9a4EYrxQPQod7k5yHvBopAtU7fB5sEGHszG jljXvWFEium+UG2esHwUiyarVDkew9EsgZDnKUiwnUWNNa7GyZoT8WN1v491+AuPjHSgPw6POIRy yye6XlVTzd3x+MNwvK1hHh0MWbP4zt8KHg064ePLmki4KAYmM5exgeo5eeX4/Kecm7PZDi0jwg4k yKGNd/Ufjc+kWVf0VhHSuLKkV5vXBzD8Zpv9zcYZKGjlK4DYZGFq1vvRryZMcGCZHah9R1E38c9w ZpvP6eHW0kG3OB2Ery9scFrE70m++g53koXk/G/do+GLfGmX0/jgPY9w+aolzRSlsyz0ilNvokYF Gme1sd4zbKZZK6EGiSlUqVDFg+SLLYXi6OJIuLlIYvuqlbLR/AKW2B8vNve4nmBWFJOd8ZktyWaG cIY7EhtOZw09xm9m2JuIrn9e1/2e3XuWTbtskAqLAI14+hBzWetjI88CtUNFrqhFMm4OHuiUVDOR 2sJ3Dut/e55ISg54o3SMYzX7e8UZlEbFcQ6KmssbqogpWXA3ZRiOUKvQ+oPcLXmbl7VOAyujAH3I GYRZbuGt48xCk705JppITd2sb76ttZLCfAiY+XNvY0XVe2iPkAZM9/96UuzbRwOkyd4sByuWDPN7 iwkHP5XK94Tc5Br8Q2NKIrHe026D+O2hQvDlFjlTO/mUvuOQW/uHQsoklBGpIhlALuvJ/DzzptFZ SfM2BqliEPbih4kSeDyk6Qvn16ORh9tivp0buvCIkx3AmgIT0hyKkGpHNJmCNEiPyuZOCJmjeGmJ nu8q7GqYifXdC9UJldPtCoxJ9qr6o4zIQ+2qaEs3I8PXtbKCZvPbU7G1QkgkzCRZsQ6xfzYWGmZG O2Oj9sh8ZJkHSMjysgkMjm4ZD1vZ9IS9kWt7+gTOp4XzRouuBSiy1haaDJ4AePB+Edgu1WN4ctcG sHtTGULGxB4ZMXxOQyAqfvTmVc5wZ9L3+jc1KcI2RCig1giyXXM0NFTow4dpjp0eUY8yyQnpt0fy Fvfb2r+TSvjc6/OdI8d/ELaltXVeAaqAPM5ACxd8n8KAOWB7eMKibGWaNKahrQd4osLZ2zK7oxT2 /LFyn1+eTYY6ypXW25O/YFle3Bl75on2OpqbTMn/mxr6VnNKE9HQLxk1MLNOaZNizrRqFMDoCcvT jVjOHXhfT+9aAwFD87en2eTSWJvSszV2E7mq1Q0Zg4SVUuilVheeqP5debfDYS9bRZjxxOlvZn4R S2dwK1HgzAPhjhup4WVfKLPZ8yH2SVDPTMvD0qfLVFnJUJo/Awsdw91raQ7iJfv3Z83LxLLowv7K RUv+nK+kxN1jFMKs1yZOw8GJzcSD4gV8mFUTiedvz+IxxKBKlkfJlMarpeS4BuviA+pDhN0PUJA+ PsKScRtzlyeXGnxnq5LPB2MdlYRN3mmcE+YMTUj205m5VaQ17zQxZbq4/UXms7f08GByBcyboEEJ 6OEKHlBwjzTZqWnn2fHdkxEDMB+tRYKtfryAns2RlJ57P5lE1s7WbjXWDa3Sb08W1NvR4/qGfItI mwJ3cTUO8jiYqAjZzMSXJWo4QS5x206w1LT4UO53pbZupWcCCeMmh9muiGZZ3XcYp5nFE8Y/nGRb aNrRkA2p4NH3HsT8wpdW/l7+87bVvqPcPJp4G7N+DUATo2tTMkcmc/mR4AAyPy5swchlvSNQdcuT n1uhzd/521QFkQjvw1eoGF3+nqTwoZwxxFz/AQMok627v1aVHfVPE7yze+Vjj5dt6Cp0Niyeihrc ILEvboVBV4S55ODiJiWvxz0jXheXWzmkY8IsGE1XZqZrVfXb39m4N3ro1gPn1XbvAXe0w46+vaCj pFr2HlF52XGDYie8H+UhjeezUrz83x5aXCu9Gr1Vxwr5zyyQQj3TKXQTEjHUjzS4xEFIXF7ybc4Q T/XJ8ElwK3gWG8a+eb+P++bOnS565/oNNKPe9kuWvDcFLZbCboqUWgGwSN/PgbxQeetqxQ6s+EfH mcioRhx0lm7x4KiAqpgGA5gKL5jdUMNzrLLnlCSMNhLMTNY1jU20vcoN2PkRmiTrW2V6xzICcAIA LRAl1wi3bDs4ktNLZqn9m+/ZlCx9J45BOMIpaHxfCk0xOfXLFzyzpMzHjLiTeMjd1QvUoPvLKODd 3Vn1k5mQ1+H3xdny+9TadgFyAI66NhmsW76wKOObyh51j1SAwRQqBgbKqyiavt6padYfUASSEUJR uZiqXqiZ+nITu6T8bmaHgTml++Sbz93i8/31m67A1EEa9+/JfldShbt5OWCptgUSZl4/j2d7Tazl WO+r6oplgKgTAlszC+BtclzRXheuEHq/nd2FQMcjKSMJtXY5Uh1RFF8oIrS6yyWDCF+lIrX2NfgJ r11nx5Kg3ZWvZyYcYxoB4ICazCBGc5Lg3x7HwvuI8I9oF4xuwluiycqKGsz1RN6enjlAkXyrWAYb Z1P+e6zzTig5LPjEC+yw2tFeDeN2bqaw7AvK2Nzcq1j78mQcsc98+FiMytFV7nW+ljfuHat/X5An f4ciEZ7VbUzm/LvRjXJE0aqTPEOZ8ufMrTBHSVyEnHT+2INv9x7nj74dvEd85hatvpo7Z3o0dwcI pcVufi2asMv7Zxtt39zQ8rvTBa8S51bD3itSRwMt5QWV0kMKUzLuAiCWb98WymZCU4lUNrPPaFtH jTzb64iJPLGAkJJCMMwcuCxq74FafQL3k2OTol7qO7ZByItRegSL3nj4akC4tfWcDGSPcGIE97zF vbWEfzXlJpDH9P/kZBRk9MCjN9HyDvA9+TWHagiDMZKkUmOciZqCWzzc5QdgbhugwkwO87kxYfg7 cMNhQEX2Myc/DbIfZVeBmoqx3jIbrSxzAn/72Y7lYfyMnTIIOWGclxv5LnE9kMfnlfqwtDqxK8bf G2uxIoQj4JVlzwAw+89UwCQKecKKa8jhb2SQ6+WBegCWI3jmUIQQVfSDaP/2fPqFrGLN4GxbUnLA Uw5GeEjLiJNLL4KtEVNlC0zvcnjc+AS/6sUmfiWEKWniY1rruzklMPmEn2gcDaQnvdtmcuYTQ1lw s3duugZwV8RZ63557Uwj/BkmUM3tnAqv2nWqirvgOzPocfFNODy4CzshzaKaI+X3nOb1QWeFa3Ax whoBGxHKsa7d147q6WW4ALo5TvHNGjoqD7wjJj0az1JvLxB7DqV2tSTfK8onldytXHrncvwuWUcx uL5xVl3DoS+Va81qvJKLBeG18h7hdImyPSq0FJhc+9Iu+NxEikzu7p4QYa9MlXl4T2rHnj4Xeg+o vHBduopS0i4heSlTl7ETN7HsaCao6ULRNpl+UJqZs16qKTsMGYr5M3atS8UsV5QK48UCMohhwnyq 51MifrK7ff0Cb7bshuy0o8pafIcXE7BsXLFOWm8iyVR7QylcMV76Ltaw59uVngEaLJFSZTrVZ4Y5 SL2sn8g82DSqXeqLIGw/bWGuonIDBjNAMBcwx+tytltTgPVSO2PIME74bmeHQ1iyjGnaJfe1C7eZ pBUfiep4/ygUOwi8nBWV1J+ojCbqEmXxR2SjaORxlCG7SrIPoNsglSIszNeWgdNFDyPRihD2tvCh te9TIUAv+Z+NrCWDI6jx8pyE/wGmleWrvm3udIBYUTgSl/RykekROkD3uSnHYFEsgvZl3hbej0bS 6PV7vAs8RFrRMQ1rwJ5XiffuknsBxtbLDvBs49w6dmt5wyzeb4+tIZFkSGkuqzVdGU+KWDEQ4ld9 qkZ9T1j42fWlAGP6/KRqpZ8NG0OQTObDzfXCH9yCXWg7GiHUUZg1YCpEbCDcQXWZ2k9hKtZvDWBY fSJPv/RdFj93THQb+bvRJU93Sq1XJy9bmmfyCPFPZPMWz6LWb2axFhdKqmws1yh+XIL6HwNSfNVJ gr8DX/zvNUGnpludqTbQEFBMGQdMFCmz1Wq+PWyGEbxmOmIZW1QY2nxUYcw8nIRI0j83goyOgeWo qeuaBrxmtD0ljNtQbqZdnJY9m8PRCxTmvSJD2woUimFoJb4tc3lScxA57B6lsbVY4URFIuhDK8u4 ICb43axAHtBf5wrhFwiDkCgP9+fmmWf5Db89aUeetCwHszTgqd50XnMud5gnZEDfwV7XpUYhVBLh AU2kP7HPdlDGzCnYykJpKD+wcwRoCVheOrdZnUdUoMHmGJ7cPpWcz7qrOr2DHyVMI4uGFfcMxg03 1znbtuAhKrhCup39MKerYayOA676zvJGTZy5KXZ1rvRVZaYO4zdgfhK/PWZ021yIY3MMbXoA1br6 gH6C/cvjCkqXaKr1S8f4/QKTkEY5sbUBHiQXylA7AvfMYo0f8y/siAZNcYxdCoesgrusD8vnM/0L eYlCm4gBi4CBlEpnysCaHO4OSYgz+5oMluZI+kAX8gaDp7U8IYU879oSA3tp43V4dKjPRIOjjO99 5rtOthZbQx9T7fuM4MVjZZf9TPdLz8zg2ZVDb2yZqGYfRwRzPIhMpcsTE6PKFohY8UknpzeM1yi2 h/eQzEgZ2Z41BZNZx9D7GrRo/XBJYpr/bQhc3ASOpCeI6ul/n8bFqXkRAB8sBM2AIgQ1qFpwrIxi gz5WjdSdUjSV/QPYbUVss2EzPyrgHzzUKG9OE3mmf3tCrJ4KovyDZFhCovQtD/Eu+qomxCp5WFa/ iAlqFhM17IzOUa+k8ffcD2+z4OM+w9eLRsjaEGWelmkasjssc6sA5Ua3C/eA46/IylAuv8iha/ms bt1bGsIUpNhdGMkJ2D8uhf4Y9yLzRIAofIxlgRsZlcyzBD5HU15zwhnWZFSDaf72/N2mKslURB0A zUVfzeLsKQz60xFKzRhIHTEkHrVQV3gxWETlMJ/2whM6SO8g6hQcIQiFhpi86Jx+nvHlRkGp8mh0 kogEhGEGdrHMiSINLWtEHwEonaPXpPEIF/2q8LCSJJGfsqsmYeDt205CbjqeCSfh4asE25R6p1II n9GgdSwuWEKe7JIkHwtv7mYu0NwqUad+B/oMUgTeoUqY7j/2Lqje6jEaD6afbNdBQoP2Aiv4fnAr HywSpFD7tOO/6g0J/3wmbRFeXrRKDFfC5Lf24uB2laDI5e7kYQy5mTnxZfbVDljRv2JxDphlQkF+ ZsYtxhTYJ9tOeiXWn7rXkct3X5jkQuAUxV8zwnZogqxNSFRy2KCqojgh2DyXnFag/zWxqnKdrpGs SmdlVrPfuX9ltDfpxDhmA/A5HRLTpxGfUo3DMs46Mmh/qbG9RnLFRQye9qI/oDaGkW/oM5MfYJwR vfdUAJ8o0R0h2b16OHYA1uE2HecMyGatuzc89WiZzdKq0NKd7jJSSFwrWWpolwoMu/EZjPU6Rbmi Vnu4rQfuR4L72zN33a3HqiMsOjVJinMOniGZAAvt128Ik4fP0xBkaP8x8PKwYYpqP+tfcLASkIEm 042XZU8S+nDuix5huVXQBoPBmZRJN0z/x+NKpzwpVV/sjIiWcenkDrjofUUtCR/PkAPMHQ03IPe9 uPcA5R9BWuNmXTd7GA22ODDd+ZroqZ+3/G+P16t4W7xVwSgS99+iH8jWPXO/ca0PiVr+sGLJgawV E9ydoy/OeRhuDxXQTLjIlNZctu7miiMdH9czVqGXUafGL18U6daaENkHMPL6LE5P/baN1BWhOOlu DX1vY/BM1B51vSRHsbqr9iI7Bhl6YmZPS3JbxlOPRXXVkpltXJxF7c7fnuP5L/E0cfT+P4P5cZ4b ldDbGA6MIz/0gAnw3xwuHWrM5U9yjhsrGLil2SmuxqFRBn9vR/ZwjfxUEFbvVuSOIjS8JfG2pz5M WkCK3p2vRjD+rnkD5JfU1EYsraxhiUvFFMm8n0gcCXDVNDtrKPCO1Px9jr2jX2es+hrg/eRO4Z3b /u3pTIRy2AxMZxsiVKs5YHcJ7jJfA+dPCQQr2C5Yqf3mxJojSAZqH3O1EU7DYoEJudql2ZpXfBe4 ys754i0QTNnejJhwLk+xR6mrbYHdn6K6Qj1KjPH6o/Jh1MS49ICQr8BdtmjxxH1nZsucsRaQuNIA VVV2TJ/lakBQnO+w6kiT8/e3Bw9xt0CdVRHXH+B6iaD5wMq6Iu/gEzxbMSfsjMpScu3JrVSoBj3v 4hB3EFuXnLi9nV0nJsCxGdsdeTgrOCAmFYwz/QyxKds0J38YCU20lWGDpnenWW2fLbPeyrHPQ+x+ 9+3IYIsBeXmiuJOZNZlft5DeKzM+9zb6SrFYZxiHHZP1t4e860uUwlX5RHcc4++G4AfD2CYfJNDb CwtPxh6FwnQVXz0AERlMRnHHivD/yLTHHkGUJQzAY3PH1o5t27Zt27Zt27Zt2zu2bd/7ec4P6PST TipV9abXJSELKEWcddeA+6ASbSUSTi3IRURD8yp34nSwJPNr6dsMm5sogC3r4xISIf6wYaWgSQGF UGiKfvsc03KrBQRZ7ZASfaVZHouuZnVHx/ijURQ0PPRCePz2JBJM3p/IekIrXvTd791DEm31QUgb 29I+oMYzMVT9CaZcWplPMGYc5GZamAmq7AvQ874928wDMQGu97dE7SwcGYi183lfCli7A0cPvJgQ 4/1nbzADPCirRv7evJWHkMBrcGzywjxN3h1A7KzwYq5FRkS9eElrvJW6HRh8fugZ9SCgADUrqmZ2 q1Nd9hL7nc5OKeB1JLefQnMETICXB71SF5mCyIqbLUaftzTWn3hLxcFAkbdSWgvjTohZAuqK1zBu IDwYvNZJXTCHEyLZ1aYp0nAKPoYZmMZJC3bgqZj5gUwBLLk7peF6Cm6WR97VWhEXCk196A8Cjl9J GXulhZMlFPg5ENsL4OwylpH0ebA1/Eb422MM55KW8DdMEn3Q5aNsqG0dkYbH2qL1PEJfPBLIGzVV Qr6JjNZT98AR1jIvTPSdW6zVzBe+ddfwZW1+wMtPxxp0Zhpm+gVFkAo1I/pPPrZysKzh9dUIc/sf UOr6JfiOUJnvzygZ+ETV8OU1lj3c+eRneF2qIh9uSWCd+/xMiY9HgN8etCKJ5jw6LQY4u7YzPKNG LS76bDOpZ0uufdwF91oI04ICpVVRhp7kdy13SzbKMPFG+lEHORfWIYiSZh4dqZzZVc7sdZnCf3Ti iwFwhMkqrLincW2PIgWUL8uljr1AbQ66IgQUBBRHdSBgwl19kLrGAYG0lt+NgFp6NJ7MtEifwdQ8 bNiMWlum90+hmYCl4bB/UeIRjcZ6hfo45ASRWfY2xNP5XZKfLYej9//chWbQukdbBQqNJBxE+rio 1upAyow0kTkqcmDxC8uVZUR00ZDtEXQgjL8HExPsbhgOyQ6mGyCAU8Okqns9+Dx8gioiT0sPTKcc FstfwxLu8GvHqfoPzP72oJH8+2bug3hjgVMogEas7jK28ujFzf+DbhIj61JVC0952P8RzyI9rhfV THfed9Uw9gMNmA6DWonW4EsRb5mTEq9ASfvxmfHWfcZltluJJIDWM+rdcMKuO/uRvOPaey868MEE fdqHwnCM/jcfZPfjGTfUT1QHGpDOKCHV6jluoPLkt2eHPG0/iXoWUuaSUXBwWnY9Z4vB9Xm0Xss7 VWqAX1UKtbUvgy7kJXqToIiim2JmyEaEIT+Eal8qU+6neNg9i2eeFp5FUkv4oBrgIqMZ8YMDa3jR lLfTq96W98XE/9m7pEXcw+jBsc64o/4drXTBXUWILuzWb6qDenqdf3MR7E++7W8PtwjbhQEZR2t5 BKGnSLh5gG+v2FpaS/rY2m1a0FrwjoRlC6MHDg/IKDK0KlJdxM9QSXPm94B+otN0vrMdMF3kTEC3 f+CeclJu9bSkXdeOaOK5P2Q0vBTGvzq2QWh8zkeFg2X/0UKYZ/LSl3HnBfKRTIn0eFGmk1EgR84O dkg8UYjfnmOsPkXD3nJnXCU04bEjWy5LkCBQKHVT9NhXRI2PTDP7Y3FSEqGzrAORBuQ6lfomiWhx YEvvT9BIKsOWUB4j9PRAulwx1VxPVn2DaayPiLgqdpofK7hSOiLTYkAbSo7PLkOw4hX9qn0NXxac 6rvxsNOsdtm1evms1XraJrgMWKSY3x4b+BDspXRaGyS8tci+1MnSdzEplWOBlzuZTEGBLOpFE9rL RHYEsM626ztbEJ1x05rIDEqynB5zaKYHjQteO5sIqfKAVOJ7uJHkn6VUEDnPzJzHu2ZZzEF42el+ p2L6O9clx6r0CMBUlGLzcOJ2gLlUFv3yhCpsV78s0CZoXAMmrd8eGEo0bkvygFbFg6UmOe98Uum+ GeUsUS7ovXwE7vGRXV4afDqKGWAvEFFjGs5efMtNtRSPlM+/qeG7HmnpNnbX4G1ErxpcA7Zzx1uZ +YOgNk2QjYnHImQD0rjbppZ2CzH7qjPgkFE+xEnBd/7oRPpvjMy5snnQpbfECCftw8WpMY+3DJu3 Ra1fWnFKhT/RFR0gGjQwys9DD94/g4gc6IkgGVzVpmeboVjmpLwO78a+IMHlp2wVUfJRuJCfHKy2 GHe7NAl+5yEJm88GfWebW7atyqOZj2E/P3pI1g5RYxbx9br2J2TCtisbRoaff9U84bfsuUitYaoU zGJvF0uFtlwPT7l/BIXg26K55o5wDiVkS98gCv/GIYJFHh05S2SGDsdaexi271zf1eqUnbirsVlp huhSToNbvV32biiK5FoGvMHkQRTcky25OnOD36gdvu0PW0aB9Ihk1pzWgxJuaZF9DZAJmqHsnagM jv0sGnUQq16tHG/WLFMoy0nhOjBf+RDaeXjnRydQNZSiLy0oMtRZjZnbBwaCa6H3EWHwKwLUqgrO t+bezXa/jzfEMwP4oqDQGPCnHXyAlsCZBu2Crk6UxZ+NzZ+0VMrAe5Bl3UNbhodphDsZbUD2LYi4 hTWCl7L2wYB1yocVsE7ubeM74Hn7NkatItbprqNS9UNI4iZI9X2378NY+h11vsy5IWQfVk7op8Bj 7gNti0IFcOKqK17rdCeWQ6TmRSAqObHe12fH+yzDslAmJMkH5+jJx0SgTzHFjrvBXec2k2fOEyLR nXevq1+/00a9vLX0itN1q1wGG3fL11tbVDXyGVYnCvMXKaJcEi0YihDEZPsW047NFaLPG+XSePZa K8Jsjd+arJksO1NgpFlT+wpEX7grLFzVuZbStphx05EJsM/BEoDTTWcHEEtTdquL67/Ta33+A9HW iFC334pMEZod6Ec2cZYgD+EV9N+mCLy/d7qgZ0/VeiR5hrA8P9JTSOAwHpQzsFySASfcik8VdhAq zC/5WGttpvOsuRm2WvTVyjj59k/l29UOqQsAF5miICWahayew1gpAO2sZXFFcyBXp/ZkyJKUDyZp Ioa59A/ao7fGXG3LcFFikZJLDYc6decFrCaybctUSfD57XnWr46d7dekkkbRSjZCLC6pYDM3sd5e zX+PUTZcTLyV2lerzAaRqcCV5eB8rOLZ9N1M7b6T5Z+Sb/WBBiuZ4qtwGrMFQh6cyeNwr4+SLDAm hijcKDvoJGhXPQfFJFRNCqkpHQx9RZVHrMaMBDultR40G1ROMTlE/CPdjLCqdUeco/vpwYajXLnY K2sjFkEvzsF6V50D0k9XPU44nszDf6h7KUaRtBQN5jMbLLB3FoL2D3cYXhMau/TJN3CtojIuVfWx Lk4dy/JQh/ps7OPFtjTXCeaDdFWKW4Im+iJCEtdZpoXA9KtlNURVNN6ADd1hpz5JcwgKcWPAS/MC zAMjSNbY5p73Nr9VpHZfvAcmAmkS+rcHSmYTGAZX7VFmRiCRuqK71GLtzZRjLNhXrLl+zQI1vdQA gSq3zZUVocwhoa/ZG2Rs7IgBNiXjPNlYe9ZtCo+yO3IkYn5IIS7riyaqKRmH5RCvGodQU42y+mNU d+6xS9tpR6jGimSqoiXXzIbMSibbh+Dra61VAk5ovuDW3h08Vuy3J6DiK8EChq6N5FL78Ug79ZQk lqjujtiIXuJYfFUx6A6ppFIbrJ4u1III4bqv9uQQvs2n0ybd2u8bKHtc48HkZHmV4+28HQtwpl1q j9ba3F0oXtt4kMSBzbkpiVjyCbDW8bvrHpmBWJkcXPhp7rDNzKjTSjg+Ch9T3PvY39b04OE4Pga7 5lMPfGnB+zEilysMPyGf6+0FIDHpy75seMnC0lppp3F0lGdXfhjG/R7mmXkT/nnwiAfy1qxd/s88 pBEinzS0GXO8N18Wg5AA62Sg8Ky3Qw0xCysTWwWSaiPD4MuJj/tspp1r69xoIgh9gyGcioOc5kVp ZYmDmfnGgRcRUwlhCCSx6p5LeIXb4E49Gbr2bw9qLUW7WrhAhTfg8g4uKh2ydsoiHPt94q1Vr0DD 5Tc2wXpoTcnEGrLot5QF9ujanB8ijRt6+sXq6zv1EkHWfaR25dryXGdAkkMVTueBFWVcREc1xJBP KV82VuRHGB4HcX4LSBa2GdLStlGqSjqrKGpmNGvi/UdzlB2B7j8mCO9oi9+eZOFNc7IIWO1yqkXn 7QYKUi/0kPTkriIUA0h4Ks8FGZz+Aomx1EUb/+OXueOS8L+GuWIjwtEi8LqfRbBYU4GRcdxLWeYA BDzOZIjj6OgKGEP5AulxCLTQyJyYDsulARW96LbBnTwHdn9Ioeh0XN1TqEI+TCZNGjcv87kqESTW pGV+e4Iebm6Kpu/Kpk3y4E7Mhyy+9L9vRZzroHXuNCeCYs9JLgBZZNn9NrscBebYMGgZAmK/JUjU zgub5c9yNXjK188W9mP7gyv6ArCD67k5DEC3nT7QDCHSiYSAtfGZAhpktQo86bAPoWDofmKKYkDH nYXfw3axQulCVY6Adg5nq+Spf3tArdPQ6G9d7YhfjH3q4RctkHqUW7Yeymzqmo5aG9o+wilm0HYG rLIJuzoBeHCh8P/NcQHRCtJeCsx0HNG5qQOQm42PwO/ylIDW5pYjiS8fkv+9iKopWHqefVDqYlMR U3TvaumH+4cYvPtyUj1+cVKPJ8azbJIsNvxPxleIc4YbWvC3J+rIYRmMjzOVt+LYCbirkRExULXA gqVisyv6kWdIUwvkSji2lB6fd9MIdnUDUQKtsVE2aj9FXnJy/k/P5Gs7BOWkVrVo1/AJ9ZVZhHPs Py4rKVOPZIRx98cRCMoikhE8+f1aIS9vNJe1f61tA3HqbnVLgtkSSXRtU7SslmGX3StEvz1GN0dC jHG+SQyRS9fQKV8rSFiIddyS3ugIUgYYgYqTKkrsiNHY5VwzFT2JwvaZPnT+YgWqSxLFG9PdH+am 9gbpxHpKcUgvlUPGF6a0+TppTn9W83vJ3ekFYrrx3ASj8abFPdiO0U6DGRYyJrEWuLxYaKFgEhu/ B3XzR3phRiDutX57NqFYiApSQdzGY8ZOz65KJL+1/CQtY5lRktzh/D2rAPy4LWskQtD7YBCRFZQB qewcATtLkquG4W8qsa/OtLvGmFDSvw0vVc3BE3GK+DMxWMhKnUC4RqSNTC0yVPxXqreJrTe/k4tP Q0jKzrfTa/5MOKpgggrMxK/chXN8k4clf0mfUZ02JIJFu0JPVcW6gFwA8gF3Frb1e6VFu2TONilI W7dPruh8kL3o1nG9ebM2ANHGZxjSXANk1VCz1K+yYQZ0YoI1v3aY8klL+H2yPzM5HLp7PhXZbsVj S8Mwl7GVkEUtPak+r7dSINwTx/3TwfNnAUspz7PZxm3J7NwQo/ETywSFVXQgkw+UEFODD/9eXCT/ 7cnWlRVerlcczeHzbPnHhpvbLIU170VuSbFY5OFl8HjG4nY9458uSwhsmUfogN6e/y/BO+/JKrvB 1tuMpuL1M8UlTfVKQwg7Kv3hXLHIPbHRpDQljdhhTachyJ70OpMQKULZ95m0vu4gnRzK/jAGSHZT 9fyajWQYNo8AZRBeY9JuC+qGWnEVEYuAmLAko+/RpF2jkW0G/Jr9QeHH3DEjUS3rwzo8AQ9uKr1L zeQpDviL70BuYVqxXMHWwhg4ycanKWlN4IAtRwu6l8MrTeOmhhcEOQdn8vCh+9AwqyjaiWNOHu7d z3+y6g9awQei+dHpKJfRn9rty93CaoYkM0kw9bUD/Z5/0dxcsT/nJLQbNh5jsb89dih4a3kn3cy3 APriEldCmm08+s+s5Bcd1STt9hxJHvEO02zu+E4w72Km9LA5NglDPtRzDTPmdfFRc7ZhgfVIbPwd FIorrhwqf2r48SoUpM9xGTNNoziOBsn3kvUk3zBVquhOzTfsgRagCQaIBVQNrYUyWfnJk89U/u0E hbQZQygT0AIbi8rbC5buC/GZx4raTuC7OnREEbHHIzH0+nUTCk2fi7dIQMAhzeJlgYl+3fhMAdZt Af/AuT0juz8uY9jL7taecZu3JqoO4b+EqX6JWjaWRPUw6GYOIUew/v1LNAXylWlvyl4Ag/C95+E4 mC1KYedpuyFpAKbETR94icvB8hxGaRaVUh3X6dcpE7eJwdlUZVQzxDKjjilnN3fLscz7ol0padf9 Yjt74N9tsMvHcduFT+mdLhtT40qqcc4DLiE1n11HC1o55l86WP9QR10+Zx20BEyNNqZEpqHMxyf5 TyeuUd7Kbp059VuQF0pEbI+dD8Ux2LRhHsBLwfjbwj4hoxnQj8kr9vK6Wu/eswZVpOC3h3QTsKid GKH/uSylRfpRCq/oGM3kXG4yyxpW6W8xrX7W1qSj/79YM2cIUd/HhMbOMpVUGnoX0Y9AhJLUMCjG TozrxYUvf1cN2fS48CWGVmBR1O1/CzEcW15Va2ymk1iAAKTwEa7+8Tj/72K00gSPY4MasX36c76k 4TkvlQ/LaD6y//EcDEvdecKB1hJ4H887uXIcA0/oHEmES4KZVcgi6d6JW/51c8C8QUNAPO0OSC+o jDSxVC4AmsIIxh6RdvKTd5uKq0BRz2GCK39FVLx/arcbmnxfoYONkXyPTrIlEycIO7WJ5qlkzVlC f7JqS0j/CHGFKqNKl0B8Bboa2LCPK8Db+u0RuszLBdXinShytPRpqvwwBIojkNQ2+tinU7JYJtyA Rhw9r6n9slBvaF3yw2JmtwMKKtVifAj5hpD3kd4B6kO3sfbgeIMGbfhiquBjDbs291hd3JDvCq7x gyLnuXipE2MWa6rvvPz7s/KMPaQn91JtnUOGEtn3CICGNTRsKEqr5fDbw2qq179Yx/KN9NEpi8pl Lm2ikUEhtwsNijvYXNeaEBPomYTWuwTeP1IDfYzYz0l7Q7H3Yr5gZt6nnFyHT3pSCGM820khk/Wu JPZzD8wii45l3Xgc2d+ZYTjOB4dYIc/xXqLbTsdIsZhbL9om4Q4+e5wxfDOqQ/SxGvgR920UEtT4 26Pevnu90/SGLRZRqDVpT1zmeznUKA+Sq6+hfr7yV7O6cyICGQlG6vGqN+zOcqXa4h8f7y6i321b HcjbuCJ3Brrx80X9VCxMkjEUT4+nHX3SKB1tmi/13++qw7UcG+ozm+LCxlgskZmnuFbaBOPDlBd2 +aCLtOlDKwr0cT5QIOuRvt+e42bOGxWPlJYZhmdxasmyKGm/YXdz6KzYWZ9/9cuiqYyL3U6cqF8m NaRPmXmbS+RlDnLD/mFmilkKEVDzclPhRtVK3zFQgu4vLqtXcQxCijMtfAzT0lmQ4j3xp5Sd322G zTbBNwEAY57+ofmgPqaJJKYcRGNOJL4tbTfSJ0daVJW/PZAgTVxH8nKNJUo191PIaZr5xp2oaxp3 6qR9c5YgHgXK5+gEEQ55F03clAsFj/OScld4Hf5MqRYs6DWitnATHfgl88qbAf2DSvFT6+75+wpK stVZV430xzQM2Jj7dvjxyfxFx536FxRvrlpXvUmXAWco/8ZV7jsCVogLuoAn7hwxf3tco0YiYrsu kamlYB5XsxfZZ7fAJSH+OOALKiNHhNaxMEKEiSmO0eqB9im4qtkm39F5muulNK2yQhDLbtccE1mu L0VmN6oYH8ZDroTEYovULQ4rKBRjQBHYazIvjUkfD7MhNglm69WUZ2VFDiLV0S0QMYSx+DOfjt2G 5WUt/f/M9m+PgUzINqLdQM2bOMIcHJay5GXbWQkFe4DBnjG5fPsrus+WxZ7LvkjBfKICg+BgnuE6 bWozD0ij45/cPXmiGTmAIV8Vn/mv3VBZdOJ+uxdPKTNrHAyJD0buR34nfWqp7tvRuMr6EY8Y4giG YetNxmXavPqMSLUGNipbKXP3HAbD1c//eD6x6J+V6VPPNI3gQJSj7iWmEcjYs9Gys51QXpUGByvA OCizQiHLklktvRPdCVYSbkFV1t0NaTsy/i05IaaZqZxhzoyUgYSSyJftraJftENqYqaXn6WkSjQX h0+kQjhLmIH4LLiI+Hq8F+PjDsUgQlvKw/OnEX699py+55SURgv/9oC9BWKuK+YL6CmghrGIuvmF /Ih9ENtcM61p0QnkjNpOllXMmF2eXGgk5Fhb8hMGX/iFJUu1nRabolRubr3mSQ04pwIeQf4w3O9R BNLYWmEm4+xA3dm80jrywIGOOFmgt9Ebf6ojZ2hijly09kRPXAo/lBe8vburHy2nG2RBh6ZM/Pac EgveeGEzriRWRuiUVNdiBWUk1niiNn/owMhkRmpKI+MKTgZtqxpTVPTr7b0b8QyK51VzH1QVDnI/ IohVwJlWUmskdUkUXaTIUqyb+EU4/nGQrNS8Vg+z0xPcH2uQeYL3GvV5/9M2o4NR4VjFJmA+KEkg T9evVAL4sJX4VxbhxuK3p2AKpzub/iaeIb7QWlOodXoFhIJOJePj6CDR3OASEpVX9+sOeFSQqxK9 Q88aZQQ0f9VINVs1oymnrrc9GJZbg3vPpG8HjJyUWotuANuG1yhlT1vruEcOeptk0VxsSEw4lt8K fC6KzgMcBBrk+ps9mbIjr/gJzR5qxEaQoz0Gzrbht0dlL4UdTxB2tfRfpQct7Ih3nHD/WS92HX3b /ijn/mjPMaBlTWEMHxlgnt9W7qBRlQdjlZGu2SLvuUOvoqUyIEkeZH9TPiGBu6SyqxGciMfQUohQ qFtuk15oqh07fTIkPcQpCUENDwHjK3frSriLYe1juCqhEhXPdY4udg3Q8qrvf/p7bXur+Rg1MJYp cIpd39GKLU2W1IDeBWsDCa8/VxJ5cOe7m3A2VZud+0jycflquXdcpX4R241cW0NhNvEPLoMQXfPJ zQbPG7RlTMwMy/U2OHbyViC/ux+zclHuQaYaYuxW3wu11s/GyiwsHqcy++sZ7limTpDYcL5B+E67 wOAFN9Fvz4KknFeC1l9ZaCYkzWzznWteWo3vABt3haqbvnl5MwIOYxlxu5pPnMIwF9wfOEYJi507 jmpBZGFVXnPkHhVm6xiVxik7cdHL1lPCn5pLz5IOM5YBnflt/x/N9rKTgjcrTZUlgVv3pKKOvhtH 7hNYr7gJBGEXPoOqhs2owSAKGXrK356z0uKN4auPKLUlXHvAc6D346iAyMynKPh5KVyMT1OhAZli DR6F7WAiyJD4C0vZPlTlWHjkQnla2y2kuwXZ9+sGwWGeck3/OM52otAuMP5JTVK/do6hvrG/xUlg jN1/ArrXXzAmV1yCWzJPwsj34Hx0vVuB2jRvUE0I10XUdo7kjX57dqZi/U1TIWfwIjgXZE6dMwVK epD/vjfpQ8oT2dRx1VH/sVUouHaXeLYkp1F0OwDLg1X/2Uv+YeanJEqPtFXSYz6uTSolBBPLIfRe eYel2wLx3HosoygCjth6csbrz2D1Qgaz7xnI5DecQpDGmAC24fEQHHTdsdymuMpSXb9RPq/97dGM MWUnGgXWkbSY4fvZajO4rlEE9UYDJaKw9z32HZjCO1niHJUowzcI6+aQfm8Mx+w/LcW4tpWCeyE5 CUYV444Eitwcbp8TJNcyZ0EjWs9PZRMDxkVLua0BCpfCiK+QDRcmskaxKij5TBYtx1x9N2zVHWPH NiMi36pugTLynyc1/e1ZTvdlzaLlWbhgwUU2tf8WtI09zgh+EkbqzmkJimXqOHMAnIIscUB3Q7rm KPFmfimrJuyhUz8Z/gotXphrvDS9ApyfkYKua5eA246GJBKcYa+FkDiqTM/9kfQQxfprNGX9ycA4 tW5gdoU4yOxmi/V4WD3njw4zUPDgg4VmYwFSmPzbQ5ITp6om33GyvGSvQodMvFnSb8Xac8i07Uwq EXV9QQz1sKbzMTqjalgMYwSz18DUdjkoz2qsjQDlazFOfJrWoptIf3hWL46Hi8PaykGYZ1CEFPax IoHop9accYmL3Fjlerx2kyy21d93pRf9VffijjkZ7TpEpUCgI/S0VXuXcT7w26PGL7JTfKB+HNcv 9LNQG9ZfwICAj+0VpY8enRpmWv/akbPBgl7RNMXpS3EW2xB+Zzw5broTookeMwB/MLF/TZt+aU9U AiuTl/XMWaBGb3Avo5HloGO9FaU4TOXl6SZYahNSBgt89opWKE2P8XC/yrUoeCG+FtTAd5pMs3DE dA05KcnKC1r9KIisbfbZ9dQPaX/ZC5pKZChWTqRJ820YIXLRQo0uaKx7BbeosR3pkSTR4Jc2+Ak0 AOW+KwoVZD5ZHcWOI/xdKMZGlCF0x+OpLhD1hwKUkJiEPF3UofKQc5Y6IK58B28EBvIHHfL7gSev VyJW6As5K2/MJwI4WywN585O6hkum37CsymcDn3yuY/QbK1VkuZ6eFcxrrcsUlA9rCznijklUaRk R2Fabt81vG5QjMveklXWSg5nMpRj8BWUQdvx58oQ+b3Wu09klHOzXO5BdDFcrzY1KxO4fSxW0bL9 s9j9ZtaVqHoWJdfVgc5jTfjZJsY5C6oK9nrexawlv938GYSeCmVCydDDXR2RaRnrxXuHNZZwVKXU gh7sLlJTd7MnuUOnE7mc9SxJu6Fu5en0RY4NZth021ACyBZmoiPrBKmzvKAdbC05PT+2If5PvvOZ xBjLM8okp3inbcxYL35RXy6oF4cwDHTiRsKq2hNiEwU+mkmbff49idWf1gzkDDZNZ8QHFkG+mS2o SzkmGvWqq7OTH71c4lMdObAov1mLNXIBRQkMhzLAUg7SOMNyv98e83UgPruw7GF3iyqFUjCVWg3y i+DSJCc5d8YYUZBD5ouQEIgESWM06rrxmqWUj/yHjYTE9C+7bnNdlyOm+d0BQiPM+pNHNOr26KSF JzQjpWwZkaxb/sudRN5R/YJu+Xm5hclxT8H1f980C88iJfPwGo6aHZSMCaMG/xwsx8la7uotYM5Q o5P7k9rigXYzAbKDRw4xh6y2rorCUDhBtcA56etUA9TcR96RXDLjwDD3y1C2HVvyIK4We1wq9SSn lO0gRCchV+Qgs1DlCcxWA49nRyL0ZalCsw+3A27UyeAbBAMBzmwJjxqX+LMm5nQYYbL1zNysWQnn ZXEwuMXwk0nseXF1iBlwfwqFglBgwZJsleaYwjjAp9EjXRquyGsajaPSpt+xNSDzt60XZW/roSZm 8BlaS72aszkv1Zk28XO5mhWTRfDxhp8mejvcQK1dyR+tYvOyIJqDjQyeNcH4nwmEDgNxuPL9DQj3 kJ/bTLKL5mMDSQcwzSwnd+fYfcpial+DsTKJmpTrfhy4piA2zbKv//2u7yI3g2MtPxXpLq9/5GV/ htM+bkcX2uDAlvAavDaHjz9sGWC3emoE7zfSJmmm8vV2q602Pa/9qIJmdKWLfV/vBPu5U+/pK88o q1z+Aqlm6ywg/SFsTTLNqnOjrjK1eVF3b/GEUdodoXKctaQoRv0usEX+BwzwGS31+j0zNEvRJfNE +9sDRKbOxcsIo8uhsmRcLTqI6Jjr/NoyrraiRY/yWGFysK9jLIgoek0NW1bIEiWkoyu6scdlfnSd QvmcSlKp7QmWAVXWwGzMwkrDXhdVvxxqxKCGyU8sfAksEra+b3SlAxDiJvk+tONiVM0AGtwXCDqH R/pMLz0IW5dmQCywL/+vzOm3p4NJ6dsF1eiGLXhVqYWSxs8sefFvxz1X1Grv3ZGj2wYIDSEGAVgy cd0CYilU4cw/lcPvA3QelpYr25e8Vfh3KePcKUcbd1LJMqrNYBiuMWjt8wi/y4Jk/UrNNFpdkreP gg8xBNp1NBi4UZfWhkKKaQh3IfXS9gRWMp5nkHC/qCKc3x6Zcvm/IPpv8u6hAJ4Y+Q2L3UjrWKNA YyjOGJedImk04ImSCOesRXrE/9iNJkF6HP8h+KCSUkr5etAO1zy/gFuoDE/RuHzKTyse0ImsSCKw zVPg2SALxMKHgSXarYBdcTkxi7CIYcABmCaxezUrd7QKRYDcWp4pJHB++Lq7j7eyqv/2KE0xRw2P NPOvmhi3OKZgPcDZ5L6Lzv74/mvQ9KpukBdv5ZzrTC/2A7BcLcr88h55H9s96wRuGJtwFEhVr1ok XuZITVKZ5Z1IkPbPoFxAFzCJtICGJFSzhWuqSa2oXlU/xHIxKPFzaZiafAXSACHJSQmAQfVcYbRH L9Dto1A80gP+7WmvwWNWSv3EHww9Mu1KRRzPi2v7HmVdXJKMa4+VLIJlMzJ5HdyTLC/PaONEdMsg 9lc6f06xUhsCYr31mBwl0C046TMT+8uofyAw3Y6PXStvisGdFDftvTt03xHfg8LldWCrKj5NU+Us oPtoC4D6UtvptBqTuCSRLgYCHDJvkoOj9NvTlaCc5e7eyYGL8B4ScvWmLiaq/O8EHu4RL8U+IgzO jRaAWFYOl/8ku8FCHkpXm8KepQMinBuLyyDznI+immbXmhrMWiBjsh6wPOaeDl962zwEBF150dN/ 8eh2J/XkonS1QCIOeXfrspyijpmOVusSB3DAJQZzFmV1FFKHZU4PIeK3p/OzC0OcC9Vh2a/ostqD uHpdJm+PolF3OJ81bmuBZ+9MGfEDqwGZPUfTikjzjfvkBcXL1cVdt/2qfwK1OLkRAzR+wLu8GkNp T+hMahaoAiD8WnKtv5H5R7nNWY7shEk23Ft6GyROkwu+DAhsSDA8DqW+bzy8KCtQHaRyccJq2hzi t6cOqpmo49ExCNJUaKd+icZKBHI0xhxGGiGDeRTWmxWffvetSjnXwy/IvR1vCZ/Ikxf5s0XmmBTL iIdxHa5/QBWDaX5Jvzf1DmvHtwBFrlU9ug5RRPuHXlBWIRAS29f9gYiicVALyyHAVCu25XmqiKuo EVLAngATBJxQk39qtAngP3mq+gX1yGyvwq0Nf4REoWv54BsCXBABrKPYkhr6xUHRIeSJk+lKEiht lb84UD00FD84JscFlwBEZeW4D/zXW0PnXVAaSoyv1Nb9noyh1zG49VmyflufxGxen404yNMA7ml8 AmBcYXu+cONbGWRP9j83TAEZxIqaTiZCXNh2lxI44/zfHpZ7qP132ERQ7LqDz3pptG1jFpAP/GWe DNQgw3OIa/LOkIn1BwKtkoBeV2HFKrA2RuY0gdCpLeNGDFZ6DLNA/QYryG6gbZAn6ByASgahw0pd rCCqqRpkh5g3qThSj297Poszwrlyw1Oz70bjAbsjwekmixKIs4mPFm97WJJqqpP/eGDuLMpZpzCl J3IKpl31terQHi/Zjdee9yrYSjjkry6V+u/p4BofbewvNgpP3nYnfTM+KQcAvwIFZDY5gzE9VnCI JCvnzezFdcqd1eYrdugvVqW+FkSCTpELiwUxXxFoaMsReoc9pTtA7QrvjhfmP091HpeAYcL8XPt1 KS5UiBZSf3uGxnm33x+VxggufabRQKG8ujkeJcMvzkaBKAwYhNhZpUy8i8Gz37wYms3HhnlZ0XzK dKoe4gsltPDji4VO2dwihjk6C7tlO1+qPyx2tPzkTBaYSiPgoc4fx3PLSSF1pYvEzzZjxmtk8JZG CUNL3r1r+S9XsyKU7RwFjdkThJguNX977mmFIRRp/EAMooVvQYcm2tHmozR0kJoWSb7f0nQu1Ug2 EnqLmpoZdtIiAioZmvYZF2I4m4yxp0nyv4Rb8fFFwhvv/ZJe7kXi0D5outCupO/1mR/Kt7LkabH2 GeqcdWKlGJ5u79jUuyz9O3klKEOYGToTLGZ8u7GYxjOv+t2VqIt+ewbRish88D8ozNcm4a2STwPn +wKSq0aBIZrwWCa586EOzFz+Algvpg3YeOkmaIDndjgNNztwrUbQN6hea7gFlmePp0u8UEzjLCYJ bD/UumM3BEbb8MEBkznsQPMGT13/s+EGF7AFPi/Y6EzvOBC3vHDV1D90oiKW+jIz6DQc8T9L/+2x iH9AquZgYoNez3aeghNWYAWly/mSjbfcQkmTQvlnNr1r/P+Kn1y7EgiJnSeMNKWSuyDKsHz0hfuA uFL7uThsdFFpOVtweg6OWmGRNw4/hxaEIvfZWiY9sxriKLR9+TJefp+kX7c1uSt/JmvoA8UH6xxh kq5MUkWq5/hsp1aVsP3tKb236nvFBH4x5u+70dM8PxPJRUVJ+wv+XEgAHbtgqauAC7Ez/MHKdsmc amDQyx91rx0PSiGXcVA5bkoamUbY3xwLlYaqeptn7wU7gdQ6OdonyrQcmuL+nEAK+Vb8RGcppyxQ vA++PKCLmUYXmiZUFeOWL08FewM3oPRER2VUav2f/EnLWL77IW5Qu8R+R2+5Hm5pBx9/mBRBCbzW R1mOSyg8p78K8F2twV9wY/WWdDblGp3E64cSHRQZYL3QHuoxGiz0j22VcLNvuRD5k8Ff8m+SI39g Jhe5g/Xpmjmj9z79ymaEwJNq0zMBdAyRCaEuKH1dRFptDJ0lIfQ8n2mweIL42N8eU+fqBsUWgVD9 ByEkOUJyNyGOHxZLKTgdwk+0XNSKm8+9a6LtxmuKwUBsOyRlU21LY9LTOmy/wTlyNAnrl2DC3lVW rCpekDOxLlBeoysqSoOtud7VHdsEOLjhGj9S1xNy/moSrQ+5HBEOaCaRKUxutE1k+folPIvgISeg IhaQ7Irfnu42xj75MJeM6olvLe3GQptpv0Dgs43WiKaHehyDloyR+QNl8bF5rYALh7IeW+2lwLdY 9Ox7xe683nQhWYJgoS8v+MlOs6Qz60d+XmNX6pj1+LRM1jzwz5GE/VpJ41XNCT3d8sKIT7/qpsvD ZJJwCR5ocToifMCn14Ot2iUPsOv9357NKzxKFsNzTTYMHL0xvoMxhBpOjn85J/DGYSs8p4Mt2KLI qnAkZagfKZ9nvfiT97AcFG2CXhuvtPDHYX5lS+nE8LH0xagIRLDfoJyPfUBQUNv7MEZDtQeexvd9 hITQkA4A65H3n/SSwbanX/1UK+r631luOxyCmFnYZG9ZFfo1/8l3qQdcxsiRcCi41v6l0Ry5FEr1 2zD6g9IN6kxhR2VNq5oRsjOATjx6JLbTZ2w5nY/rRwmWzH9txHHWeTyM0Xma2sLquAw90BiwOK7j fjMBIijbfZtSYyVQ7At7TzEUMWspy/IaAUpz9RNwccodMLBz6AfCDIQv9miYTxXgoJCfE+3+9tjT vg/XyPo5J4lxyqL3k0iT8dxdsblkz114yxKfjiGTNpQ0dJzc8Nsb0nh536/wCg2xhl2I8zPw1WHz 2+E5/xhPlh+ag3+YqYbexoBn/S0m0Le3EAcQ9WdWy1Jn9XhMnrS9d69N0bnZ+AT0AvKAuhuK6m4q xf1xSA2yhszICKUm+O2RZSU9mSWDdxoMh1wi7tzsM6l1fh6KSpS9J8uK+X8j9kq2f6mi3R9yTIWr JK0HYmWyA+gROiW5Jyx1TTzWBQdMs3iwB9pe8cs7dOICx/ZrhzI9zUQ9TMKW1zpp9qfyTOefc3ik tiykIOvwhYCVBA+2dSt2DxRlCzfIRCVUQ5yZC//t6c8Fw6/i8j7jNVl9Go+lKVuKozK+lAvQDNHN ZQ+ugjbm1HTyKCADTzj1kr91dQI99nDruTmBE6kl16tgeSabCU9Tp3gC5Fe42dNW436xfYy748dH ciR02fOfFjZ4QggfpKcHCIF6EGen/VzMk8FGRidB9hXRyR6om45SRX8z6YD77eHqRLCixy2IBZ8G 36tPcHDGuMmr/gFeW2IP2sT+gWqSFOLNWcdqMtOt6NAakhnx7itMsiIghuInD56bsIuBpCjMaTm8 E6Nb1hw/7fL8zpGeP4ppwC3yuubRRWfABj7W///GeyR3DM2whZlFdcLe+bZflxNE1p5oLJfrkZjX Cmnr+9uDn2TXoSUb8jFfYQEtomBLvQTvm1WI6JDw6EueZIpVToBTwgSyHTep8/baX8lxKZ0nOGHo 1uyoTq7qPJ6qBk7Mu6XREmlx1r2CjxJm74EHc/8Cdvgz7hD4BytpC732sX/GTqhExUAxq460IkU/ Zuv83e4mBed+z0Bz+XX8JvfE5j/11S/ghLEotF8UU+3e4sJvGJwdA5DyRF/+oDyqde72pT+kIkon LxQZgKbyt0LCyZI9BAw4eg3yKjyRk2JSVYV+d1czh/LnaFW3BX5Pxt8Timv7bHhbEwfgxsJ7r+tJ k1au6VCxHxW0jJjefsjuBPoQ3+73/Sd9303CYoKIhn/t+/JFukfO8Nn+XgmkkVh8Iqr6atI8/zFp 7rvxMUwzeKf0nNcNSzyXwtbBOr4a0Hm0PlbYyaD4MzDQK+B403nZ6feDF5/7oB+FQIfQpfAYf3tz m1JTs+7cJ517bP00/JgK5oqq3ylOj+AltAMkU+WeUchLzV9L/Nuz6OQCSQ/buKkN1JiEWtTOofYt rGI08TNH5m04pVFdlAP2SRDTJZqJjzD/EkKfSl5FSXwU6kvsYJ3coI1jNJCGLZpRsVd1RzSDfM3Z aIcp0XYSHIVjmSJofGjBv7mUE0lLSgGusGSwS52gr2ezmCjyV9Of0wMqliTHUubizey6EPe3J2dZ 1UndTmD70oOvb2HpuYdUYjVKrU/laJaoopdxeReJnHc7/oYK0JD88JGI9vqsYCzunp7GcsuM24Si kqscjCRBA3so668FxKoM+UHyIrMrSu4Stm6nOkAp8v2PmRmkR+vVKjAlBM61NMXeEVNnyyyYLXpi ecbqFZwMd7Xt8Nrhb8+qJSY8+CxBv1U9ZSWm3JvNpTuBp+U2P7nJdaRxVSn898os6JPrpQKzXx3O +Rh1q3wG0gSKPrvYfjpUeibt4JEciL6vxbIjXx11Xzn/3PDlT5YrUNt1y0XZAY8vavDXGUfzvkMF PwfyDttmgtM2qRU7a8ANwBEJA3c73vXB0fr3wW/PGveQUxO/DrUIzMbePki5E+NU5TmxuIa0lJA1 zBajDIEIe7LKrreXx6pqpJ7LZBdKjL80iGbirDI//kG580DWPslGVv5o7GnXoXYWBuSo9Sc7vpXc nYv/5EX1QCtQT5SFHp2Tu6Z6yavJ5EGMwo4z6wRGPpWU9/e+B9b7cbgux/t/5p2lkQwEAQ4Beib6 O2G2q1N04WFInuL9S+xGEEGdt3lbG+ti8ARVckJUg4ijjV2GiWkKr4soqFpyMl+lFBN77ZFeHvs2 ZPhyL0GeKHllnfugffO6SvhLEzm4L+qFTJ7eWE/Aec6Ed8teHReswgMirfvEPWsUd7y7DRiDoTUf m0jF3549ex9PGZpKAwL7oQ7tKrwZlscZvAi14QNvLEBruzXLkcNszqDvyjt2kp8D+Yj3LqZIgThC 8JXpfiGVaxJs3Qwq0iIIFrK55IGL8AIqzBql4J620ET6/bekUV/b1VPJeLqw6OEWYQyzXLpKcV1U DGP8PKDjpjnysTeC7fqrcHQoy98enb1JpIQOg9c5cKFFyGVV1ZexixspfPqCwcu0vK3pGKdAvXbr psMUXWoeNFYcpjxk+NibJ0g8F1wfHgcnP1tiYprzvt0NsI3+p3/bfXTOsCNNwGEN+HdLjH0XHv3t BMW+EbZLfj05f2quC73hiZn4cBArk0qsTnox5/i9oRqVJ71+e4RvPXmqB3YSzNVYmIOhzrwui0WS NnGeb8fgdHS3VfFzTYW9WtG08QFGDalClJwy7HlmPCyI/mxvrBocOskkvU3M47ONdw+/PEFpX50e LVc/aQpNT9xaKEj0FxetQZ270xe7WkdSYtVQVMrVKuyi6vn7u8Bls3vGXNH6eLGdNGz9Jz9QWHjd PTdkRtb0OQtkzmTAtKs08BSJzmGjGBRm5BtQHuQRmpUemTUxOZBlqWoodytnpKZgEMEp9vbnmxW2 R1+MvYNtrKi1wsPB0oijMPKM0Kp4XYoIav7WXCuLO59H57fShx4y29YqeuUdB0ZtlTWFvRrQGIY9 6QAPe5EkJ+IL1v7PfyPrDj0I8aULNewsiLmKL5ECQljWsmjIolriED4DpHlbHFJLCE+LQaU7NEE7 ztOw5D9mjoQexl5ERRSOQn5NBY0FkkD224o1ShCzzTKimxFEQaR+OjISWYHld0gODhSLWATajCH1 9PAffAd8SsS2BXKVH28BVDPlHIdm06zKf111fnvIWGBUAZ4QQ/rP7PverfYsRs1y3Vp5l8Dkq4Rn rrBLxoUjmCRKnRbUN41o4aG/+Q8EvPGCXBejbnC43Tfop8cjFcLmot5ZxeuF2PBezS5RPz7q3+pm mo5b4Y2tqQc9agZBH2G5lQ2Uyf949tu0cb9G75XRHdGuzNiX+RihWyGfAP32OCTfdmYuvkqjQj88 rodwaMljwiOwfeB3xVbP8AD2yIFGuq3u9YOnAQDKywv58HG+nL8yvjMjX9n4rPhbpkzd+Qj3TCLR vSqlgNBXULrEG+vy1ISvLIN4v23AIpxe4DwC8/qqWcoTQ2FrJlxUwdgyfAD4h17CQ4/zXUxGCEII HJL+9sQolOqcFMDEBnqaaD+qlzpKqRAokMlcjABTLssFsJOgWtRf/QNfWsqcCb6xyITF9fjeNPVN NHk1dO8qtjoXZq1V9NXM8KEOgRfyqTL2FaYHFB8IeNfIjWOXmtLWa5o4G+OTtNpDsvgAIF9bYZnH LVNeN8hDH+KhSCHd2l+gOkYP+u1hcoV5LzF1AHF5hAylCKcFM/sQkKKZd8btGZYb/LtoXIUsO22X 07YNWKPpJeWOfS3zqvhXSXAqUCl5MGNSL89PpDJ4Vxtc6dnV0T08p1bZXUZs33LZSA9v92njVeem HA/Rp7weORoDHVlniscDySIE0TlEx5O0IxJKEuUWO+E+MvC3p9WSKgUD3cry2/hfWeudZGJB3Obe KSp0xrNe+0b+ey9fb5z0Lq/Y9/WLeXw35frGqmA64xC0pPAHhtTGXCoInqJIuKkgxiqi23NryazS 3pLDoEZ3T5C6R+z9B70nEUzoOgsLXzIIdukZ31HRAq11rFED4ZzvYW1M/Pty8ePONp/Ub0+hWpNi 55SnheXTjiy2aMBAlWXxyGoZgtO8dn4c9eYZO+mIP8c0xaDypCBUcuQF1edcxyRb39nHyYPgadWl V2aZY1fUR6sV/oBFf72EIJ3hHgDjLNRdOLvLQsOJmG8Cc3iaWIWr9JFasnj0QaagVWSpilYAuCAS toEx5FNCiyrn+W8P1U4gfGIN7r6yFYSOVz0lK8o2UEET/oZoc8QW/U7NF2viQCOiAhJ2PooSX/5i aM0cFqJrzzK1rjzDEugh6LrIAVP2xyNh0Z3495CD+juitxEB2eS/kklB7c6wOwXAalvizK6luL3o zY5lre+eFgnFw13hz0J4jEh0xrpJhf0VT+nfHhtTCoDnq5R8vEwBv2LaabPs840MYQfrPB6W0flU B4MmQIPEtMaGlVCSOLSt1Sb5eaXKdXdwNlzSjgByJf4wB1R8I3pHvF7wfnObS5wvi66srldaCNg1 jaovkN1GFHR0kiRnXzLVbdd5mOyqoo2BYvDvL9muahVbA5W1B30MTKua/8zLLhdhYLGCOOhlJtWS j6d/QLdJVozSetnB7a3LB7Ur1G62X60Q/Ck5uPCEp2i9AT26phbNFVQ8GM5pdwUhVF7PyY5XwJGk kAPpqvK6f3gMIckMu83q4WChub4lwQPKm+gT/IA/hlPsWC2jdaa0qzdkU/4Mzs3DFRstCw22Ssop pv32gF6M1i5eosScotY0Q6YFCdiuLPsAhCWDyx0DRgi3Kp8WOji+gRmM43IBH8JoPShU2nZtzzDy tLdAP/tAKfrk0isxFAG/uIY/qQWZRNPzzc0z2aHcRzPhw0lGN7A0UfQ2RJGCG++qt9SgiZoKXteV NP4hksIhofTyWwvw03n0zxv57VkH719Io98qT3njyv1XFFKStp+0qvSzGfyY3TTF3WEoU0yL8Qiy /NpK1y5tI8AMYV/5qVd075pk/GU73ulnWkqYcG8SowCtfLkURkSN9yZwdF5wJZ2cqK3lVoZ+/8dP wGRnfqe1dkkvqZhyOTcSssK7RGWlwRwjUsXr8wWKhU4N7bcHurofzyjTqvyndlzQrsSkx6/54mJM TWLMwHZ2hl8h+2+MXPRNYG53Xu/4hW68b6mr/pP3z+I/sEAk0XfqiueWTBTkt3UvmopQ+7UQYT2B UEdvn41zA6jYDiLAD/ky/60Fqw99xbH1bs8nPWnlvH8pCPj/TvaS1YQIXhcsKbjTeQv/k6fadf2t iemw/O719k1Db1nV1aQiYQLkHAkKPVGJxG6LOw/SR6wRu/ie1OMRDycY4Uv52u+Jlw75+0QY0M+3 tpvWTmLTjTmms4iV840RZH2ip7/PPaGmzeh+aact8Rm8MxJL1FMYOtdh0h5iy98orDbF5zLkibQ/ YzWARZvqu6As8J95nsHzEdGCVErQLU4YAxL65vBP+Cn8eO0Ro5iqo72PoH7P3bW0p7L5qDUukezH WAOzrGjfdupet3DWGfTNPJi4j3mV4jFwHp5wucpbKGC/ph0eMLDSqYr5T+jS2qsXcqmrryIZXIdP Fhhey6gQsvOwQ4M4pwgJfQo8BgAc/vgoKfhvj8DuFSyQeTkS6gxXSKc/fLupezLIrpGbH8xH8fTQ URVqcAyUH5fB6BkyS0Hy8sgsQagA6mgsLz6dxfkqpLt7Bn8IZRkaLGIO9d1BcrF6Agl7c8+zTwCr MdAnNeYJdV8p9bQJl11k0hNrPw9dwYSGMsUABqgQfq2lctH6zrg5Q+Z//vf0ng6c8DnHHrFySDjo ubt43PzpC6u41zWfbeXCKatxTE7yHu4wIqErq/wj9rcD6PYzskN791hNotOnoozJRznFX5r8M9/U U6u+IsQ4rLsZFT84q5dcITv++bZnLlntyVhhCMQEH3gPihMKtNYWd3EpMXv2kQg+zm7YBJUCHdzc 6+O353B5aNzA17Mh1/xnKM02kcMy0L7HMHLN3LymCEjJ6kOrfjIe2KXeHeOpdTqD+XAVUvROAeVC Sht93fSB9+/j2CA605Qz2y3ZCTgFBbdfj5LdJKrawDQ70WcvibgUD88aF2YidpaKQ2HV/B4KP6l8 E4ZtzHMP/5puzpq6c32HHtquyHWMsL9U/VzJka3CY5/ZeB/udP+7siDAXCJhnFwjs/YUovmWC4/P lSD23+VpIsP9P/sDstE9vn8pwZ/8dqDsSYK8gLJBXTkFtKnnGhE/pABD8sS2Fet38EIS1cGtyH1B uhizLApSUoExa2n2RtwrR9P7fJsI85ELk0BQFWdB9XN+v4flKiq4HsNANU2MOvItDjNxIs+YC2Dx NKzjltRTEDrdoe1HxA66KVCNXovSI7btc/qoPzQQHDDyT0IYnRd6cD9C5cLGMGJSStpCms3PVH5Z yhOv+9Y5t2rUdIY+rCDsqB0jVMinMpsf8kDMnCmeMAQP09mWiuO0h+CnEWe6IshT1G8P7ETinqXP FuqUhsbl+dsOBssDFMLq4w5oVNkKXGqdcz/BvqL/mzK4umlYq7XcJarEvJxjyUFdQ8nTPhhUtjVw UyBLSbRz9Mt5C9AcTfSMtXTNa7Kqr4MqR2ZEr/ccpQdqaGi5Skndl8VWkaw26BgN0+EscCSVzGv5 z1+qrwkQ657fnpGn1ssxt/ewualmrLUhjfoekD4nwOoInUz6+Gf7OIO/VX2EypN0U54BhbRcKL2J 0H2FL16WKJRX7xYcLeVG6ZojEAx/zWxwCW+CTSGIFNJatgaAP1oZ8vEi6YFcNGyTizNhLoiSsp45 iO0NiZao4zauaMAbm+vgBsihAaQQnU6pfntGxXh/bFz3r0pIFtMdx3qPG0L3TXU+txmhUc1zYE5L 7KdO6S7DkP2X20jcilfhPBb9k/RsolGCQtsd+w2ZwYQfeex6BDT4ybkaXsFYlyRFVZemB2JjFvoI tEqhbRKcqJNXVhtAsrO3Y/TbOBQXtS+RJ7WaKCHCi6KeUZp9vAUfOn57vin1OLt5eog1rQ2ODgbr A+CKhiR11Q6V2GgftGzUAscJ6B4DtQTbcwQcLyNrXwfxx/zPK2Pk/eDIav42SNV3RirEFEYMkM9N qBgxoDPAwjSKQdqBVj9YhkyTpU+rz5I5NBso3cwqdUBsNAgkuzmPmOYRMw+gO5hvDI6xY7UvDP1n X6f13CfvgBIZ3+HSuy1NoxNleFEVGqJkr956n+1ZMUkTLCpcygm+39nymGkNGVwGdxv4xmpvtvo8 dgbhJ2duP5adRwuXnlCUf2tufg+0uD0XUVHUpfMMapAyXWrdNtHs6zHNEc+LXjoIyBsJZPdGixdN cnHjDKCf33z6H1n32F3ZsoUBOM6Jbdu2bXds2+6Obdu2bdu2bdu593PyC9Yzaq9Rq+qtOWt3olKn NdBs/PIwsDMTDMbC0Iy5lwKZL1rHNuddJc9nthnMZ6rxO2CZVKnvkpXOeKujeLbcoL68lzWxdAri SlZFHSoG0IsmtAh1dOCmt71+VwL0SjEegYQNi6XMfNtoy99lJKweV+Hd5Gn2KDtLAKb6HIscTUlg XFdzvkjdM4pS2XJ0Y500zXb+mv8YiD4oeS9zHw4Ni+sqbkMMZGiiOvk9rQoz31wIkrlnHIEWBfh5 1CuutTwne2hKfRSt5RJSMwTCrsbDG1jz6+BIH+rElRAt7ANR6RGVRfp7v+OEpGcwtbigEVfaPBHk Gtdp0Kzo084AJ/WZPtegyKgCo7wwK549X3CDfI2nL6Vtp3+dl1wfWAzsAtXWVnN7kwbmO05DSUel TmkbvEU4KC5IondLSDqnDnt3IKGB3VByEd7mYcbMUH9J2CBHFYmITuDe+Ag8rhw+afRW3sBsV4Ku KbWOKF3QDWUfUbhVgbDeEK5KilWstvNFM/qXK8oH3YHLQYKEmayKzrLHCz0awqjlh/P99HRl7Dd6 IX0dJpi9UmYpIuvdl2UvMDLxf572pMdpeQjpyjvmFHDz0nyH31mLec2JCmjSOrL2Q07DDNHgUOL/ uVKu1XMCuH9h9qUxJkDXMp7SWYJj6M44RUnxFLe8gOigU5rElyTXJUIedvaip6vtRQsdyGbp8rA9 63RWx18bVQz4tf/DOgSdCQEyKNmVaEE+pSSHremJ48lpeY02jMU643qYJkk7T50rlLmEiUW6Vb5x MvBtUekP213e9g3kg1iSJyu7uSZb8CSd0MowVc3viHqbXPUoZNodPX7qPrjJGwetDCwmhU/qes9q nOlLyhWMrrA5fXcnpNmnCayTEoec5Q3t+DUfWni+ByAeapW+slFhfzefP/NdtzGJtW1EV1FPQQ9K a7Vri1BJUBLoSqoZHAMNQODUgBdOB86ANKwGvABlviSgutzU9BeiiCihZ1HmLgpQu5lx0LzJKqM5 j+L2qpdCfrUPY9NyxpTUoMuMd3Jnr7SixxAiEOeRqZHEbMvvW3yLHf7KD2ahHqcHx74uCKahVxY3 17rbsNSms82zyIh98iGnIDmLO5cpN6vN/AL+Fs4XA6jE4IHd99onys8iWyGT51LjjyWitlbFBUKk PhxJqsH0uWaUZWUHKaNyb+GNMj6x2SMwdSwFGGj4ppGLaoStmAFpxwG13Tk8Zc74OAiGZoldwVe/ /vS0WDHDcNST5nSbZfUBPXXZsYmz4b90dkKv8MdVkQ+nQEZNCPn4i8rY4ArCixGxND+IAKXf0IiE DvIQ4YxVcPMzzOy7e5trBkTCTGleJfeQSAVeUQ1stzrurHaqBqX1ZvGARLE5tfm2e6Nn0JlcETC8 VO6qWn7yqJH4uepTluG/k/+qpxmQXgMbv/aWoX4n7VP3yuY/XNUWdxd5KXQZIBaG3GloC8aHoObk TwxHynLhvetyQeA3UQXPZCWAIKojJ8hg6ZOzfK1G9rS9D0Qsa/hjl4XjDRSVpz3cvonGeYWHrBeB SF90XRNP9SBNZijCFtip0uKxRwUNu3dfzEUG31IVn0P502NS1hB7i6jJQuC1hAew2RwvIE57739R Mq/94EAs2MjjU979ZoktwUF+AOEvEhFV2eod+iwGGrTJv7suWikxufw8LVYk69g/6/NwFtssOltx bVa3651QvmEcVV45lOQMadxnFrscDjCLHlIUeOawEdDP43T0JcJqp/+w/3LmBOnxq77aS3ImBlDQ FLYIEvZPtibcJoiid6/ZjU6MoBS2wX+ATXP3tQVXgpAteszXn9g9lyGNNRR86cV+2+d7raxwnmSw NVRP33Zdp1f+M1AndbAWg8wcFHrtmdR3i9UZ2iG5YB0AuTS8XTFlJQucuPSHPFUKUND5vsDjepDr i066EiciGeI/PfFK/f4OWEu71WbeIbYoUBeHKsgWFMDpAzaFu7E5QqEN/jA7xNmYhwRiatizHxI7 PqzwCZICKRc9ceZ7ry0Zso6apzX5fVPp0CqrmFR+c9MTrRe6s1oJTK8wcV5c/+3ZXKqwzb7mqEuk HhvmjBjD5I9/2a5kqp5U6ZnGLSdk9ymT/vQU8cHT4DjhG867B15508P66AAS6CGkC0zaiuJGVH97 nuM91h421MmtWdYsZWV6JajY7YyBgusjBMWCvbHmB+jCyEEOldrJe2It7696wbWUjkN4hUeOCOrq epmTrFQ8AndHC7FYGs4McmW6EiU/n2H+G0LcvAEq19Oj215za/QKb//pUTSBemOLY8NJmKQlPUvp LqKVAjcVvCaEZxuEgQCtjmONYMdS3zNOcGE3Fx8B0HjEdPuwvVWEC5TWhu49lNWTHPMWzuej4eNF WNfkYOOz3b2c5HOGjBbdgwN2m9i+qPeMtKkjdU5noUvuEoA7HEJ/9+13RXiGUovMQRBsxXor1P91 3hUtK02j5ipXCv865GbohwYDIxSHiHp5NA1GcHSVLwU/1qPhRzeutG4oInt6Ges44rQ9vFveAopP v1Pr624PIjIKZkzU8Vzrn4SchrWg1ITyOPsxXHUi0mmxZFOxSGXzKW0t6T5kcfUYsRHbXB5tddvf Nt3ljSCTQDTvpjwfWo/u+9NjLLegzgKImTaPUUtsRFaaaNYGRGIHqvtf2G3VewKwCybjRw4Q3lre ZvFTiSZtWRhjXttI2MQxu1seIfA+eBrbNioQsGS7xYhwq2EZLrUMcybl5BrWdHRdZNhHxFblF/7N kok4LSM5T87zHW6QlJAHDfcAA4uMFSB6HbKBxa2Q3a/zrlbqo5rAf2HmJjkkEbh3DP1e+iBSbPJL 7VW3aJKnC1gQpJRrmetEmpzK9v8Rpt2n7xmscEN8h8haf5MA7Rbd0e2HkSE1l06KAzzyfRIIXC4D cuD4OtHwcUN0RlU9wHHHtutg7OlgHWW6/f+zNuve8qAj94gSZHdchWTbXL5vJxOe9qt+JY6PqNwf yLRc6+RpPMunYbwtamSuhk1XYOxfMcPGUkw1hk7HOZVi8/KAu1c6fUZTedY05guuZCIvhXMQ06Wo f+IWfKuQqFYOk0nwwC6APPIRVnvXfJ8aESwaotKaNgqnApqKklyQFKQ0Tbi+DvkzeuHzrCXrBVBb YoB0BnFk9sNPj7x+Y+Fso+QwqVlxarPqdsA0wFHx7ECdW77XeN3BjqdvfuVDI9Y3nDICTbh204EB wJ5QCZlVieXXxS7UJ9zwJGUGz7qBVvDVAIM0U2UjgguJy5WOUhXXbWg6OViuE1ccmwt4xuz9Zc7s EeRWxp2EW+lQy7zLF1aPvhIrYwNE4/LqT4/hu732K6k75DqISBYwTnh3Nta3Vmxb0VeKA1Pfkl5f AkU/22A1MVLP7KCZwyRvEpAN7/jhYI6a/BKsdp2fv5KiHGWQqeR7bW7oEBeGYh5sdWt1ybkUrU2r 5WDQPCj16zcu76d8wRqoudSr+TKnJZV9pf2p9cMgx0wZVDgfo6cZ008P8cnuwHJiyTOY40uvB36o AIta8oS7zX/+iF42mdZRs8R/ZufliC1ZDQHwNuU5X7mYGvo/TVgHcgcnMRN33kVTOrqBNVwCqPmr GhNjDnJfMfuJqfiSGnfliaIICsgh/tBnVFFYnDLKUWXq7tMbZhzDrdNLoaHPaBneL/U3hSElSg3+ 9GCUXaMV35QyUuXWQtoF3LdrYm9tNWAYCdgvgOuNtS8d/hMwRt9KU7u3hQyCEdFB9iKwKfNSRAFd NKSkz0X1LbKJvrJr8otfVxhC0+KUwFnE1UNyeZ8dyVknJ+s06D0sPl2cYGLH9598vF06qPQSGLj/ +XxfzWECVCU/VHmtMoeIlAz6+1SFqaoZKR17XkvAoY7r3F3td0/1bgRN3WQNERj4m6S03BuEUg1m cwRapuYbvNZJOaHsNP713MUDmyF5Nh8J4PGkSrg9Lax/beJqoK598441L0t1LRwbz5s9vRPVppu2 YkFWgw01c6iwwyiLqluZv/rbohXLnIOj/tHRzsIIrQnbihNHcEITfgUx8E4g7Uokw8PGbFn3KErR Hnpo01G2nA5pk3wcfJpHb1zrzjTzD7VhkgT6hOM3eX4+wERhSrySAeA0bRtLN12xpoLPc/HANasC Z3o7MhSUdb7JI6wzJtCWSwUIg28+L07y7caprNFvbP7Km4RcRw7/YsCH+YpJ+2ssXvnzFMyS1/tu Hrh24MPzynTCZgpAdCHaeK4nvCECJ+YtKW7KvGRiENBedw6cQakPVDXX+9cqco2Et1+IhqiYvTsT E7k80d24JsOol/0hxpY7psMTnl8XdFedvgm3SWZKlX5pb0ihOrmACFlCk+sJwev5lS8H/7doPl54 ncXYtoJYLUive6L9TQjiOLSNfgkKx6amdt8grxYGV1YobkblNNEL7XieG8KgA2oVi3TaW2vWsrZF PIBsu3dIWcHIch/yfmkQy9nU9WWmpE2CYhrChba/Uelb3gINzh6Zqnhtyn/RaQi0hN5SJ/QvFkna jvXwPU9m+Vc/xfc76PcS5IzmdYulaC57WhwTUbfb98lc5opb5owYAubkHev5mqlaOHscpTlPo8us BpD49Gfn+xDMn9KK6vZ8Ohw6pam6J7EAkjKhOwWVgcTOjVShsWJYfTgstvUL8HccK00/KPPJlbCA 8iE2qAAg/3Q3duxrhtS65KwLvw1h0P1f66/i021wlWN4fxj2VXNEipzYF8avTmV42kuT1RUuxmnO mYOHIEyhK2LmVyluqROzFwMsXqIZWsLHsj2xs7e7cuumsastAXBczu3SCJyOQz5hoytFA9Xx6cL7 l2fJv4Fug4YvWGOH2aYv19kQUTLtDDv5EvtBCsLQV/g8ps0fUx3T1z89wI196hut7y9BhK3zOJMH /ef7FWaKQfHsDvXcNpKMde8b9lKNwnyBIRAaz5h8a5PVerMUljEHqJ7qk0zIiyws5GpGkX6yNPHA XlIpRnqNuT4Tvm1M8ewbx5bNqEEDOY1BLe5h7N9LweAWL1bVcYSNpJx2r+HpRi2ozNcS9R0HR1Y/ PeOYhkAc57yZx9MXYtWIYiFYp0vVlDITz6jVD3UljwgYYtWPyKu67fCzdYyAMllsSCHmnDFSio7K 47Oj9qUOdjtZiulc5pfq9+nAJoLaizwF1kIBMGQrEatKX+A7VZTg/koAA6Fjf65txNkelVYuUGtn 4eke2p0TFa8vQSgvaQV5f+W5p8PLVKDDEU1R2QmcgmVIJmnnfU2ijdDpsoG9oNrYi4GQLxphOmZp RXxbqA4SKlL9pGsgFmRL4aW3yovhWVZ0G58RGiJRRN4XCrWLWq1dJhTdpWaozoHv9OwNmcqQ+Why Ao6BONZeGZJLT613SkBZC6geZXzc1gPbpJUiZs5Etz89eBfNxtIaCjCyyD3VSpkoaE/7SJN+f76s I44nOurT4g5a4wB6DTERWq0XADNY5UQIBxVzDi/W3hxigSQJnlET05pDUAbTjo9kP0VMBLGI+UKD kKkCM2XhQAnFqYO5Rf4rliuNF1HPygkesAya22AcKW8T3/sYhcGEWxJocNLwUPjVHwkTyvx0CeTr ZiCYVNHOtbFttMIC5fedIWzw2dViU1IIHQu5hoSK1VLJYamBkunTYP+eg/oohn6DGa7Pf6hnxQEj hsOfIXDRYkysFrTiMfzo6irFeNZH5iQEV9NwTopWfaTZfM3uWiIyHBMrVHrJ/7yF9R7mFWsLnYMD M1JO2faa/tNDMTe25424eXS2Xo4TbkLk6OJ2gx8CnjzWaJjFNV7bsIb1ln5yz/UtlkmwfZ8GSZyV G0nJw3QaVIFQ2nO/oQ8eDgPrG9zEPStjYIchn4Ynt47YZPAsX99M1Abx/V+V6LykYYOwRSYJa3FK W+lCBMzB0p8uWWF7fHHDMizopet+8eBf5/3vSO7xg/ZG5qJGnE6Nn3/AfUreehkB2vdI3eVtUr6K KPRos3An5gdrbSsBXETuA6l9/nuFZG1WUB1XFkU8Xu8QBEXTNe9vOCAQ22jPNbisCVQXVxAO8Pv0 2H6AmA8jqhimSNqyxI9LuwSfwbdLgIWmwJtL+dAI8zkilkuNRxjh/NU/pc0nMoxOFTpw35MV5D7m +3iU/HhSKUvmMbO558u0VD++7VPe93jNIZ/hqkR9TQZfKrZAv3vHhDcLgJpWu2jab3kMkeoRZ7S3 juPTwYOMwoXELSPxiA5q5pvOb77f6rwL6lfgSUwG72bXoVdCyhuLViIOZfQhY7ViRSmhFjQ4XvjT E+5T7hhbBb3IVGe/qmdt+KQNOSJL5zeyRIBNDhMCZKhP74CPX+w1WvofjWvUciQ5JUy5IK/mp5TZ brAbmTvAJ4xAWtfaaMgxOd3tZeghc0OFlf6adyAAOtdgQmDW1n8cxIMC+//uazaB8v+zbns3H5ye gfzQyc6WncSuzW2qZ2X/lYehODbq8YMHMbh6i+31+WlLx1ddUzs6rV4SeM/9f/W13PGCUHddn1eX YqWmLX3BjDRnlbsYsUcXYik8dTpDxNoYzVXRlImhH77AI+TwnUEgK6l6V+BTax4zeouNcxeGXJn6 pGUbNRB7CUg+y7XZeu7gUiNXXCZ4NyfBvipzubq18Wt8MDeU1scrSf9K4jo5duAb92a2dB0F7QBd JFG/iqSTMVlklsfuwpZiiCcgos4uYHSoz9plxhlMVcWa7DqANwIe7+b+8axhaXaBOAk2K1q0lrOH 6EyugRj85MytECwXep8CX+EI1e6M6yXrusKckgupIr8bzJvg2S9LH7psDfaOtP1V711nkNRjMkJ2 j2hjT2Kh7o4rgIoz8MmvQJSt5UHz1K4cOG4ECt864UHD2FcXLyESZjX2Zfr9eRNq6KkKhojUpKC0 MuHKBT6b7ZeA7ECVAcpVLieHVtXbG4eiUN19xqejIbu7KyOxlp1iF2bZ+BWOTDaD4WUO8LBaT4/p Rlfu618I+tODOqKOSJ6yk9WAyLWY/hRJRV8//zUp7t0DfB5QwtYbe7TGym2WAZoR5Cno0VRFnpze yFSMyAW+B0DUJlLoGhUf1XFptegNxIAnm/5IrjhMs/t8dhBVhgiH7S+dlx/2zvjVsu2QCQS83DUq dZWgnxmdgN7HX/WScJBtuUmt/rCY8+t81FCfbq04HQSAuSZY8lQruXcj8ZT4CfdI6Ghoz2Vnlxu2 ok0TbkWGhK43uZm0TiyoHrpujR2ptiQAQ1lgTLo8p3Js/BsvXtbNjCzlur7HPyXGin7T05b9E8vZ 0KtdD54BU9v7QbgEotbjFpcRIs/A6OO8m4qs0B295AYsHT+1jYrjV37QO6u944RDeaSpXELWoJD6 OjASmKp9D1ljvEO8aWc1a6aLP4hX/npQqslPYKw4rM7Sfh7TQho31GtJL63GDi4/sv/kGmoioRFJ sd/KwYPgYaI4OwfYZrWQmA+VqKHiah+HgDNwL4nb4DA/bljhfqlba8vCJxZha8Qr9lJXPurA+NNz jukO4imWYiXGPEXxnuwGzad3lLBQ+WRvfqD/mtZhxdyoF2/NHufv54ke/I+QAAt5hC5ReTLqG3K+ L78fmF7rqWKanwxFDgmfcO289in/hG4IPwvqDoiG9cXbvABdHHwVoglZRW18mt0FmSoTbQNhZUmp 8/hT2BLEv+suHLse6N9PT8RX1MjSs4gEfy2lrQJTUdUXihmc31mkd2d+GsUgsdniuzN66GJ45dpU OqQfmFS8xBgA6Xm+JX2Sfmn1icyuus232EhHrPBuv4JTbvSW8tuNARPq2xpVNvrXa6NB1zrbknuX LVOlYoUhI0ppA+gY8i3QY0Ad62imsv1fzlCSZfBf68MZfg/4GsYFlAvgNOLmOQ4boHXf5tK2J8Mo z5gGXaqZPRRhGRtWPxxm8emoGAd8iVVvHNCmGS7PEVT7Pe9RaFh5D7u2IiwfdPPJfzoE7dRF0rMa 1a1wTuL7XDeqIYOjloapp38Ndkfi6v+9YROimgMpCv/3qZZE0LtSoY0KnZvK8PdX3qw2uGA4LvkZ GpTOkmcpOnt7wgRKAxl4rribSrMFLdmnrXwI0UCc+XiI0qgyPU2jWXCxIwxFM0iO0lNULiEDpv19 xOLL5yFM/hfV3Pe/IPvHyZtNiCUrsX1wKa7p9qR0O3ULusLzuPyXCJ2KpZ0GiU6f54AgUtvTF/dQ tibmoJVf+W7pv5W1mVUHPy5GFyJm1aVH1LDsulFEzTg7NR0RyY/OUu7o7zW9ZSnHkMIv5VF31l20 ItemHLYQybUDXyvew9z/ZgCxOAJjAw0jO6P40g4EADniAM22HeGaa6jFYm3H0/7ETFiRfLFVDM0X x3YFkwvDRxwdTgBVVZs7bRAA2Pq1/HqfgS8JRwcfFouWrMIut2LzMtm7v6BL8/Mz6MZJg55BZkxN A3zOMi2YVpsjF00QNNQpa4w+3h2D94waXLeHjesfwMeHdwxq9r6s1aqUBqL+G2di5366CrQ+EwbI ET+vhI+R0IpwblrgcfWF7zPdi0jjia0f6FjILYT47BcEcfN9pv7V37qFbOfzzIn6Xa4CIpHNXA6h XmEzbK+s//SAnNKavUUjXeF9toD+BzGaqUxrDRK4nVXQSfeZmluj3Y/jNMsJ2b9kngtYRikW5E4M LGT19cIjM0/gzX/ZjAjG18DtyZbEiBadjGn9HN7Xa3NULSfTNdXCUBz18pOJO1fWPt5lawgg4df8 88+G7x2W2thQtTNjbjAbW1KMgHxTLrE6PQA3QG+Xl7gKZFPNs0QGrmc4ogEHDwnJ5dQt8owGGNc8 BPAJrTa7O3e5gd86wEaLciCEjWoFyuydLPrlW9WpETR/p70cetOAa6UDYSam28gsOwUWJMTj5Ofz eUaQyzLglvk4JiQs12itK5yqt8UnonKhV5UUBpXaPEw0F9nj7REq24q0nUZmcpy6wM18Wwp4uQgN VzFgb8wou/Ar9/70WZXQXSW7x4b0PZycz5qI93K94NHhL9UHbjIDI+tyabmQ/VtNtuRHOB0o+2ut rdlsFCQu/c5LUIPmI/1rPNIvKKLMwFHkjuyLKcJ4NnPv+d2I6BzhPWv5Rd3p1KFfXDMqyFuTP4lx 5zJVT42RbfdXy8FmSry0fbEeTCvQvF25kVYoEfseii29U0ft++bpLHsxCcnRxfKPWgaEld0GnqWL THGlSiSQwJzKdFqB2B1mQSlU6DC1TZbA+Dc9X75jftU3svLz7/8xc8VKWIrtU4G/5W/0Cg5DzHW5 i6b1HlgdP+1yWfb5C4UAtZe8IYjucbDPdhCczx1xyBjkEIiEqRcs5aLrnSTzhzH/v2QgEXZdDNEK xxO7KcnA1BJeZBG1SOCdGigJ7H0F6Ns1QNPrhXx5sa3qqGxf2DR0deFmrX3I5V/3qY2ax6wXNk99 NEGALd3FLh7S514HvFuNAbQwdzvkU0lKnb/PqdSHPAcYGmUcMfZ6QO0h/VV3/yjoKo1y9vW/cgQ6 CdsBaJbujyz7L2SY7s1qnOpz+dkSMzM3iDrPQ4X++g+3ES/X3olRy/UQ1hPCSRV8MSFu/yucn+5L HDk5go3L409PZink+5bpQ21fkghPbvxeo+JSTehbl9tDmzdhBlmwUVwCBgW0Mp0qcP0Fpk7KwxVT doFQdrB+Capw5Na8bGlHaQ+1Ss3slacUlUlZEcFDB01gUfayGGRxFWCakv2HYuhQVIBsNUBHgQiL SRqXg39zXMjev/k1p1A2w/lOjG8oLfZf+al0T7AaWoErdtRMwBRl66R65waWRoLo8zuWittUCsbN 7kF62X7mf0kLMTyiQQJm4kzDgLCDzXbPG1/MNhlHYCHBviQgAwdUWjD9nb3E1mwE4Y/wNHMyi87a ZQQwf90ZhKC9PEvfY0UGGJBqydeYoRmYPTYIrXI+EHRBThNi+pIhfo0PFKnaR8w0ySmno2YJFs3g Z+OrT34aPb91P0tV2VqKAlYe7mKh4LqPPRlwvBVFbXQ/4n0GU6m1p5J+NF2CUnQGzAHiKoNLekDW OpZgZWYhXiv8qm9jHEMLytTpXIaPlUOVKAiBzZV4DloCEVC8k/7KeObMcBq9VPPLlhgU1xy+wk/P AkbLHxXh40xEStl7SOmF+EsFQZBbaRht087VuJboPsrtspH9bOzCpzLi+k6LXHXfNmpqtoT9fdVQ iZYuCHKkhg7yEbv+1SYo0uPmGdYIU1F6UBHdhkqzpP4oRuBKx3qm3Z50vItO5XrZZn+yaUCjd6lt mzMajCpkkWMtx7yonl/9ZJ8MsN8J8QwkT2JrfiGSClxeBDpyzBqAkH088/a01HQad5vErvW2fQkg gEne8jhcUfyAMj6p3uWE5cLW5CyPip6p4QudOA6QhsuPoyhXa42vD2Fex9UB2yt+3QnLGzfgyU4x 5P6yBwQDMxfxzV374R00+g6GtfYWyWJWfn9RN6NKfuWXKcZ34tAbwBZ6j6QF/rKqPJfnWqAdcA22 3Hw4RgwJdMKLpa2TX45dff4WvPkGdC059s64izTwZKpXN6bEt9QN4H9vSghcdUgTP+dzghbkzvvt iYLq6czUwURlX17fgG95yUJDNtrmdUcE0/g5guAlWnb/pjnLt0/TdBcOYotQ/OonSzWsq8GTMpxn zgF939MLkV/Pin454iMAUuxPoy/T9wbnNGbKSlaC2zTO76r9QuurWbbGv1DoVR455f7wvyy7lx7o 86rPFHviMAe45EAyVCtBIJ3NJXYQSBZG4N/UjoVTE0HlYRiFN/7Lr0yC0uCvLhFvWztLgl/kPf4l m0FYdmTw674BH8t5qcIYeFZadhOJALUZBJzicUOEP175MXjN+zNHKTs4QAFCNWWDlqNJAeWDkZz6 E1Vzrp3NZ/BcwplglyrEcDg+A7Mw65uWWjqAzXEctk54mzOJBiczDYRYCC+yX6O9oTc4GhTLgRsS HKyRgZJKOmYuL3P0XZ12dyhJcGTvv95nhQnqtkc/gQM21IOy5HSFCic5UL1JEbCnjW98AnqrJ+dq oC02UpXHIAhjW1pn4BEWGruJWBMnjqPq7D1MRhy1VZWDB+zz9wvKoSFrmr3YOI+GJpFBfbWDZnry 1x41OGE7XQs7ft6S28QZy7Ai52u87YbjtxzSYNcKGbTEM0wKh1/fC6pXdnGBpj0jGxJZq3J6NXs6 rW4ISsLU7fRhR5fy0s4A8uRGWrZPkT11QWJUP3HwmSqngB7KnCcmejO53ViSM0yqfBXhEYdOYDpX n+VQzrf7nSpbJy6Z9cQNUwqGi02By2V8Mt/p7nvGsFdf7qPMcpFe3CUCAZxOKPbGuCCKoY5f74/J gtMi5EZJFqTyxm0y358ewe2cmB5/bsNTdJQs+8JeZp5iuLmFKxSbxr87pTAKo2MErHBZqiQWPdsT iSWdknp6DqzwsM34wKK+xv1OkHc+CRqEZKSqJlFj+sWp18sOEtSeKEfHqQEW1Bs3at9NeL6bGQTT obzKOVbYnl8Qs9toNz89L1ke/ewcTMZTJsgmcBoUeWG8A5EBVBEw+neihQWqmXfhmGyk3hgm/dJv 6DV6HsDASsCT/HD0UZZFXTLGBAODnM48ufmof4S2ofQae+ruZD3mucCdyGLF2gdzhcaxSKSV41Ui eTRM1vPMU7ytQJL7Vr83ZQ2AT7ZzXViAtDeiaX/lB4MGyPt6hoWERuFkZ6lNf0YAgCZMKviV11pa BIbaEIsPXAGG2Zsf1WwtKLxXD8F2Buwj+9dZ3eYYW+LhRtXYa7jdpdOtd73Emazn1FG/wO3dwZts sKsZ2tJDXiPUTS1m76CwsujKCUCmIddmvYZw1/EQ9sMAgI9gA0aR6sHa4md+3XeJRpnPnfLhnMi0 EyXpukaJOYXxj/KZlA7YbwEgQs4eVvB51u3al+/JSymoCl1tP6zBNJfD6W+hIagmGUNMVDLIlL83 WyjhvCpeUycPtNxgS4HHIHZbbgPTPRUb+8vOupNlsN0LeGdfhrC6nWfgNtDiLWew/NHOlKFEIAPW wWAKxfhPTw/YMIq2DsRJDVWXRFvMBKYf8VDxxrDrGT9a+tEAoS/O8WY4jNEXjjtqnRZ9ontVLEKb i+e40gSXD+My1EcUdf3JBJp2yoCfrQ21G8yLSaCgdHbSpTCoNAOi+n+cxDNXfU3u1c0nObqqIs9N orT8jVYCa00FS3qpHyfc/pBODm+/7vNQDWjzM1ZBcoCfYjyXemwuDjM+5Q3iFmhxF6WMyLOzifNU S1xfBn521nk5VNXoUxsph2q8Eod4s4XGTK9DxKep2SKVUEblRUKjQj0xO8YjaYLZfDiswzCQFtoa WtNWRo3HTgwGFXFfFtu9Wi4AtqwaxxPhx6PVGQ+dBSNF9gs6YfjpkaAdBidQAFIBs4nDD0l9UNp4 vrVgJhsu79M1ViTaW6aG+A7YD7OSTAEQ/USf0lsfQzvuQpzfYcD0ncrGDXrK3wjNyvPmYuhhBI8c HaNquo6m8YCg0skFu6B0fJnWpzA7DpvvRNBxLE5CzJYyNz+KJ5Nx8zHy1Ohzf/xLZejdMf6rXpas 9xEtHmVmmfLjjg3lOKV/j8ptrvz9ufyBKGiLIeuC4eafxCap9tw6koPimZ02N6sIp5i/P9nJdU4r qoxfg1Rwt5pFKqPA4BgLZ9o8mud59neZetAprvu5EF3OxXZkh38PWTxiGHrpaaVPSkD+oFoDo6n6 nGt7gkukbqtGB+1b1E9P8gP943Ulzl8HUYCeYwdEYBwwQHWyXeYmPlX9vuRMklIL3LWrg116BxDs xBu8gFAODTZx3OVADZUgITP3yXa3fIyanlV6Zrr+9NHKPub9kpoiTtKq5+bvAuMNeWVppuMyNxTB 89dqDcaKJnMYrvTxwrKYvvkCZmDx6+uRJLa0yF/fC0ZMbxbqsqFc6Gwyt+8iat9IUO22ZClJNAJK /hiBiK/V8H/bEgVpkK77nC+xpOJl0jFmuS5JtGF8GoPHpJWEDqry0OMlf5tjv0NkZXDhUXhPVCd2 zGE0A3FkwByTFYLcoReHZ2aNbaxHB1w/75UhE1PcTx4mVbNy3YLreHxkvvzVfnpozUjHbhPgtOTT JObkSKtiEIe4bny7dZebtM0I5eBrDuQ7ZFedpXAvdciNeRIfpS3mdwln6I78DzlUVHCg9YQow3wn Yfavn8Os58jPmQpD/ba3/4uA30j1d8hgUpeogZeceQ0blK4t+LZMLkrJ5uj/HrnQ2a3jixJ6on8f CqqeMPn1e2mxJTGYMUZLhPDmBEUyd8wJcKsD7jBr1FdKtw4EuZoFCpiRyD6pL4xCA1KFLhBrlF4m O2fg9veIJAq8JQ84TrQlWTHoYjJ/Ij0o5+potf8bZXH3cRMwFfdOg67yZyI3ZpTIiAnXygwj0XU5 5oqPsFAGvCpU8Rc4AHGXraNJV/2VH8QBDfeZnZnJwOdja815KkRY+gsfu/mIbxJI4CPpPRFAoCBh qEomTogjlcwumr6NI/5FYXFEOkZE8L1IEWuqr3Hl4pleprZEjwZiwDE/g8boviWuRm33uUXCAD44 wdsnFJptuEPA+OxNGn0NkBP/XKWJOD5cOqKWc0WPJEhIOExZ+umJfFOysyOgo/U68XZPfsJhIApU Ww3lkFo09O3zZg1wkMXMDc693ZyF49S7NBoMaIklWC8Je/L8HkAQY8aFEcHkhvoPlWYRFY5m0CKE uYNrnZ+lhpLzJuO0542PykjZtTW/xv3ejJs1AQXwlT8nu0yPWmVVukBmyseXUqEw55/HS99tQEPd cDrph7GeymVrzcFs7CqEKpW/r3sdwtT4PeyTMJxLtX76v8KzAAF8A2ziJYmZc2mFRCGt0NWc6Sp4 ytzxC0D4mxHPv7WsY7Yvz5bj/Wrf4YVZlYaIhWef5fQMZmUlOfw8ZJn/VC8vsVuzZj7IwveXwwyX EsAxv6PdrE10yOih1FDP8x5GGOLSyp1q7OSuwu7uxlBfsPhdujMFakJWjSwWtE+sSNldGP87A+qn eL0RXd4FwJbv1IO7iUUnu/8HN3RqBvD9mKhiLU9x5Tz5Tlon82RV3oa1Q/jEOKERFp15G126P97v ppBUaKSfOCG0pfEOvURQH+RZEn2qAhsALLw8gsQICJbC7Lz5tTxgoxJl6r6zKrK/4tMEZtxrQc9H ht//jnilG95oq+M7Xl7kM3W64I/HFTSXF5DJp1qo+x7C4X5hH+xQt97kRT08G8HXLCL196zoi35N +WMMkbNiBOoPu5s5OvpGbELl9Js5j1DX42rVELwE7BhnqPx502rCyVD+aI3Z/bQUqvpfqA50N22S LxAi1JAXyHjTptqZftmfHjYnQRUNH3lhpHO+vbGQUJj+R5hJnTzXTI33cMvcsZ6eprQdOtFoEXuv SPwdt57huq2o3D4W1BnzJz5+CZH4/mTYVhwri+PYIMuLDGLLfiYTnEg1XYE/ot5MbWrh9tn8TH3k RJdwUzyeU7Lti4l7PhOJ8YPaIescbUwGKRH9JV2KmQhq3EjJ1V+EzF4YFLrhQKGw2cNbHcQvHv9o Pu6izYxcMa4eCgoANdwmAHJtWmSzU4yquH280GC/CRlcv5T4iKo463rxBgD04IrlZyRXdkmQ0dC2 FucBYT66CDTPm1zkj5lakzV9dYBS8MfK5GW9EyCngNxIMtqYqI9mOON3n6rkmKt5CohSjHiZ7uU4 bit7f3oiPQCKMKBF03F9cWXaQAf8Mth4sws/yBygw96wYW10z7Oijz1C3DtSnUvgkOGow5nevkl3 kkSk6ZSsbNT1LT3S3fzFEf4DKwzs9WzqYaqV+nKBfgwpOavj/ldhzk6/WSoFkleFGU+MN79WP2A6 BvYh84AhR9jFnnBGoydYo4MO2P7TMzX+2SD0QdXcWWptNjkjSsNc15l7lHm2XA0qzzpbI/4QaRY4 WD0mBNZCTmHaw7Ojoao5BX2zI1X+Jr6MZMtWtNjeNYKU+1Rzd8kIn0YDWgfoiMwnPu2MCyg+ues4 2JwR+IlAB5TbJQvGj2jvjkNLwh82zyGUWGpRB2I3t1neLAgd+JFMxe/U3aEhvhQBEjFBg/15f2WN nNcCUBieIo5RehDXUSsZuvNRLbbQ3CEd2CAgMEaLYNtJVkNKS/sJC2wOOyQVLX1CacXLKm9RCLTk yYRk2YDuJ6+KIQkNG1zEBg76z5sN6xywSHM4EIw4DaUsuz8Q1MkVIDaTOjDayEKaMG5aDH0lOQV3 0sqohTmB2HTip2dQ0YTD9zZmVAFN+l6ngOuNG0xwABqyYR9QT1zqr3ovHGjkVvIxQ/T9EJ/woOCn UdhycuCDWP06cPPI4gBwvllWFRSDk2xyydCcgm5pooN/GahRKczhMQiXtA5BE7Op4ZXb61oMcoBe aQmmzR1jAaFdvJLeS/eZ3SAYMjKgNqIL/U9PKxn9DZcMrw+fyl/PCKS8N53eokxNHEcTxGQCfuZ0 wyzpGLtHW+nklvM+tZTFIuLWAs1/uM31U58aT7QgArxHFz13VBif9Q5x0wwxVdoEhKUhowT1DGb7 LWLiLU10vG+hPo/byfnWOkB5rut8yp8J62CZfeo72/z9eIOeGfXSA7s/Pa+5gRT9OmLZ8s6li/Z2 2rr+Nq8RASuP+LChky0Kf8DUGhf1TB4bHUNJSybNRXuWAhL0dIs1bs8Tthx8HdXpTf+bb5K4XKfD PKUf8OpunWIJE/z/nFEhwcMdIzDmmR2khc591mt9iJTXEjLikj3yQjHYT8Bm8c83yFVogGYLuROA Y44Q3P2dm5PYVU4dtbxaxhCrqeW5UHGXh8tzH9Hn0HhXxnMsMBStj/9m3yOuF6dDn2nGUv+WokPi /2tgJTAoS6nVTZkga10pyb9x20wN3xmqZjr3ZdwJ5DAautrBvL1cs5mE68DH825olu+yvoaWLOhJ 54dUgyxzflwDx0zrHMoankjHtau1Fs2LPOcIK4YkQD89kKNGXU9X9SgsE39wDIQsXAu/rKvIbXgQ NsTupLNQvj/+6zNR0uYFyRRm3XNnmu63J6TOzBN0OZZ5TYfuK+MT92ZbHe1ZoVigQ3fJ+DRnEVwh sSd+gjI6+uOHrC4OofdsuYZNEZjx5ejrnybmMGW14HGu40TeBXi/iKfI5uOazA/x0xMbfc6JkLfP /pdxrQqPHbxax4xFwOx0wmqmOCsUgkbWj74YCe35wRH4MM/5qRnNgrABP7OvN2F3TUYBi6HNl3So fOdkyVaSuFtnWLIOYwvQYSx+kHZHMUHTAMPz42ZuuW1kcx51nCBp1qxcg4y/sYd09UZDdpYT2kPf mXKf59aYid06/XPdr8h7Dd0DGcv/8kOs1/ccPakcLVifkUQhpRzF5e3flWWPLz79+3IZxFtcj4q1 gE1t37W1cehqebwLbmNrzE6P0PpfAPDlhIxUgUKEZovTMk7nTFLzSUPXibqerXG+LRfN+NP/uj42 EvelD7tzMyEevh3VdNMvCmY7iBex8279NzYSRpnX7M5VY6Q17n96gGBzpgFg1sjku1EIKyXOtlqs 9bAdpHX0Lhays1tg3SijgoFsgyhIsaSiUwvVyWSbc/usXpOZbqEWfVMHA7ugvTakm1s5PoF3e8US jyJ1vwgLSnPkMPuBvXOscYcMd8t1R6DKOV101wmvtGXnMPvaXwK9aF6RvzP0QwdUAo6iZ/B+7f+K lrwwc3JT8ADRbvrIalEGO7oZiBqVamjyYhbQDXAm3WZFEmVbqpVfBq9gE112MbvEJ6/d4lSRAOSB XQ4yzpTqqsHyCdf5GPPoC1kNF00/mb1LPIk04303sljsqJ2MzrZLp4s5GPY51raOugGsHZqMT4K9 3EP7BCxUke/+kRud/vR4jqsQ8prCHiEK/BEG9BQ7wccexSv3DbZwkia8k8r3dZfK6tLsQgDZH6Vh 3PR7ov+c4+I819TLgQMPzzzBg4CWY0uOXEcNs/LizrI6hp2rXdO/lmIlGWiLllXu4Mx+wD+q01zv vH5KM6BaaNRRfS3u0Aes4gGBpdg2Jnfm9tQr/PX/L1GjS7hViMvHK2ytCsXsS5cTqpC0jOUvrE5L q5yhWxoRykDJzV7kL/BcZDIq0kPuWEguegAPWPB+gV5YfAEjkq7WIXL8AY/O95ena4kzHq91XeUh YOPPCv+tiziXTa234KWn6Cqf3zAK4FXU7GOWly9DFElKnWzVxnqgTr60e6/9qocX9bKbN9kja+Uy NCub+KrfAj6pQwAc4NLwGWa53XOiSXYILcjf30dctdVxKPiE5ALOz4tkO1pxAaB614QnF3r8eA+N YDroz9kAWH5eTFRyP54IjHd/sVGfWdtwa2Ylf0UlnrXIIE40I7eKW0n7LqoEklngHyzSEvyy3nL+ S8a4effTsx38vo7NtoeVmaDwsTkW12NfElx9XU7ii2cTzbikrLTYHwlXXm185uK+HUwRqNFgEf2Q GMisuQ0GH7/3uVUz2z1kEfrv46BYT+/I4b8Txqrb1Q8jdbT7p3gkDt+XXH7goo0zx2cSWcBLuAOw djWKCFAGjdc+5P/AZu7dG2nAbXbEft1HJa4i1wOm9fWWF42w09h2B8oZrPjKAMOlts6T4AhaOpca EBXf2yhB0VFdnKfSWkyYXmCHS5w1SsLjMeSV3ARzEzmy2ny1FejEOPWgWlFwgc2uSrBCV6AbfLMU vjTvezqF3o6Em6quqJk5vDtLbN97FqBGtyCwaQVYGtK8vk0H/Ks+FecqpmX93LZPDzT1OyjNVCwZ JyNPbr/veoo6rgZsZl3WFTKyTwWIocGmcJQIaXgNR6UkYWhi2HyLZ7LswQxRD8SDybvjxfdQrp6G WQDTjT9VYmZ1xYx4HIo/5wMAg9cUItk2oxE+4U9AhL14z6h51WZKEYKtu6Rz8AoGzWLxTduveuKL 5Pjh1NGDN5yCkPsBoxNw9csvGbgbchOK1ml3R0ussV7pxZka/NJba4Ey7M4StJ12Is/WPjIfmKWB 8jStO4Cy7pvIYMvLku6WaUm7aWjcPy0kwYUfpviwZnySYySUHxmd9MiVWtT4B9Zr56gSxNBc0SVB 5c7buJ5BaLK7wKR1xD89mipxnHLFvemgx9NLku1QdbGyuJqLN8uhZf2H/H3Yq7OWy498w+eunkpy 8P+B8QnlR02TZ0NvCYqe/VdFmP9GqcKxJXH2ObGijDqpJyAGGMgC/Ko+n0QRVMWbfoq7uZ270sNz gt7d6ZqreOCPxfS59WexPjwf3HjTYxzwsCIKyP9X/e6fijz5TK2d/rF6wgAIc8DRr85r7j+2/GR3 fWIyDfrhFHkSGiiHhYKEaxdyBCZuEfUP6CKCLSW++38FDumPtJMngtZc9mIaxhmjTYYocI767B2y 9SMb9Odud1BQlFVU/2SRQehGhCZJ+enrE2mLpVJbyRdFHgX05/vc4hUOTZ+8fv7aH6smEBGpDZcE XK32Nz8v3MbedTLlygjnPBN9B4Xx6ihCM0d9eDHivwqPUMPVDqzJvlXxcpQwlYKkC5XkiHEwa+Ws XKGfyZ9uAr1QoBBhdZfB4PWvKL75W1bEthq44tOuHbUg5dy9Dro7ieYgozeWNBJpa6mL3tKLsgPk fp0uYqH/ypsRdyqf8Sm2cpsjJxEs2K6N6qh7+8ZJq44hq4A5WWq0ge+yp5FMVXZulhNOvdbSLVCw yMc6TL3vGsWdLTRgE+UOMcoV/Rg5j9rpRHR5QZRfNavXGBr1ZexOifxK2mjP7HDBM6SS2Lfn3q/W 0tG8qb2EmlHAUugziDOB2EkcyTN/jQ+8gQLFDf1Re5/YXLp3laneiEC28kZiEybLf0J6oNZ8FsGE LVJBgPfiaRwM3qMxDbvWdWMZMgg68abzK22R+ETHrJDHRKSxi0DW6TcBDKPq/Z1JVEuj2va6YGex MUvTZlDnMX21Ixp4Gp6XYMBvrZyQTOROm/mBKJ7YBXP6fzkDzK3BmZDUTC/XUuHiBzFCaiPGiOyX 50eZIbNnvq5FdELygbzpIRM1VGtjZj+IzI5VpXthHfFnRSGkPf/mWq6/Y43knJ1AUmcqAYIBlg1I VKKHZcGw8gENljRzIIwCPSpvKMS+nDW5sfUKIMAg08nGsl90r0RgAHXZ/2mvf5U6p/E4/emBsENZ FeIxyPWzjcOUu9MKIb3FiWVfjNcBmxiHW1NCX4Cm8OZn0b9SAgxPpCNWlCWwc+CzAvWOU5ocuq3y F2BX58JYGAV541ZZvcSErpsNzGPtqXxkedDlzQomb03/ry5VndT1nJrctzobk5IMVh84vPz94k6j G03JxApjmJdaSPKnpy0WNXyqtvbV5yGkgpmGPsUheR2DGiSPS2yoCkVZNJKAV2Em+R8m0rbKUKy1 TBsHmt9Hs4fDXBBf9z+hatO3uRGpxdT4mydbhRAyB9dAPLgQTpXBRfk0G5jVh9LItIXBU3yToz6g NktRVKGmrXoGWvftAc6E0foaMLtVbwtnpR7DnfG8ak+hXJvzutw3DkvJQ2cZwenh4QCTnHbaUBBV 3IgQKRn78Nz+0VbqKp+dyvCNGddMbMx5IlrSr66cnYOXyGGwWy3VIV2XDwkMA/oTZmuBuA88iZwK FtgOlHLnHGQupa6GRcOqsQyK51hkyUuAY+Kn5QBvh0vYVKkRUsjlW2q5bjZsFLC/L63TXHKqwS8G aDSMsUDVh54botAFbqY9ThWKAGtDZ3b/yP4TN1OrdsLgfY+42S3eK+GiTCnFs+rT9IEqz9qj/OJ6 fdpd38El8BgDa7ZslLQAkLsUM8UROFwYVJhFDoUTC61vF0LMjnlA/+v+r+tlayPQAZKGoEw9wAX0 vXsWRW1pDX6905yxk2HZulugV6NE9etR117CLMSAJMt8nbz2D51HGUDd7BhId/P1gEoTu/sakqr3 YJuOTQ8MMG1KicpEMaIvvjFFjbqctM+mw5Q1HBdKm5Pe3TRaAyU+EfvqzdOAIeGUC4XqiXxh4BEt HdLRJ23Zbeu206k2ioWDRIuSdyNu8IDAI+zOiLRbeTxU6dtYc0+5EwnYBiu7z9zGJEicDRyOnHGN OW2d09HqlmD9arscMrDqqnr02UemOo4dYoQnpgNXe4atMJvnF88tzDqwMH7NHn1wpOjaZGZ020u5 z7EViJx3XktU6xBcOQY3Y80ka3ipTZxv4QM2Snbw6jX5N1gf5C3K8cRartgpLVlu6UxvkhkR+UFJ hzw5JjPvuNuyMrmDKokrzBE7nt1ITtk4Vl8H34dJvkR1rT7qXXXNHy7jfpnVchGtfIOkVzKRc2eW 69cEYs0Yy1b9/qIDk7y5T+aoQxBEXkXzm3Ok3tiDAVG8axRL7CKsOzNnqtqU2Hvh2SM+PPjO+u2r Mpg8DR612qQR8CYvl1m/4dB/1qtQAv/SG8lJ8xl5SnVnlj3DkBURDFjxq4uxB1I9I9MML67FnItJ 1JNzwpZsBRcejlE0Qlze7DSM4IOi1E7GIH2V4gsDEFJYkO/4R//hPVy5mTSPs044LgSVxwpBFu4S 3HlpQHdEZKxNLUxVfaggeC3XRcrAeLrrs86ESyea8cw7GNkgX7LK2yoojUZe5dTDjtKgOoS+epLQ HsfwEFg55y3xjn1VQg7jtfa0XCSB9ks+DCFNRNu0uESkGT2a7huXrAsyV2MIJomD0uNiREg5dBDd LMShbxRNe8GQRKQbrZz8ZzYFpYDW0AbRHYJgQjPGWAMbL/zHoCqdIVYzumfIerEaBlzlQJArrsT8 j7nWwZsFnV1i9ikCCwSOTAFJy6phqW3VljQSd0Maqy7+ckNvLNQfssZRIcAXTzzVOoab0mCiQjpU q17nHdlSZ0ESJ0VUOg/vdc20drfdhQY+DaB/rB6cVwizcf+pcLWDnx2NPTencsxwTmFeaJdPcein BZXIBg7eNduetT0MkyhRcgMo7Fko2Yet7Qkzfe271VXK3b9CJObSQnkx+H9r6IkUyNHGxVUO3UM8 TsSndp3Fhzl18DNCGSF0J23WNSVNxSrGnTeUMYUaXxedtujBfdulLYmHZiPf06jR1XNM568ban4f Mnt/ij4ckAUmoqee08TGmBc9NSjN5uV4GXXLdSMjVuSwB4hE6tseIiE0w4fr3WrDQy9uZrMZboZC irjGe4XXfgYeLMlrn1h4pxr4wnoFSY0n/EXYKeiDozJG9pPq73DyHNwQbqnExW6u9pp+ocbM4eeU B78PFSekjNvP/bZbU1f46Sn0eUZRcRtKXV0tiWjspqGLmbcLE20lhO8lqrvls4j5J3v1uaOXLy43 pDGajxgQUEIUuYIhhXtuHseVyjdCaO97n5BE3gol8v6NoKZU+c+pbRD2nWzZgHY9xfBfLgVS8SGl 4ADcDng/EbHs1N822qbQvaI7aNoqEgY6pupJ0zIE4J+eFqn1BWYDF22CFD9+DdsjBaNcetZbQjZZ 0mpLCsm32Kmm1CKztCdiXyj99IBX20SiEfYvZgBFqHHJz1jymv+sBzsd9rCZSJkqaENsVtVz974s H3a033XUk/fiBljGq0izeVfQt4/hHryspy7FVCfHBsigwUz+Rv7pYdwCKf5X+9b4yyPesNXhACB4 xzbxGR479VG1YJV9uRzG+mW4O/r+wQQpTpddzOz2LxkoHtAwBj2IalRQYCcZwf22KK8VW9B6XVH/ punjsZuiFpuE7Hgdjztr/yV2iQKutQXTSYbCQnB/H/lFvpw1UtgWYj3pBGq2sNsrAnQFztFdXV3b YoLkwpLmp8c3+IBvlYvGGADb9w/d24oejXWXt3BSGzMeTE8VuJ0KnVhpXK0X68QshhWwRtjIDC8+ otDfFqO8pvJrswyjGa+s7PWLwz6g56DtCZUYVZcafRAZacDlwvAqusz/Clr+vux0x+qL4VGFXCpZ Lx41fXwkvCbdne8da91O27MgapI9Z552LF69j6HR4TXOdqYomIBuhJ43YywsxguZaVImonKlzYNs 6fnz78j4SW5mhBIh3/SAM9FuzSplKORcQ7l/MruWIgs0nbtvLh5yrysShNtuQPNuy3MPpalsO8v+ oRSUjv7Tt2oYrpwHHnxzG4R94mWJIE9lOnoTBafGO45wDp0yHvVN2NpZDz5mFyk4OMumJifVHiCG /JbnJWhdX3NwDJ3hccm1XfXHoFal3drVYwlwK4aDXTiVPyxH2mYN5ot0oQRqTbfLxeJ0RfMw3amZ xDSE8yE0bfH409amBsZ8S2JGPkfXW47aH6uCJire/bGCQwb00A00/DGVRD815MwN9sP2o+h/XNnD jigKAgTQtm3btm3btm3btm3bdt+2bdv2zPp9QCVnVamkInOIW0wWuA4qSwM7EWGNVyX3TueKtujV ZNiFYjgm/uvRFUpgb9tezIOUJl4j/EvAKP5I4jiLWWbqzN47XdZHpoATeszQ383lGuudaiWAUtkE G1+W86Plz51ZqCVT4YR5dnxttLPjslO3XFz/pDyf81t3GRzysc0F3OKWKIEMzXkonBkO6skK7D5S 3e8vSs7b39WLJ91lvbTt2Zrbw9kAdviv51GkbP2nagFLIjAfuKXC8aamwEzy9DKgFuRpBukIci5s H64Zy8X6XEy8r9b8yrb4gCMTonNlnw4wYp344Iq/mLIIdXI9+4SAtXx9H95Xwwbyrbg6GWhb6oUb y4nL+Ml7zNUTcXk649FgXfEEzvLU4MxoP++t222FFJ8AYiAS3Hj6v55Ou+qlpbHiK8joE7ykj00n zs8lBZhQRH3/MRa/47FJPGXYi0+12v9HPAalP9TSVcbF1AcRLGBqpbWA8XSAqLD+CIQ8vyM2JTRB eYe6r614GvqzXdSBWR0lECr6QQO8t8WMOMH/nY11R/SZzOy3BVLEHfBAn48+8T5pRjykExLp/tfD J3Nl+b3C/Vn3+63RX5LlA5wx9C/MFO0i4lxJuizohEEaOAmKq28JV2/n2YHUIzLnJs406idq6a95 tOVZ6UWpwW+mOKhFIVCg8vJxVFZIYVjwSCAFzDteSDK4tc94BIzPMam3dxpLVYJg5OYvSU7dqFll E4rSppxQmsn8/hxFVsCCjiP6O2cnAqG6QwhQf6JA5MPPe/OXJj/c5lJkup5zI5qr1OhsX1qqGTQf rC3u9JGZbJ4TAgRN1psR91gUZbAHo/+pVnS9b1wArnS+NEfk3V+HbJ/f85vrGPWGDPx6OIxKeQTC 8i7YJ50RiDN5WHEvvMR9Z+ZvJU4DmDEWHad2qj6MNo97+KBchHLq1sEO9Py/HjsH8Sq7T+/olabR J4wzVnWr/vxvYdgWgXAD4ByIRCEM+4bkNvFwU3DbC/jtFu+Y6e3fG5mrmUvaYhlKm48Kzy3KLuVs T/m+xLM+rKLodWEJZYVjTtijEZii6TVzJV2c0Tbo+DXsztZmUVAgUQJgTOqzxLXp+T8t0Ey8EI5j zwIcnUwRHNqTD5i6w2LwgFAFuVFwqu0kU6GwNH6dkvVNzh7vrpVrtkpQUXP3ofnG+wFsikIImbMM QuZbhbWwrplTtf2vOixw1ecurcugz9DTHic1OlLYCk4j4VPD/SZFLkUBfyRc95MN2tLn2QrW0zpU w90IA/ZkjctjCrnA7eYcH/5Srqw30zCZG03qcHEKObTnnHdAYsk0fwwTh/F6ri+sZ2zhPnwOMbNg XYukWAcd0bsGmsyuNz9Eub7Ze+88WBkcKRdg7fWYINIDL9NVzHXIokJX1y/q3ipW9CUSrIvt0+Ft AxAb4RvJJLl7G2xpWO4WekN4hdXS0tecUjY+4GUlc2wwrbEAllCjPBKO4Xd/E9V5r5Bc+VOBLapc 978vAjEBp1yLJx0GEjFNmwYn/j6ymfLmHTBEyzy/x9jozXUYsXbCJ5hGXt8lkf6xv+cU+Y9pOdfS G3DnTEG8HBN1k/NTnpq6/LKizf1+1WGhxuI3Q15Om3HiqZ12Sofs5WSCphn3aIhjU+N7bgpkqCbk 3nQ/hEdXgHHH0DyOHiQq/3oO+7s2HUXRjadVevhJ8qW57WbtMqfRfOQtj5y3PTE2woOE2f2+xeg7 QFdK9t008/iSRKtwT1PkbcniTtYQU2qHrlOwkysHQnyEdjoLqCgZEaDasS3Sp2Uqyx68y2P9XRZh u89z3JXkk7pECR1xPTXEelFwEBpwmTaSxLYUG52PZF2ahXJQ6tmmye81PRs6Mh1z/wm9hdJk44vQ cDhXzxC9q2WAGoTeTsBxMqHSvFXOflDQGyw7oF1O3u4Fb/BJrz6xmindLMeH+RxHS+dgutQV/9xJ 4XXReVLAXQR6FXAB6uOnvyKotlkuoHqDe6sVMqg4/NnwoFsK2COaGMV0h6eJxtAVevmqJAN6vTMW +s+QUHK82d2rV2hU7dOvrAWwLjmTxigxAjOo0Siyu7pIlsJ0wes7Znq8m1jDyjr1zSgvXYCMnlTl VYi9LdaKLESgEDe6nwwMS1dAFegaLOGgA9BD5IGaXGCyoElwCCk6CflyZG2MOw3PDNUkSjvJAzzS D79UtO5dFPG2eqQEHtnsgYP7j6G0l0xAopSkRDspIKja3gNOxqzyO6zy5X9om/ud9lvs2ZLFr3Zb UHFGPBvAZIF/l6BD45ZctGcTiaHDsC5ist8vUYSiVBvOoJcwtUkf3tFH9N6pvgEV8zWB6YC3vEM7 hKHw34ajTMD+BPjlQUMkA7coz1YGgKAbrcYsY2EDsDpzUGKs4g18kglr11m6dbRa+pjUh0ah3x8H XJv6k+H6eXpcr9LIRo32OGDQ30eZ0t7FS+zzf8w64/bRPcUFeSLPbNrM3xDzt5rzpCBIr4R1Sq3b Knsy3y6u1g5LLq2IgMgL18WLEzeNWZh3G3fZjvNr+LVgkgeeUlLfWJyOF1GUS1HY93L++re/WCrY zsxcZaw6GdPhFKtL+WJy6Vuj1YlG/MmnohL4Ny4zSJYq4jkOthJWU1O4gdRkrv6sSIx7h25CEZ4l RWqcBiLV29GoNBksZPyQUJor3eIDNJowBwdS+PnNkeYtpaMi8Ta9QSUh8ZuPqo7WM3nQJRHMTwg8 5eNXVxxbQtkW8T+JzLBGfgXTe4BpTSbMriRq8QUobqiWW9d16UXdC2SLJBLJ3utjD8Alc/lz7U+l aVixoqHRQ1nSk+C2gzwvmamgCZU+dSaQMjIoGZujVRUseyAFiE07UUmYb8oJn+roXxc9uoNJmefp CDA6uVvPJiEvZDaT4Tvs0qoCOx3DtEjg46sCLFGr9CMxmhGoC1FAOj2R0Nn6is/Z4aVyerGngBpR iGIwi4IK2bcQOfm4BofuTNmzmF2DgJR06hno8iz5N0Wwk5LKOkhvj683d6gcF6xYPsjFQckTiLI5 1zqEhmeDZssku9yKNJwijcvs1oFpS2ZAR1bwQE+OJiMxqgOdv4PZUTOw5v0E12obBVg+H84kPYvK fPVxsk8n1nRF3aICxjl8+jqZsYM8f8t+2KrU11csGod2lYJQuZcBjXKzG5k6JhdJlSeQM0n7LCKg T6ilVV/7zan6uKdgWh+iNiEW9l6zW9t3qTIpcDc5RD6OI8M4CArVzl/G9YjvAWaM8PoXzxSUfazp 5RjU1zkqiPzP5ymiH9SYdxaD4aoACWRv0pNJhj6m1mg7iMmb8xijpPDJAK9r0yWLuc4qvjt/Tnuw xfC2IRJegfxmvj9Q2PrIIffCL27FyjzA0lrn33QESotq7eqC4y1tDAVW1w4YRgSJOrYu/cDVGMfh BY72yCOTKGu4t9pye72GsfkXl2n86tTZQyVwb/7Hjc/d025XHh9QbzI1A8VLpt+KdlbFh2RPh1wH qjcz+xuoY8/XeXAguzDRWVowiC7RF7pRpUdjGjJUOJDuZabJU54kP4FntmZAEYC+TkK32feW9s0Q RBY4vmFRC7mah62T34nvdASpviEWG3/jildwIYrMofQAg+LSGeZi5uN0OSnSIz0oYzFcHmTrcWZN nc1FjBDq00CeQ0pFl/ud0xBoQxlLKkagJFjNmC3yh1pFsmgQ5+kkXoGsyKoEFTePZcSpw3AXXMoK YfSQ0FjMzXH6q03TfiTuuCXAFFyJNf3ejQvsHXt1ewtKmGlwub0aqVMduqXH0aTpZPxCylfM4EK8 Uw4r5IdxbJ/j/Q71S9PcooWWmmUVysROb/5L/EPpWcM63KQqPoBxn0JuM4y0J7wU8xiSjI/PIzha 8YmQRG2NZMqBzKEONMyDysupN9o1FB9sTgmbGHMnxocRU1FLjkQNsbWXDuBTNT3UqzbA6eNlLkIm J79r6Go1eWm+fNTqi3U4RKdh/Ic+Habt6eS9mN40OOWqmuooX9N2RX4M2xd2klYf6kv45nrovFXO PP4sWoUApZN+9kcfSrjUqN6i46pGc0YGpbh9ndkHlGeyBzs1MCCrZz3G+Ooj6LACwicMSk+16x6+ bRDDPXFF2qaVTDTkfUP7V5PiLalbRYmbaPj60AG0vHNreiEFKcYi2MJSnDDQ9/E5PQNvq96EsgHY l+cXhFpuj9h1GwLUyNcGsu9rEm0BdKJfBbA1IoZQjtEwBAz/6tIcGiwFXwS8x8zmB7iMHQQIWHVM UejUFsljgzSF1tZSH1k09ucOSdNI8VoN+nsQOjBHcTdJRgcVxrrG5if7S5//cY7pU4FzulgLwNdb 9kNnC9aOlZ1tO3+TvyJdNqy+od67W/WL3VCW+wBKxL9Fagt26BIuUTDTlMbyXGgWUK4W+gkkBAF1 pomDSGWhB1I/SfyGVEz5zGTjEcNlT6VUiFTan+J69AgWsJjcXg4B38wMSK4divEN5LyKvVHVwGOK 5SOVgR/fQ5I9lvxuqKaB35xHoaj2AJFxfEwueuYL8i9LzEikTX6bJvEsfCx9Vxhwgf0N4b9bahXF /r4senBADAlTke6nNr04VMwcRmYRR6mwKQXb6pMvHAY/mnEfRtKvEJplz7OYVP9tMWtW12dFqf5a CrnQZ9OIO7ZVRMQmy+rZiRmKUKwaz36k6NDMshE+Uc1YnjExfdKTKPX28QiQikPAhLhmooy1nnhN EYZUnaMSK5l9e77BsLESQrI/fSF/gD8UEqttPYTp6irtytNDVI0RrbTt6GH+1/OGCEzYbQ6l1dcm yigdsb1Ny/SUqUP0wlBVqOythII/Y1HpPBpRZi3P8NzTbFfA4J87KB18iVgO1a3iF85aAfJ+UvK0 DIwi/VAcokiXoaG3M1T5pDce83xJ7eJyjq/hRNNkWRf4F+2s1gYMRyQnePLiCYLFwZUGWn8PO774 D4sd4b+ecSC4XoC//Ve7dXnWRqk0pGZhOLR4rcFXuBZAJ626NzE4ThiBhou2QZ2zB0dcifWQ8htr KguoT0AOyxTWW9vttbPj6WyagnIn/jS1OrU8onZTnbmVccQ42UyO2oacg51sv5PNHGzY2M9oxTre mi3QWCYeGgFxTvefGUBTqjEhqIT/euiq1beMa55AUdCpTdnXMKc9UDtUVlSk8Ca2Zf+C+WOEUaI2 xeMzMSUmyTLM120KzXjZ280SyKKHXdGCTe1AQVp9yRtqtaLlZJdHp280jHBliRaTFdgkUuHq4xcK djsLaLpgYBMz9mJRjF1sMqEKSKLdVxudZ0NHGdKYFgSIVbq44QX60Lk0hvGb4nBG+qfuMNjpKO0H O9PenJYo7M+Sb+/qZXsF14u3URFFY2WsWQjkISc1BTDy6uXEa5Am1r7S7MJ6+KLVdPR1kvMipfr1 /413J83RTQnocHzG9TsGn9nhBisYCiAoH7M9Jzo3SDP+7ZNSsS48WiIQEKLs59u4ZSYgDTmnjNAE wtCrd3DfsivwGoTTdaZuMUO2k6pfDH9OjmpTks+V3oXUXC47l2O854BcxpZXqatwzPRi5EkgOibW e2d6KXKh/rhCz9nT+ujOXoLbUrwupo/W3zIYKNRTL+APgULwR2X13cbO2G5rdYOsnIY0O68O41Ro Nso2RooseiffH8GJNsIcxj7PFaw2oCgAdar0+KyvcZA1V806l08G/dcTXiVZ7d0c6arsAs/Oq7aV 7ajiVa006e8LwIII2rMCEClTbMi5tBT4QVT5ePyY5TYZFwerl6iqoiqAi6P14JhK90CP09O5HlYk JTCTHNJYLhRQ8shVEKeDMbwS55RNghynch3o5iYYrvo7mHr6EvO0iRMhLw2cG3thk8CupfRYne7t tOas+UabNQDI8u9jK+KGIAzoGL5RSNedpLK0uwPmmBhEvXFYVHIHLHHtWnuo3s78m/IM2LbeofKr IFTtes+HfuRnI+AUaiK+fKF5Jq+GwrTJASPoATmweqaKM69u8hqi0lKth++Ngfhgl4HpCnKAja71 zZF46p7HIOogJcRbvB1aEw8EwNErk4In9rPbLFkTxnPb8DASMDAmnB6dj88wnSms3umYXVu0kM5U 1HNGjjl8xVc47zKm784z+y4MtjiTZwKGnL1gG2YS5SgD0AZYadhj6Zg5yj/fAe2LUzZTKmHGfxwL Tv2fuLOms5wQrk/dSF0QC4v+65Guo+r0VWiRKy7RNRK8U4AJrW2i5c1uvRm0fRyRmbwUsdkA/FGC sK4SQn0jD97Gh3837LADyjTz6fRps+07T/ZrI3hbXUsNY0c+GWkuVwb9fSVBBByamdwWDxm+sPrk XjzIG0odRBPa2emD9IDRlbUWNHqPC2A6SpTNdiOGKF5Ttga6iHMU5KSTa8GylraZtk6kBmqQJJZ+ 0S/79XQ1N1FD5092elnLLSKmmZ8nd+i/G5gVpuQVWPaY1L5oadHlm3LEhpsm99zNWb3auTgDJ0SL qkKNOMibY/If2FoMyDyER1mDjCvqH7MtrqNKaUEorzW/eBD+pnDysT538LVPBhVVShkivgGCbcUX mlGAh3fPI9/td62gLKVIcYh+0bVnH3Jr3X93TCm9X4lDhn1wZtqO8XbFdo9hH0O24J7K+/quWxAo Pdx56W8uJ3yWSsGM1ctRnSYC2qrWj2vpiZg6kVmskF71BRAcpGRyP9sQjG7BLmgedjGITi0HgyKW gyhHNeV6mdoQWlk+VQHWxSOseI8lrzcFSZt0HVAP2FqUFfuT9bTKcbflAol0GNVKVeRGhG24ULZL mI/ZvMNyzl0bJK3e3xv4OvAyg3iefas9+Zh+gF5JOn+MhZlkucgwc/B+vdLzlr3IJ7qfOnHDBcP4 SOkOhHE9wLqPKrh0qKAVhDHmdMps5APZoZC6K+nvGQ9I9IYcKwlbpZvE5BXm1tXE5Qz9IEZfeeZK HqQSWfHpZ3AxnDMdXAIdvP8U/PkYP3wqCSWnraA9Tt/XeyQwdQE4ZiNnzX5fp7pV4HcN2LgzMNaL vzXfqgXEiEzQauplnBj3ACtYSBhUwJlNh2rWutazAYoMKM84hG41W2BVpdwm4ZA//ghG5OUW7H3q +HmYa+6TGsksMtsA4xf6pztj85MoOB3IOuKwn/eE2WFNk3aWQd65d/dk2Kg7fchYT7AaU9TH3Rjd lLb+fW6kH/Forx5M9GmVuQHwkWi/b+nzaCqnN4uIiWbSwxQMYPn990/W7VFRV190WE3SdBwcZsDE WeeMpFba76xnokIEHBH/BG1mxiGdGcP1T2lIhStlUrnIH+5uxq3mmpcdJEXhrpw3dfu3mRs48WOn tfd1nizV+ql4JC1cUhiXv3g25WDMy1REBC8VQo1OM/Pz8o+Z6XAH0/SydzMFS6LC9FwYDMYM0xoD LsMyAzlG7ZDaBQSW6LbsToCYs0ozfGknx6Mzjod/IvaTlraCemL80MJxPTjZuxbX0h90UfQfL50g Tl6xEVVVEVt2hixSFivcMLEcg6I6E1C58zSOl6V8h6bYnrt1jYt/G5VSVObVCpqcBDnrWdrjG3vM Yvrck1k+R63UziqsLrGB35E0M17eZVkHfFrZsRuDn0qcAFQ2VWpRqrjxSHcf2r98NkFnGRzYDLZB DiOz4Wy+mp6bx0Rvwjv8ZQtJtZJiMC36PdFbV4iTD/GSetrGPK5+XRJf31XVpG+CrbJFgh/+kjJU 3PhHVzxzx8Y3XsY0TGMlE0zCX1kyWMSAMxgwh4y/x3KeFxuUcZQi+zkJrhJdGxfpqxSsm6SBoM/+ 4i6Opr3mo4WpdWC8cI5rlO4tIwo3EhJdAl3KBWtElWxVgCMx9agFzi9fIKP1zlb4gWbWp44RAbkB U61ETJkG1KV6Hgumyuy+Pq5seIHV8QpAOOotRhVSqJr2beVRyz0AyjOLRqeJq+5itX5hoHqgfTtu ImEhbVRorQlnOGtrkufnokODtNcq/2awEaRZB+N496pcsQn84ICdZkAimAxVvH7C6JLvL0cq/zW/ Enea/mxpyqfiJ+nC7cQJKwyb9HjJk0Ph/H3N6Xn7SsPos2XgHx1FUsekroaNV8pW+iZN2Nv7baJg qOC82AEZsA6DdQTVUxq5qJmdii/3g5B0xXiP5GBaqSh+sikk69riI4ytXNFmOOxg05h+JKBhoEjd YA0oLqHSFEAzrewKRTbMcJpQ8KaNCkyMz/k1DaU93/ZE6LavynJog/w6fbVtQjQUaAJpcsQSQ4yK 1+hxPPzk6DIYqhRxetIm5fouq+FPVN3dtCq73XdIXTqCJeBjjLOWFzaGT90j2eO4kQVPWkw+eJSt q3Te5enuzia1NGucgGcCZqQkSnJck2hemmD6r/l1tOsMQ7USThwGSM8gpdscXvAvw2mVLyd71ux1 BnKKQ+/qBkBiikQryo3wU8+TyrDpeW7kq8IGuxDQxh6IAlfa8NL3R4Q7NzIG68bK3bOU69eAB3Ni PqsdveB/jcG2trkb6yR9ErWL8+nKLBvJBeXlaVzbaaSh0UCar2mCEGSt41+9WxAkj0y+SgtuTVLk avZH/h0uCdDHZwL0tv12M21eeTwt0LAVd3e/C5QmM9GdPa1nb7aJeUUPwYZgClPhd+NMTQ8qyFJn uOkymIvmKKdMSFbrpN7fF9O+RRGxuGAsatDNsH1pOPi54vl6wZ5de4Jruph7UrOYKOquv7XmyAe0 0uQ1opK6/8jAXjzfMOY1tEa84ek5cYVy1U1iV8EygHQr9WD6eZA/qDAamSkM16khsGA+UmBlPk+c oobQxCJeifonKTXZEudfZWFXW/OHcxX3qX1qmuEUB9VYZRYG9/RuHMXKkue+IUa0C3Oz+FZ2IaBZ vKpnuVP8NHMh7TART2NLQ5uQm96ZZrQ+Mf857iv4fYEXPCHmA2zlnqwY3tB/irVO204efgHzEjBY UwpyYF2VMySv5sXIYS0gJG4g19OgbTyC82avmJ7r7l/rEdlK7Y1E8jsyC6dPOu5fl14Y+OVoKIL0 Zd3Ex0fPTLDdRLOiv4YKo8PlrLSzkOhWwfu6f6Vo/SkeeYRxTEQuoX5DJgy9N2j6meriWZcuP1KC 8rjyyhl2ynGcEn2MrTzymI3gvZvWvw/kOo0DQoa3N6tbyfQnh3eJhPW9lY1Ri3vzH9A0ET/563cS 5HhbOEm2OFOVmEzWOxgRUc11jZn1lLnH5AqNfs5+wLC7Nl0JvkNEpWxuo6m8SOJki2W8/jrTVLds Kd8GWMHmVrITSFKBdZ00UR+eLSBiP1FTF39HaXCkWHzSdV+g4XpvR19RYWy6fsybneqJFBP98JTn DFpupR7FLvpJzhBsYOJU675pq6TNd9nZqxB9fF89PLJUTF2hfIZZi6CisKKtV8Y3+vQ+S+sYA7gt CPjLm/DqhWOU/t0UulnmDuf35V63Bd7v0N0pDN9pUUVbeXgkAf4rMSKzi2bLIwyUq2DiRsT1VM3s DfJrpqbA+xFiWGg5zfqUrbD/8bms3/zwoGY6YF/SGsxpRgXo/2su8nNgpdeKOtD0cjvQjHQXyXLz PBJmqiUYHi1cR99dqhXDT3X6amULPClH8X86qIMccdUtT3FaC6AZnExWZyDYf8VZjH3YBsxWJvAx IzfRUXafrUbdYJWZrn4X9uGU3v64IAAXJ79X9w8Ox2NlBE9EyJmowzEG6nQSI4uDrk3wD6kw1bmT p0LVYFRIIoNN1SUwfM+HY4y7nKkVHEHpi5FyMvgwy1raLsv3TFr/XEOp48CV7SQsYBKZHEn1sgua cs3h/bEh981InT/bEZ8WdaU0VGMKi0ySDb//WwIxR0J37/Q9RFOhonsFDi+C4h42R6R4I8a15hTR xKqJ34j3SJn4GE4a0KIkfhFy5tuauEW0zsDrk3zalCKPaZpuWpPG26BEeJxgcCT8kzYuAKUm5RPN jVgbPZZGBFyId0+8nLmviV0lAauSnu/Yzf52XWtngPSYKeaA8QXwduZ53GaSDy5/rzZIkWYUORS3 ZDL+vXfcRMu96ZYbi0fJ5wOJTta4lQk8MTfj5nYlXGlPRZwapV3J27heJydybxvSchTXMx3Lf2+x UM8twbP3l0x0sBMlXqOLA0P8KOAbJ6atyI7TUyAWgKDx3DxVRXmkh5d9HnsJyXaKyCFaV4GUOJX3 PPrONGNSCKJLJZCg6iDjuAF89eLtxwT8Z+f7QGFMaEFs6sw7gklUEygm2ckT54pAhEZ7tqjvJD+Y /FewSDmLJbzcOScTHmLtwxIy8KljqkC83SH0KR9P6q3jBuPqUpzPvBaZBrBZiEE0m8Tr+hl4Kkqs bkh8ENFpxvOLQEYffJ2vKC0Yim1annDPsYSCbnWBmQT5voMb1XxzT19kTnjiXGtPlXFnXoq8t5Er uvEMWCd8aTQbob72KgR9LIoAoMwXyQTGJb0+EWUICrwfmmnXn8HpwxDvabio4219+uMY3/79Z01m Ni95VS2N18F+epl1HJBVx7Jz8tG5vR2PvgdhO0n2ZcbJv0+riU9IwvOFeC8k531Oz4t/TPtMDcTV YRwekCeJ8K/AdRZdiAuh/xK1sgAKJkSTB1RWZNENPJ9K6YP46Dm3RluEZwABX56Fs2pVxPNiTxyY fts9hJSCYRzg5e1P2MfDkYSE0EFRsjN9JMI6uz5zdqAk18G/mk18Vr0Lfss9enfb5VRYHroVlOdH O6mjsknNV5GSZ5m5rgqKpSg4NrxpR+G1tOYIxtZL0OtXqmkP2O4qROvmlaYdHhAjk9HSBHzIf4YG zu6T+ZtFkGLIj43eP8tqD0ugPlEsHuL5qNpnyuUwiCB2rQDX+NOrIe6w2d+XgI4KDX+btOespTfe daoOWTjYnvyP/L3jerAmd0YulRhKf49Ylak8LT9cf0szcPoCHAOEryhCdr86LaHvDPMXGCwSu0Y8 GboNPxGHuTZcoJKkvwCCQaJSW96TRL0ayaNyW9zYgeOaz2NYxkXYByp6QNBXllXMZKNMeJWwNAg/ 4UiKSGsjT/mqX6MZ6BsG2/fgVsn3TH/Dz0j2ScFhPQ6+D+NsRfiuG48FUIRHee4m/UuRaMi7cKHL R44Pcv5GL2lrnuEJH3+PYM20j6suYkNkuasd+sBR0RYNwUO8PzUNg5EOx5uG/6fErPWStPpYpAsZ b4pmyCq15pCkXfb4EQnYki9czrXOE2oFmcUB9FVN/HBOz3qcXPUjpWtMMaEEnG+Dt2QPMracBlny aYYZIFiP5NwM9XiavOuJ2Xdjptby+dd5TJFXKUVTI88ohm32HWY+7TvT/0D8QtjHawOmhFJnhEGW 56Qge53Sdz7sojCsIEdpdNzSTlgmZ0foslLy8kLVuqzzJjB7Py9H+6cf+imHXogsP557RoiGHGQe UJE8HbQZ3x6k7MOCQt6/QznEVmyh8/bcGxf2u0kHEZJPpnoYtJ2gJQGxqIEBSYmGmNy40yF3My1C 0IH2JMJ8WonZBQLlKimvSo/nPOMy/Ut3mOiSrfzwYSysbnQ7Lx4H3Xn/rvOAbyMH+lVEYjbX8rd/ rcAnb4ZPeqr/SPxNb9OzpDOoKfDTQAhIM/1bsGEgCTkIE77GDnK2BXOfnPvK6N3++zGOeHNm44V3 ylzay03//oG0boTMoseaYGP6NgfusTvtYxjR7IrTTkE++jOQrlP/MTK7KSUTrL8AzRNFeI3+RJpI J684Kn+ps4eOAdDSIDWcfUnajnK5oQAfiV7Y7eWf8LRcPux5YYAi2YHHMSGRQzLZjJlarII2rQls EbV6dzopMr02kOztbLdZYXL331mHvz57xOu61hQ7SeeUGdH1ZZizPPzih4d6ouU0AK23elE/Tiu3 7aau7iUqlLiDLO0/a4FPZ1XJMkuZxwY94LsMhsUA3GKRuQLManJ0SbGHJ/gTCgecotFUPb6rC0Aj toy1XRhLJaBQs8Z+BswjwL8Wwf5MzIVvXbqMiFZmoOYhg/OU1fXsub7mnKyM+WQkyiTl8MAiW6Sa pex9pXDpZ20TWLf5B1n6q+QWOgrAUN/xy6BuuTlrX01VxDBjmDSEDnbpNXcBYkN/7X/naaWhWwDA LZIo06ygGEqtmyy4ab05ejIljxYPqXbytsGysZJ5ryEFLbru6eoER3WT0QOE8cFtiDY3h+khg7c+ 0b9jutXLxCUeHxRniLis6yAV9OUPKwCH3VM/AudEp9gFbTPDhitADJFm4+SjXqXKXH/Lvn+jBFCl cGG+vza0QbqM9y9hg6MVwAvYqCEZGVZUiL6z8ElfxULQjjUT9HUeAJxI454EPsQ/gHlE0OuHcXpu IiyX7IdXa6Oyhfvbhd6SyMtVfRsfvpPx8KapwdDASCmsjNAK4cNPcoR1WCPTZSYVYpArxS0ueHCH zuHdjLUWKR5rPmmdveYaHEALXEUUtTkf0z/1SeYa7Q4aSkJjKiWjYidETPy4MNQykxaE1M5fN0nc 72eFxV/Fh2Ad+K0PIJMP41yllg3GUpUqTFalOysitZyy0A3YbW2JR2+iTsePbUae664OppyPz86y CTSEiPn29rtWv+ZOnj91/OBtBqiJenOHjdC9eQkOBYZckjhU5hllKUVHluWv1tiIRJr8pP6K6H0f KGwFy1fMMAVaU2GcGOAdm9Jsb6xUlOw2zSShn6dK8fEtQsLpKu0poSty+dqf97Y4clQqGUO0cEaF +qwBRAHEy+ehqT55SqF8fP0XNmDN27287sTMVQuOcUKkfOSb7vNklnWYmawC1gSIj3sSzLMLTnr7 4ThKFRxYlEPw65dcWxDZhG5wKl8iCpPZRl8+w8Tgh4qoiXWS3emi/4aBFDWamxN8TZKXW8rUzpJd rfT79fp83mF36K+g9e57OEqdCdz895Ug5URr9S+9x2ZiSCaJgQ9cESnDC+mcBClrbq5/KVNE8uo+ P3TlrLrjz7YP2lj3zp2nu9mmVRfyQdFjWD/NtE87YAJw0BQDu6clwQxiXifGG3R/7Q91DFLgoqk9 VlVdCouDpdJ95q2Q8SFjSHfSLxkAgDfq53rDR0V1aJyjU6hdigY/+JHpUqpxdhYcUFMaATQzE+Ii kAktZL0S5rZDcpcXaaDoZa+ljVIM0AnikcMJztayWjK5iZ3kO1UJYIQeJ9h8r51jGLIdJTAYtqjD 1c89QyjWXlLy6bzrkezRxEdF89JEc94mv+D2iy3t5D8vOOV0rqt/rOINMq9tXtE2sUyf47/IsO9r +N4pj424hxrdQCYM1U/Lejfh3Nk7M18e/tGKu8lhV4pCoV/FttPDRLRKFCTxHS82iEFLwtNgflUP JZ+gW8AdPhWowUhVKWrSguVnvEa2w3RGeTC2BVSLkCX9nrTWAhzmQKO3xrozyveJr6Ou927mAlCj ccxdStGZ3IHqnCOARW2QHtplwmMjdQPX/l3zRT4VV9+V6o2iz4O/Lmg4IKRB1qCpFTTvw+EzYY5j vQeP3BXlR2LPVRxF8WT+tuFteqSxGCSojmy/5kpy0mepiNIVp7Qop9NtmCHN3w8GdmlU+JuxaMb2 +6A8ONXGOye1NiOLXjQnzwrW1hgvQigeMKGcEntFnq0bOcqQTvEFsYeGPWAiYP5DCajEtThVxCJ5 jJvqa7FpjICay1blq3wOZNzPryj/dZd/TwBVWgk+aq5cfza7zIXlrKq33xAco9/AeM/VImFbNA2h xQtcrkblR2DjzfDezFjAo8k4KxTkFwpsmCJThT0QpNPTQs+2AFa8sTNB3ZtcsGokTfsrUFgaDhHj 1CTty9j8HdguegEtqxwipL+2Ki/AqS8D+MexIuzqbU/rn4m08OpgmJGZOtzGHVx7cDKjlJ8Tmysn klLnk8Jp3+GPkzo2p03wZ8XlqTjkWG3uqSRsJ6aM5PlrYFnsxn8OfRxEDA+FW3wPV3fpOjDwk/YU Hbz+q3VKoxzBSpHe3RfI7pnRRnS4ub9bGFjURkgoDLi43V7zLzc4/G5tNJY0r4UlKuw1QM8rAqiB UkIlhpVb7e4rWkZF+aKNVUdeZEry3ZZ7aXpd0IDkUegAe6fATfq04v3GXdEJ0QozyoBFjKjkM93k nK72smvfo6/ks0coY6tfgsc9Ax+4GI/V9u+Lcyz/JZzf1OtxsI+TrUbqNjuPNPZfNgbPvZdWR8I3 KAGs6mKMRwJkPRt/7aq4t7lbyDtr+VWBIEbzs6wS39doFeyfLO0fkkaDfylUO6DZBg0/HocmaXoB Vo3p7kDqmJN88jkUHOp283BNATJBnck7dMxhAdKLQfjg3u4vx8Iqsx030PWHyexuweka+GqmWs1J YaJ7TfRCCGaXqkMSiD6J6IE/dvy69Tvl/oGUt7v8rPezTRTtLg8blYBlr5xVlRtav3EYLrirsZy9 7HeeZN4C9/zIcfwa27203QZXhB3DLOfd1PzwIJvzC1v87btEA+y/Vwuqn85nJEWryLgSbBHxQtsS neBiAFwigkG1++cUUPX2wy7SXkgfRRF/ZyqBaNX1UuMK//di7syBfWtCHuegn2iV0TllYPue3qct lj18C0yU0FY3cN13hEcmClJzkJACjGDcFMDyJpuP/49NI+DlYYR/tE0/HYSxVllkstvhKyq/XjEy 39Bg3GLZY+0Q3jViePsJSAuhDfCdKib4BvCI74pShvn2BDShSsqPWllRqjh27Svnw8RT1f9akD5W EaNiuojqzpQMn8cPzDhK/xSkJQMSBiCbXRfMnD8hK2hwQeBq6udiAkgtW1KS45i5qfxWpVfIlln3 oQ8iP/PKVca4UZS5GrEUEC3oOjDYqwGPp/Ae37UtfJtNPmIcffRI5BsIQHEG+YHrkspYDj+RdQwb cNTZYcx7owCUGC/SCgRFEzvHYW887htr92p/DZ+X7xdfS4Ma10CKdWxCkT7zou5SAhxlT7yDvV6s VmD3L36dZps/Vr7FSDEhwKyvm8zRlkGCDSQjuaMWALqQ6nwczMAx/W3S676ckYzI5I3iwDRf1sik nTXel49xqSvzKmVfaQ/Cs+Y5zVq4g8VUd+RKNVhzc7dGv8FrQJ2iBXi7LKEXwqsMXq+Fyp/XyHJG 4h61NCPebgVXJUQFxPTBEx4n+ZsR9Vxcgo1iPSo+75QQdyznMfWIpe8wNptZMcIoYpujttRROfwU UctoizV0u1hbk8zqoe+DjOKCGlh0VH49rSKRgV6C6dXrmk2tCSnVgfeNpJrEvrOiJzr8xc56h8PB SV8RTMfCuWTxEv6oFCl9unzGOxkV4ohyoN0wgQ09Bq4XHUdoPxHZ3z+tw0k0lLlOZeDNkRssrpy4 pwWy0sp69q6hitDZ8bpaedkzBN2Gp83r09/jM6E3M7Kw0Vk3efaeGg+hmPFQbx4JacfmRhxtMath TahNLJaA5PO9cDDb1RH+tFKuiCIw3QfC56223hP7/LTlSmmb8OIO39k2VGXiLnC6kmHMMwd5hjAQ VwZ352IF+JMsvE6O4tAL5AaPwR+ldgf31OWicnzNTMrdJhd3D4nADW7Tn+WoDwgt/2YD7hCTSeu9 WMqV+CZa3bmKQCGeZmTssJHq5sg1G72IdZUixEBPXpOiQwYGGJHWwrhVkQBB4Lj6FRtKI0kJEviJ HckCyTCX8WnOkuduhDyijQzFBYzYQ1vUiWQLYHbgquVoICQyw05bRfOxBfAkL78mt41v+yMZwtj5 JpeVtAAqFb+SF+ABJsOkCh0tHjp/W87K8mQH0mLLxLKexDJuLh46S93J7bzs22zRlMAAUZJMUVA+ owii3Vco3eS5hRsP22quPjNxVljT50r86jw2l5cbsSDZtrni3f9tj7utU7HGgt4ZXyQ70Qm/L/V9 8CdteUqLqwq38ZCkGMpaOdmVSjnKINgIOWuUeFx3Fd0kGq0MOpS2E9dBV+CZrC8l4Zi0vmpH/Oom 2no/PDkYSspBT9uVgem8xkJY6C7nbraJizbKtYoIWUy+MlJUwdwKknSyfxiRcLKkocvnfwtUhdST qlG1zAAL8v6KLzK56td371kIj+eS9urst5wvPLRwp19Om4h+Q+tHBREHurOa8AYUlOauewnuwraq +O7L+oGQs4YwOixX5+yZXJpZeN0tPNHT+39jGJ3QoyYk/+DpxFseRFi60muOIA38ItFVw4N5TMJt 1bOJfPiLs8EhSNXF+jpRMUtu5XwuLKCXkaKuNyNUVBdZUS3KTorStezQDpp9QrF9vZsLi5ACLiYo z2lZ+YcT6BJNo6LfcFI8AU/+ohBRhxexLpKTCSpRZ5AYnbpEJsw1vuhJTTw9WWAK5tbN2OxbC4Hu /fV+Z3x+I3kqIlMVxiOj1S9ao4G67yic4Fs9eJYnSLBnhyYZg+5+Z7v0nQKRfNLOgOoX+EL5me2W bdmmpqnMA9jGuB9VO4d2fASaFecoqe+0p+zuKvIlDoVPT+808ZxZvYCfcwyKEiTZ0o3Syuys7qwh bQ5dME1MqHpg5gOqFtF5HBBvpZtyF121Q8ng481dpCCCupwVFT/u2KpKkWtnmW+aKG+AnXPfoVk+ tyibd/s2P2kBym4ge9zhtIiIJJrZuVsnO+gKUdnmjgb5Y95LYY8HLpNxSlUzLt5SoQEFDBqzHqFA uNCzF4sTGmIfvpLEBQ9UARDZSSrAeNFAV+QFW9wrkMhy+muZLSr4UK4Bxb/Xt4w2PSdclVtRprWX mpDbPrv+NqBFmSRlx2I8k9bMtMUCd3wiqBBI9itSy7KY+Vf6EiVQDg13qTMhOME63r7dHB9JD8nl 1+LUB8fTbV5Dsl4vVBSqlCrG+MWic2FMvQdtnCoNgTOomgjCCVjgLPdXeb71n19qrXaoUYUWtYaG Ws11mJxHHAS1+Jzv9yz09dJUCjEp385R0BSwXxgjKPf4UwqHzWdmeT/8ZA99c1EYqRN1B0eAIC96 33KF0sGx/MOBMhgeAW4bsmWSK9P/7DLXcHgDW0G3xGJRHWE/iFYKb4e0Gp/j9qNT1Ym6dxV+w4zg RYJonaN6Xkk4o6Bzgy0o7eLDWSPB2VAj2uluELkSAJPwZTLldnLQk24kAuzgxqAd/WPXBB/nqyTG rkhtwjOQTBo82WMlRMi/r4KUX0JSi7vsdKWx433KA3bfBYHijLfRrCUNKQwUuA55twSvkK0ltCFv /snPMLtnCojdRJPbnELkIJSyXQNyqoM+/pLS59rmvVhXYcFJKLlEn2q9JoexcXUxQWPIc0BRERSE +CGwk5ao5yVMqpvRtFtDOpjbKanM2f1QFDaakduIFr4533LNhmK4QoYSxIyBuKB3KdTUQ/bgG/sQ 4AVeTdHLM+Ve0u6S2X06k3QgpCNQIdoLP2gMb9xnohBU42XNoO7bc/sSr9+DUaFZvQ16u8P19HmE DcMGKrDeOXNrySasc3JrBK5twW15z2r2OyKajzbiU5nnxCrN9/ItmnlRcdH7CtH02LcDrL6zDYSy dQPdVD6G3eBZoMjPQZpNHzE9HY0kkg2d/NS0mMkQVA6RJ2GLmghAoh9qog3aNZTfStkbQOQ9vJO5 IgR1UsCdhpu/1VWl1pByRejv5v3GOXHKl9NjLkTTOjCrR7h2drPQVIB4esyCo3qWJsxtnRAi7mVC pKyOMKpZDYbHKvnU4bxrPlDl3mmrU3uNTUjD/nFIKs3HcyBgq+FBSxIFPD3xf4myzOcYAj0JMfb7 jTWSS04ZPquK3sKH3djvhqy8TJxOaAfyiPDjjbBMhAOdr9lJkTlV3Jq1W3SatKslepznZta3cnN7 LfY2IYbzCj8OB1liicydmpUhcr84HwaQIcn8IIsQatM89Lncm9bIeCuevI5Eps/36q4HB1nJ5Opz xXyLrwvl9eF0m8+zJ3Hz3gD8/JJRCPyBiCuJSb9A6cZrWG3DaSipNaKTVwW41dP7Q7stxz6cbBzG s+9FcxS+JKFAh1U+eKfpM3eXuDkKLeYY1Azm/QAFtG6CWQwZP46fHGyalU/3lHIkwTgSvQyFq+ea woRCXqNaAkmK557MAp2DAcb9q1gk+2nnrFoRMhTf73W1v6LL5/QySLanu6rX4xlr9kwPPL6f12BB I9/BxT9Qndi2tbnlpChMEMGHp6QnlE6tG2mUmVxuYaYBOFPSw+faMLS5pD4h8zbJbiWSVNFmc5+0 I6Q6V6ZVqI4yoVEDeUT4G0NwkTCNVFR7DLDTE7+Itx4JiS6aTWx8mwvvUzIM4IJKaOciSZq1S2gZ A7hTPpkoQEjNObMO3A4Yusan/gVWzyVDTD86GeC2kTT6KtPjo/oLOjBu1cOUKJz2eBDBE4wI1YsM dmP6fm4HCSzpGVViAqXu8tVBLmYsB1/TzlrbqZfSHmLL5zYAtEdlSN3fN0ICl2w76Mko0bFo/WIB C+7odWLMk4d3pBCUuOWyq1llR7v1P3LMsBclseBNKl1T4L3myBntoHFMtOTja8DRZ1nyVrU2oRHP CYrfAk0CJ9EO3jiB9DhCjyjZT2PFK0LckxvjpKtAnPuTV1mTT/o2vsQlA8R8lNnOYCpdzVACjrpo T50s2Zy7xuwjb/nlvwle8paNN0SvAcS3s14j2/1dYSAzCztGmr4s73Y4W2onhIcxFkH6CGYMW4p2 Dv6gr9C20esi1R5vlob+qoJGQo8lrFED6Lo8W30MRiHdAJ+bUV/Am6L3yDNKwfBjoK4mogYtv7k/ a5YjuPtpsr8fYSa8tb+wGXGt60stRKspWoFkWj2aRIuMZsG2x6U4GvugMnZ6mGrYyqlq2ws9pJkw cq+gKrRS0KpY4zoNSjnLcOcZCJY6SOMNgp8zk5Pt++Ia39GzjjA2ps6CzDFEMHHu+FdEk/nDU+HL zuWjv3JEZJQOM/QZO1cK/Jctq9IRem/CUvhIgV1seX5GndEmBRrp8CjmAmkD6E6waQ6QLotYCQLr 5mYPbczd8pYGimZmU5TVl2w7cIcBko5aMWLYD/aP09Y+8H470shxuQ0h6K3zSkIoAC3FHZ2/37EA NHpD5HaF7UEaeAqu+rG5BX3Ls+DdIiN4o0HNB1ZZJCZtbVe8gTIoAupGpjVc7dtU2LRosEgv04Qo Qmvz26ZJ6W1ecIe9Z1P+CB3+jLGsNJ9X3zBa3WLrt5joBuYxHh4dqQOOu7mnwlmRLJ8MBvZg9uXf y9a7jGk10M4zrSO4bM9UmyOCkHIJ5tlBkuA3+k9hOfXwMucPLkFKd8RToQnh6RF23W0GjM0QzEts 1ij5WZXJjnUy+Xwm9XnP3i7FeWmq9vIvzbmGfs+KHQrPwsSy5kC9DEQGQuNnQ4pBojKS4wH/AKeR urCSJJnXTZptYIdp5q1At50DleC1h236Ez+Xm3TIkhS8WZz1Rg8y78sw1C347T2joBEDkuFWja8z UClq2DpfV8AQVA2o/EmEemi7yrVn+pX+o4gITC4U0viCtbOf3Zw7PtR5FJRUiqVkAU+y7I+2ojYY WCSAt/VhwLQ6B6rWoyPHXHQ2/afsyKteiRsFlDyd+rW4R/TYZlVSWqP5u1sggn5PsjhNF0DVFKpZ GmPF6ucAavu+Gzyabc2qNi/nt7xbAxjo3ZHOQRxCfts9c65I5nnqE9LQYtLouStV5QNIltmO1Vho 74nkEDe/fuIeELwD+95ajekvsWvzV1QByr9kF8H99TOLqXVXlS3ok8Ivhno6O8og/mUXoLuPokhM sUlE+OxAPWU8KWa/ofupfvBQMJxjvGEgjt3Bsc4RrIOp/PkacsKxEodTNm/wZzSytWPHTBNj249z ij/8DaE7Tz5KTlWbf5Bg7PfAetor6MTTRsuw9wyrp0dflrgmGfYOo35hIe+z8fnmJO4HIWzIH3rb y0QQ5bYvPHnFwE58G1a4jAVfAicYc02etxFvl9VB1gM5NRC7dkJAGFdonsJcZnwZfnGyeK2dORlR tMPwVax41zV3p9/VtRVODFFCoRoaYBoEQDODXaQYL0usv2yMbauDzjsFog5mQ7sbPnII9RFbT1f+ 7+G+0mWYXL7a9EAfgKOD0KPqKJfFN52G3QQYBKMbJYbLZ6Q2U9XHO5BgyXZNth6YObtvEQpLfH6d PGc0VIWN7QRHwbA3XdDNSjnYMlu5Jwo/D9n7HDuqzIBGft4q1wRNoNC64UaFdBq7bSqVKHOLJzNC Fq+bahM0hvrJJ3x7aFevL/f1GyNIE3q+0k5QAP+KopSn0ns49k6E6hltsPxmdr8q36C3zHcBEsHN ORnYvRFGBn5L5l6mf3ij/tgmXWxU3CiuNsi0eJoUZhNYS7dWbQlJ0G8ADA9aOeEnNYXzRkMREyYP O5bIAHAZUtk72mnAcgqjcwlBTMJfOEFIu3CZ3qEB56Jr8rSNy74ifcZTBdMdDp2JcoutKnZlfrst hHeGSeoGAdU4dwCC+pGL4hxzjPyPAPRQl5eOK5LAjERO7DEXg54Eo4GkwaUMiun9zBuo5+AddYlf TNu72qIKz2/icT1D2J+uBOeLkZT4gTCKIdB0djrzd9+glwXHW8vgJogg+BSjBN45moyKvvbFBVLn XZsMvMPuyON5e4iTNtnALLTsVBdP1L5RdWgUnFVY6qrhaRvQT7MtFzCaxWgctIWWnqV+LDVsnWp7 gC5ImBa/mi5ns5j1gB60QyEj1bxIPIlK6n3sYofmKn8YsQKEhE1WpCOvcLfo9U1NPv2Ssac8443B zmH3yM1Ky+gz3TYlr5Ide1YIR/JWAMKztxYiG3O8WtR2ZsLgyURMxetDoLLGnt3FvFBmAx7Z1lzc 89Ec39KNCdiz6ITWM+MOblTBo0rmAryv91T+CGG8gQYrQvO4IGMaDB/2Kb/5ruBHZEqq1XFd/izt f6hgPbD6Y8X8vYyCURe86odVP9jfrfwyJHtbmHn6RSsbEprqrncPt+0Y+JPjwtsKn/etLZ26ZVqr o8KfPVVObeYmCNHj99sTHiMug3Ivn5cvAQUZpDdh/UleH5wE4Uc/Toy1b2Q1TPYuJAmKJCC+S4xc oo4hyiueKlxEmisT4IVFtqovkXRfYP2qgGJT76phvjkqlGXPA8waolShc3Hj9WS81HiVg45+djuL wo2nROIkf92U2vBT1gPVFt5c6FiFLUeLkrs+ffO8agF2DjBEad1o+99krTUwBHTxxi7MAPYgVdRq 3BlBu6c2Drs2PsQJhg7A0CyQTQ78j9dv8swrpOlzbfBUEoxnNGNzGDrpFvpPPvzUy0z/qb+eMQ56 eT16UqNAI0uRtKK/UW1Ep1l6rfdRDhJ9UXL28p9TP3qatxn8TvhVPDFTP+oqRP9BADl/LD83N76U CM3TZgwJ+J9bQDJCHZvrLra1NOOmzjgxCbGKmH2pYPlJk8gMYWxXqGCPN1rhnBYBcuWmbyYMOBlZ le/joUvt8mRNenEfaQgNQK5HP9+QBpGzcCBkv1yoN18JZ9uo7k+o4A7to40THpDM91JhTXClAByp 91D+5aBzF5Rq57uauJ3HWL7I/7p0RxufnHv/y7ka2FVdQZ5iQXStS3qNZFGgg45QSnykKEq9svTe 0EFFHW6yqgUKkEb9HptNfXuWFjb+EDghJgJo7VZAThdDVSBz/W5h34LjAhv02PdAuBF/JndB1p2r nd+AmSOsmZVXNAj9f5+rmIm/mBspxbboOTaZbTEMC+MPOOck3RV3Z2RqRQ5TdwTHvp6K+1UpWWU/ L2+R+R/9JO5Epx+xThmynbsuxiVdWwau8VNyElCaSc7KS+ZhnhwbVR2qjhEvW9+OqAlPlG4s350T J6bJgQeG7wqJqwULI+lHGtZwGm6Mkyl1s6/Rg3D3J7kflHIDHCYePIOUyGkNwWfzE19MSBWyMgN/ cjosrlsFcpQU8b1BJ3w9ZFOOjy2dcZfX+z36lbdQfwiBa30KtEyOuvqnS0EUpudOeAlDnyq4c/iM BIz76qGHAr7X+6NoScqqWr9eLfrEiJ6NhwyUx6I4aIpiSrrEd17Bt3KPkWQX+mbVA0vs9QWWnkho s8PIXeCAgdkzkX3lgJWwcjiv/q0a7bWv4RwNrWz2O2FoTSWY90KAyFGNxCLTqIMzkD4tScwY+LiC +tK87r98ATnO7Q3tMBxmpYPzneF16ukC/w8JQPa/d8Gq/EpNhfFrd8KyzPVA0Ormn54oo6BudkJ1 7CGZeu3djll8NyZjS9FGXRHl64YTUVGjhgulIV+Ja2ucxMkkifD97oZuV1DZIYriiQezsv8yq47S g/QXUnHsmNgkL5Q76pHMc1gqUkWFm4vdLXTs/FuiWBeqToFeo7RmoBybexcO7IFBPtY29WIA0QR8 3HqE7LIXI3AYs6JQPlqweLIK7j2oC/eFWTl0PQOyYT5+l9GcbD1x5zDpj1saD8vTdPBWvnf6B00B UesTh28Gohpbyk3JF+KvLHy4BNXu+5Cwf3WhMY9qpEqQ1Fd2iZuiB94+rXPRjKFUlY4iZwh+H020 m1otnrNcQEQqj5jX5l5nEKGG1Ui/N5lrv5gizsRx8wfHZzOviP+QKd+pDkfOxsPxNwzpWC1Q/zJb lVTQ/syYJTHT3ye/mYuFvmybrekvTCve2lI4REBmVtcPyBLFrY4yUbjjX48VRkGs/BYHVn2vHj1K Ifog5HLayJKSLtqp/t3+3LUaGpMVhr/hiYDw+fLWOKYp/RseuUj7IlvkMjo2HPPs9BtROLkQDDmk gZY+79vIgqcyMTTFsTI0LQMb6566b4fgpteS3pWOWiwVr5JIj/aM/oXy4vyaJ9D4O/V9CpM12DhK u7e6TYuLUym7OCDq6MC66M6UrghbL/n3muMRbHe+YC1TMqJseNzJIGS7D4gLmtwAdpX4ijSgwJOh rduy8gy7IbyL9ohDenMze1Ea7mQyqTPq5fTC5v5PFdBkf2NPeYk6d703YyR1LKbg4BuNWbrsbiXq TCIVMzOZw5blGJtyhN952Bq9xJ6vqWxB9WbRcD+8+vVBwUb7T0Vvv/8jZXr3cuIrKVAn/3njdUeS fZ2sVJIeF+OHGyJdHveN6Xy2dLekyO4S4nJbSlY1IyZtiOZ3ceTaL72Tr6HjRE8SDDaybE9Du1+a nnAZeBTbzwLnVomkgWWKURNB+CXl525ynfrSpdl6SCoJEgxKL4FOY46TLS8Zf7tCLRpWIgaMfEDF B7MwnnI+BlrT5sqhO7rKM2eyHwtxml+ZVxOY/4L3kf2S9JfWq2fgE8I0dao23j9YZgW0BTk6IPWM hBq6uZ7RvKEmz6gkc+uQR2R1irYrJMVgZRvewRRWlQ6qHxRgh8qhjQLMtyxVsNezMHIPS7JpdfnQ 6meTkkar+ksmD4FC+6I6SREPUCis++coPsg4NZjRvwlHNKY/1a3VJj2XP3qkRspOPCY8kIYjt9hS UKOwWsHdRwelpnyQ+aYHaqPW+g6P1Prc7++tSoYmYKui/5G6q/epaJcq8nzL2IErM+ORYC/iX6pE wTd2BF3Ot9rCMiTTv5rzGLy6f4ckKNIjqCrK1DlHCrBCjf+B6TCVHUqI6s8mlqzizORcdMnE5LPC 68s+cadCIFzIPVq9XWAiselQxt2p0c4VNQOw4ZUacJ+mPFBYIXSppbRJFQ6ShPODDq4qG+f7w97T AjXZkgEGKeZZKvDoaV1iaL5q5emi4xQbTQvi+prcR7xBlyalfYnRWIsa/Ug7zyNpQia4w00iio0h QPek/kV/EOpS/z107OegpYLOcdZ3y8qIl/6p8eZrSgaD0Ua93nItRMvAk7kjS7g+ZXx1BK524l1N 5/S5MGXu2JEXSHGC+y20w6y0QwRuOaNwpeXuYwKXfUJz+p3kJtm/i+XlEt790QMiMdzJNq4fSFbL Ih/I8ox2o2+Y4cbzuwC01ka/ozgQOI3d4C4IQNT7Kg+JGZkYNmVtSDXEx2XxOUIqXhqWxcItvtJs 2rCupq5jUiPEuD+EXbpMNUKfe3YhLyac05sEll7fMRCRwUDqnHFvcJV922/dElXM4KIF/yPESrEg +x5XGWtvE/V8zqBOdDDRgxHUzeKTI+PWCSVFOI8duVS3OD4Q74QoxuTwwwN/DZt1KnNMQZqnl+am bDF5TMo101/CHGiknky4UoVRDjvVhqlQxzEW8AcOCPXkkjcg+gryvtft+es2sXarhdsYuPLV03XD 6hELEI0LO7P6qW+p9QWfkHW2dEZzZ3ta0/ngOCnwiwAN3ud8mmDhhd2YaFO6HvFulWJ83ka1c800 FHwCRbzsnjdX9P9ctEPoFj0Pq5+gybnzI0JG2MFQWzIikY5QwpOoG73rAqzBQA3dK5rPwk2qF+4Z LApbkGTvTVzwpjxTIVtCII0TAjIDUBhGzZcBPzWBXeHELclWIqoxzKEW0K2K6Y8M4uoyNfDoNbRS NXC9DIZZdqljfEMEGJVHCuU33VxWpqaZQRg1Y/dXmwv0dIATEpJQGUovJa6kGWJVs4AqilJEXkDx RKg3+Pkr+pkthxM4L2iWSaea9iKO/VtUrJdOAwIaf4FKc+JUPKPh72TKuT+fVtNED9M6eC0aDBHE A12u57te1OCFF/bT1tpSVcCOd1GjXMTeH7x9AF1+xdRQCgRkBb5NbVunehn+PkmlEF7IkSBCH5jh Xpw7YM5BOnw385ZuzarPxUWHKkJ692R8adLIJhUwhROU6uy/VuLBuq2rN5fW7dhWJp2V/FCP5Pfp FjsQgWji8E3j+Ruva2FY2ZgqEZL9+sXcNwU3iLHjOdXJ8+SdY1h8rNXW1drHxQd82i2OuBp1ZeSj QwcBgLeurX5G98z4KsS4w7CDSimdIrGNhmL+Fy0HlwNSj378sey7k5sDHcRs4k9R6WeoN/ndK6oE JX3pAELcw4K1xvJgpe82kwdwrAb0bWURTlZrkXU7mVtU9DtHzf8ePTxrxugqz76xLXOet/w/PANC DmBfXPd286jzAzt3pzBl0FZUiA05SVxSdqw05uO7XRKs9Hf4ykstJETsIP3RqaveyZhZMD6nDPqu G3xOcGyl2mLskNpumKnYg4ysS8ml0TynpyWfFSPjGZ21x9fFyqoBXRO2MKhZasrAB1fpX7L6VVUU hnA6zZheWsd7zoS357GZkUySXMbXOVe3Yi0mtltR/PMMYa50eL4Pb0gNMT8ZR/Z3oZlAQruDurKI oyzh4D5ubOsbeLn9MlO8wp7yyQxk7XWjw188uo7WPebLwDxnQD0U0OQOlkZ4tvGG44fTfvZm6ZWg U+kapItu9I1IbzdrdKGNjAoLc0iAcqkCtReHjY6fKgwvvUHpZXj4ka0Za/+ZaU5ROziiyot+dfjp NkYRH/trGDZNi7mV0Bf74K7je90/PlAybUWuPGsnxBmbr/8zAJH0K9BJNRk/knqezcTAiXIYE9yP dPAo029QlFxj+6S8WdNNzNtmDl4RE2WR9IlOxfl9Vs3toy6YtseQgvDA9AKeGLJN1Xklf7dBwTHd 2eYYoI+p5y8j/theZ0renyH68vfCOjA8dABxBJDdqLxW6/o0ABaG13df9L4LLm/PndoPnF2VjLh2 6lhvx5mLwZ8ce2sE+e/z50g8zRThEstNjFLCRaiXP00D/KzzK9xE+pEnLMLRYan8oB6OEF04KzYm 8UJLYwBF6nNVTbAAXbYsql4xoL5vvlBP1qCqGTap9LMBip75WnU0US23MLJvuDQPQE+fSpqO+6+z F2hsiG6yKBqWx5/PF4PJeuisaieOLaq8bAeyGtjHnd/ejkZuE6Irq3Mhx/oqV2Sm3Aq9JEYuVqHt k0QmoAYyOZ+wgdZtWEW/phzk2fCCv3c0/YQI/sEvs/WB5PcLWTca9y81iuwe41IKcq+AeqJfUa0j s3qVh28l7RVIo/6JstXib3C8waLykMoB9bnasuM2hUmS7oqbNaTUT/0ziDTmkNRsajh7cB5ZZHov LFq3AJnGEcfx5riMuWF/ZkJcGZIDJzGcTioBz09OcljShRmf8RBWkOxB27Itc0F/ph20XqgOul0r f6j/pFbo9gHygVMVca5yWnFAAX1FNk2GgSGpwfZ/YQ0nFk7ZneesaUpbAfEcL4ENnJwqt6YKTD26 /MFRvzaWaDVm16a6MM8MuZC14C5fueTh/28DXrfo+xEcxw/5LVPe7curBDMnMWKmFnYd5sMQ2vs/ lIrdOl9qkdh109XjIekkUKXZHhHgxb44AzK0weGfQ59I22CJi1UhNjiCNhNgtti/8qzyDbe3ncz5 4gIPwaH1TpFcMkoq/522/7VAzCpuKLgrZM67rep9mLv+WmM1SrzCNjyuvRD/mC9E5kgUFN3XitB1 qPce3cs/BQkEBnNw/Kjv5KtppzXQ+uct2jlGnSkFg7r2AawOeMWWiV4Kvb3A1S0ouT9oO6Q2az94 sYYh9tmyCpPcSH1+zCjcpO3Jek92k+H1FD5fppb4qR0tHvEx8QvRvpS1b/mWU4ql7BAWzbNnoS3a 2A2j8sfzeojgbRPu9XADShEBnBc4yHzNI8Jdhxyqed9kPlkzFF+W/SkAZCH+sbJ/z3kpFLDJ9+1D V0okjE3/rPN9O4OV9XLas85zXMHzrvjJsr/7OrgaemV5MT/HsQqzTdBvTFVk+l9etNcZOnjwkNgO ZIn6eYtXiY1ck0med957k1Gut6BDLajwT0wfT1qxClMQSCgX9fd3eBE4C4GzS5UXIxSAHcXDqkUp EXSuDrVr87hfe0A2492haMy2W6g6pIdnFpyYz4hfkr/MgHTecBS+2uCE7+HT9tlkTnpY6xANwOgV QjtU3wBo3Ghx8crPywCSgzGBQ4dpo3jcDBNbqvrZCnxgqJ91ryE5bgFRNSxRatWpsE4iikwZ18Uh 5LGpEfbICgg8Ae71lT8/oEUPWncfL7LXSoAKYU2lgVz0N+DROoUOF8PmvRTl31Jkq5tRuV4dY08p 8b88pbaYIHazUN4EpbzRXcirnJ/qvSlv3oL6XdwMpfZNVddd/lvKSnk/As/9+mcNwQ895C7/skfF quGt8Pa0wsE+1L6SoFK4R5mnIiDNLg3PbzEIV73IS6FS8MC4qp9PMJZjo3YjDQ7nORieCVIqPpOx 2LkzG5rv//Q6IoGkKReZVGe39yVzLiWWhnHiZxfEsHpd6lVaegeGvOGAHZ6EWrfG9tzwCMQ+uivk VoWFnbXeunhBnYTidsgGXN8wd2HAxQX9+0f7r6YQF46G0rrRHuQ8XTxONsxo4Djpw6D3TXWmfF93 L5tAMtl3V6VKbg/dCSsWiQ0ets8xCtGcHac0dVQAdaw+jpMQC3ugBLb8jRFWN77jAx1S9nlw6ISP Mee6Rm7Q4kJnVMZY8vF8P1Z/qatyHyo0am46HOfKi6+mAzZlbOPw2wJQGhT8/UHL2NOHgsEr5/7e Do4YU1b9R3OHs850/so4Etv4wkIvyur0uQ33wrbWTb8+/meZueiikvb8bXo7WAz5cfECGeAohoHy BDxDURBK2r0Q6ohs9s2+kGGlmTYDNyJOXmzEO9n+cdhkJ+dKUcKGcnOTvExJSwVGyOpAjgRPjkEl txAwgrZy3H0B6O6MFXcvdCLpceCaOi/FiLsG7ZxbORVVFHic0+E1eCZ4Gq+0sgahwxxUWncx+DQb LqZnk2i9jisxoh6ZhpJZ2+0iEEj8BrI0wh4ktq5ILGp2FZGus/0/rzYYR6oOWoCBt59qNHBqSwk9 mZi5VuXzIItdwJSAu5+ivVsA4aFCoS3zW8fOUxnrha9C+xVJ7V3LKEPDoXcBzROdu60WYQmhjMNQ noGLurlYH23NEHDHMTl9Aw3AJeiU9yFMkdZaEgJH6UeqZ3lNAHOKp/tFNyvbKiKVaTmbgcdgAU2r bBk3mP2sH6kOfL1dzeq+aetdLc415KKelJKhjRtRGhrmalG7mP2+CM5qn6+tgyghu7VEYpfwSNaU 4VU5wEGRgsEmLKU84C43qyf1AjZlump3rEKRDgKPd3hcTNvqgp1dgs9yro/tYkf3PPzHhryW2zic d6UgEi8VXA34Gs88nCChADSAZWJ2UXimuGfWXUF4HNB/mX4j8on1kdwA4bHLltFXIDN23zbc1yTb /Mt/5Wcfn172nXgujOlu4l/UvnsZfKHefNHF0tc1PoRu/9OGgXdADLx/8gl2ogvrGI1xSho+5s53 aI70S/G6mUz2BB42sQ0+WCmuPHrCGPV2+yD9FEwA7X6J0OjO07wPqZ/lLPW5uovnSNyOHgFJH00h S8HLts5qqG31+9H52PAVIlsy0bS2/xLdL05VhNnjrMUBFGariELEFjC73GH3Q/py6rNtaBhv+fK7 m4DN8Vmq1fIv9rd6gG+Z80X4RofAvNNMdcwCM57EgrNjS7Kam54cw+6LAHXSsrx0aLJ3awgLMzKw fR1yIRXIZNXXde0oOE+H9kiMyjcBlZGd2edeqNUMs16Y+WzTb3Ub90+w/C+HO70/nVAPnp7rJUA8 kVzqhR09lXiB8+LG0mf7d8IT2udzdmIlw4NQdZQ5xs+YWMKOQZqDTANOPDf1ZpIt7bFh7PpmL57u 2vgYzk8XsnLUQqfAo/d4IGVi7hoaarIzLFQhWpLcWIc0g6255vXpPUZvIlaXlw7GHo1WYYn59gs/ 0JeIR9Qs5QUtq8a2Ildnb2JIS7pS3EsF+MWIbQ//EE92Q8+exdnEru3FjzAGmGD/1PH/9fvLixaC EOU3XkKEhgikYikxuI00V/ntb3ElpFkmjs7z86Fph0dMMi459OoOtWM7aXJZN9MPcjw48/ileLlU QkCMPuKfIDPpg+r0Pk9GvVderjjOE0Gw27GJAi/Ih+8Kr7ZI7TVLvEOrBa6Okb8ha95GP4VE0NgV U06aqobbUmSI3/bY/uXPJS10SzXxrSkTaqyiQ+AtgYoluvZDxK+UHzwm2zZ1Gbn4wPKYb78zbnR4 oq7Deow6Q8Cvldl5g0mjOWkH2JbJYqB/mFvmwz9noiBbLOe3uAhoOGMrlTrhJH2k4WsWbW5ev8MT LhOUdm+H1Ygy0yy6iHN5NYjRAmEKWThH0duKs1wibfiTJorbENfjHFPU1XrKHHF/RdJHqb+qF9Zx E5pzN4701dnMbF4fl3+KLL+aB+zakKs0+rFP//aeSHmmZ4rOC7o8/ubAf2HwLDcDmsqn8Q1urghg jNPI+J4yLBxZRTtBRajetvrwgBkXGpkMJrBHYOKcR0maO2+autp4UwcLa3jLFM1Svg+mhXWr/hWW pZxZ+ofoYddsi7/kEWLgs/fY34/dS3woQgS9pTXdVeUIN85x5EpRgLmoyne3PvTwMCXSbb+pTIoH CobObdbmdGHhrnhj1KfnecAB350BWhb/gwb2AGoHMwCcS6MtBhuUEUf/hIOOncMbpV0xXQeoCXOX guKdcjdHQrz2g5icK/VIYN3metn7J/2tSOpd6xUdvBBF7up8XrDUXyk5zAZqN2GkBpXfnJ8CDPm9 f8BHwky9DiEy2mbxfgRCNmDDRYY+VKArwlmFc2aQz/oneP9Q3Hf5aughBMCxv8YpJ7uJY1aMAgp3 zvnvxmEbSiORJo14Gb7hLONAxoUHxEN8kDwxRnIk3aJIzfTrFAt9vRId/UJ8sVnxgcvkb3PTkDZo knr2NfBsB/VRG1jJbN+mMMIyRdr87qjtMutH6n1QbDHf4VW44ikBHQ3NYTyDvIR9efjhYXCLQvpD V0aqH7F4FXV1WKjLiM5bQKEP6hXn2TCW1dqMH2C91ST4PyfrwP3mVXLQ9uqprorz4kdc5UD/AeBP iohFGK4U1k4uanEXhBhtBgQ3zQ96X75yoluYOLHIzdgjRI98ZLYoa/c/4+Wr/a/VT93fStm7I8M8 XMmniyoOudJwRwwlH/WS6dneTcGK26LprT4OiBKrk4sfk/n1BdqoiQGdVJ2Zih6iCvul3DIEFQcq yoEmgxz1QZpwlXhKKmvZU1ReO+wqlf1k+HjlgKDdViSeGexBZdnaLifT+iVotXya3YKmGhypu3tS EfkjDVpaP6ibvs7CEIXQPU1LVqrtbtRQ4MvY9CKULTjSAWKaEZ394E/Fl0NgLI0erMvqobTmN44Q a+64tyzf1JYRdtXll+pWjiWABEyTFFOy7OHAM/l8+EKBksqF2UQpgkzryjl3EdYnxB9345t2H2eK Q27k24AO4pZ4LFcUZCw/w3qQxQ48Tgjmf3XTi0yeqbJob2kweDSXzqq5fPzxau0NsTALlUuzrAQc IeYve1QfS1yzQJVpztC8JSqCagi67dby2rwDQV9IgY5blGIyN1FCgFJLKSwAUa0nICwxTFdAg35l 6xaameB9Ov43sCi2Grlt4UCcGAJ8YJwZjgm7zUgVup/t7iDBsoG+ipxpB1NTnmXR0lq0q6rLdS9H siJFUipsXb6S0xgm9+AaB5zWb/u6wnVOx3C30F2dL7rT8dCogPdDNZDbv/lyox4IOUf2eqk5gmQX xYrR4p3Cx1KutqRZZvX7WtN9JuwFMMPB/ME4jCrEErr7VZVL5ipXqM3RlXcotKwaKcGXYPDqZrsB KMwVyqneDke9BMNuzQhADtqEf3FTm7gSpbPUfzv7gFgrJlNduJokWsY1OmgpW3VE5UjzRcuMVjmY 1ayz7BXCQIzT4lwg5evaywaTr/R75FQcbIb493/ZjZ/q2PWHHr9MPEGOLlcQDvzwLHdvb0OJWXBd XCASUC804/G+jOj3oKVQ0hxHAycxDkN+AsEjigetycyPM3llZm0Vf0Cwq+IMHSZPn+nRnb0fXoTe 3yms50NLhDhm5UQH5mNqSaD/cCzNKjzhjI4EuPbmQoG6E/35Gq548zEMxT2neI+bj1xfRDxV8tVa ZIlNeMDypxcjAEeYQ70xIkF5qf4GQQsG4NBReA6ADtWBGncRFbt+XfLsRuaUtwd5A7lmaJwqpO0a HpdwQq8HbFKM1J2jO00iFyFUlF4EXBIPr5ro0ibFKgFvICZBXKde8QVLwy619nQx6gsyplDNLmhN E+sJlAZlxCJWRVz6tyJLPz5yH6RqhSIsLL9ca/UDCEogc0Pwhvz8ErDFdbY7v3OXHloiTdULSY4n sMerLSvwUV7nnP9FAMRe609Lts2mZTQfMC3GPOgXcHwDCXRNxCA2VCHpOefk7R7/m7ZhPtyWCFFq 7afi6HDwZXQTBPKjZJcnEmum1qQBF0VqNsRJOercSbZXHZXSBiordcvfd477CGLewnU1XWZZ+iIz /OSxGqoy/P7rrjuY8iObqrS4t3Panz9MKwNU+yXWlic0g5T4iDzfmNA2+tl4g5UJwtMtGgjG3SNg 76oLs5FR5FCGMcuWiJdZQ3kS+pamori3HR8HuELsC8sSC8fGMJ0XwH8pNWTEPNtVrj5r1QcEBDku 9AnD6rQBhtPeTR0bvFd9ZKM1Ul8RJGqa1vVPLWiJ1ezYJJ6uwhpsc1cKzyObDOMo1YeMsH1W0X4M 7gTwZyZQDASfwfj/W98bZtsCD2H0HiGAZ+r2ts3vi9J9k5yAylAfzV2g7ELhcsFQaFvQMZgBDmFV 2HfHJpQ3vo41fbYLrUjbGH5H7u/wX61M0voovGmlu3P2h0ZtW70zvBsVBc20mTEgHB+/C2kOkHZN x5MvyMG2nBgojGtc1SfuxQnDZX+NuykUsqQaEpQa1pfYwS6JHAmqPQdNtsPSczmVDHwSj/FegL43 tK7lXcwoYf0N8MRIcleIAaqajre4/IF/etwarsaaOHG4ArO/YMT7zHZ+nlrjkZn1NesL6Cn5fF+v gzy3HbLkEi1lUQEtF5agZShw2KmA8vj0+hiKx4CbIXBUYPe1tyQP7eiGxGvndfG2Dridv3NLpweg znRIOXDhNnGWmrm6kF3VBV/DaIPReJkfZln/J9PvQ/xbDnMb8CxP/MRAZAGbySUd4jsfWpsTLmuQ rFaXx8jbVOdwu7nClsOiBZqu4Xpo5zr5lWLK05w+8G4oGTGhzQuh5BjXM0jPsUr7crlx0Mry7CG7 tfA565bkEixFFVKx1mAh7v9CDnycFPNliY/UtisqJTDrh+j54vyHHgqNDw+ZAz1n0xWbgaMdtdOW ImyxNfGzapYBeD9eshc2fXZMKA4QTlx1ewusbkJ0fcHZ2gsI+vdfhqMGRiuuePa8FfrC1E+FZnSm sGb/Vp/G/o3G8Tfu8PhUSK4fQzf8lI49X2O9NL15MtwUVAcBmOQb736STpu0KCA8EQmX38zmsgBo 3l5GdhSw+rpgFdE6leUu/b1AhDRnplsDeSKvlJQ3r4TjVDaT6jtBBe9B98vdXb8OPiGQsW37efza zKMh2xSHQ4WGIIT7wSwvB7VhTWzu6H5i5PUOstE3gdcjFzW6AiDhc1RPVtOLIDpEtT7kz/AmGWl3 x9i+sLkJWhHYMFAVO1xO7IctgRWUrxG/FVHKAoiGjpGGY7fHaeICb4rnSyT8gf9tygiKMTNy0at2 NEVPgZU9zy0XbLyye/2JJ1uaj3RvTvVf9VmWY4hEoYgu11HT8V2EXPlbLnAiOOWgmR2euC6tt3U1 G4Thsv0iMMyVbEWQaboizdyG7VTwB7GKQrGxxDiefahvtWULiYLpJiMwFnPRIamBgVa35uRhI6TK /bYfuuV9nx/XFGNZx9oRcLZNfmiWNw5/yXHp2sXMfHZu2C3oLO9JvLS2o0UmQMmch90KyMnPj3kx BYaRjct+mr1NmZX/aYAE6beGKGyJRoyw07KJMzn1S3WfD/c5z6uYDwdlReAVgydUG7/PjV+4VwPX H3KY73rfXRv90hLi0F7gFQjSjStZM/egFN+omS/t6U2WP7fCNK2H3g1a4BxFrGzenZ3rjxzgxiMq M6YdsriAIdcYEWq0TUSypj+50p4jZUVxLwh6gkPMOWn+six/mz4XS1JLcvh764hT9C3vgVKhdfAH kxUJ1jhHpOW9q6V31GtzqxdD5O1/qVafy2x6d+XCjAD0uumhGUUETOvgdHybKMoOQdTfragC2mn8 jdPMs8U1O7MicvfrxwF9k8b0yvNa5d4ZlgB2HCa9apQRnwzjqsEJrtlyY7ubsBvhZukvuTwUXQlb 6aUuhMHkzaCnx0Ll8rWhBKWsdoliSn6Ya4fSBhV7FJcx5D9ToC0+8T9wG1Fj2nb1uasNp0EZ1HrC dWk7/zaL98B3Gil8vr1B4inGGttun1B45mDiZYuASvLGgkyE81KVnviMFrW+6lA9MUKY8yB2yVP0 4/Uvb2bubTSmWhqcX0yvxuks+B/92IXrjqSDLXRAlTKE4l6Umu8CXE01p4YisE6tACjWavj4+9pv cAgZXo+vuSX3oVw3x5khXbalUagI+Ain/98rS9SAE8VE/cJ4LnZTzx1nMpwALsiw0cdyfTCm5OwN 523FhObuKwjOX5SZRWmz7Ko+LdsxXloPIBiY7Rm5+VgKyTDg3DMocj67Bp5bHn05z9KnWAjk7ex6 ZmAKb/Q1xJZWihjh+Ce6n+YCBmKKgXYPQW+1W5NDUyTzLWgzU7SHMOkEhcpvWhDh0yKJLb8Hibum 461IxdXU7W6LB/8nnS55ao6Ybwa6sAhljJLJ9qLedtdpKaG7+UXpmLNIqXcx2+6MC56/fj8EIcsB /GjTjCYopii25WCZ+GN/GlrehBBxpLXvLuUw5U+nRNWED7DQOk5EPWP7IDGzzEPq06wiOL78enZy Mi7eyXg+pNL07d07dnb0EcsZvEuSdYM/JkCC2V1N5B1XqA/9yf5Uy27f4rwlLzEXOcJthd+ebr2V JjH6LEeOz2l1P8FTNItgUHvbscls+n1QgvSuztlTuwKZBYsGzsQgnfHTslUxIh/OzIGlOryirP5n JiDy5HrdcVxfnV5XlynJLSW+PibYaJY0q4ulB0TeihQdfySBNhV3G/4TZoMWeS0lTsF2QW3qb+o+ +x/8gPhhXI2GY20PSoGpDQo1xCVQlhI0mu6CohYIOuvgTrwoGFO6G/mijVeIodg941KZb+BwPnKq UG00BI0uRTY8gk4S7CK3VLYfRDSGwUv5S0g/1DaS3TXdLdBjcGHL4299w3ex5PsfwGiulrr9NpML 3g7Ep4ltv/5szLeZgvS3zUjTFcS26gG8FD9b4RuB7GIOeHm0yimHVxK+l3kxoMAcGMPMT+DmV2k1 ByvW9OP9iexDbf/vxPmwb1iYuEufhFO8lzu80Lmjxf4dQBo+ZD2m1aBW9CwBCHnUVw53VWmXYup+ 6wEoPlCvxgeoLMbRYvS6UQ3ni22n7QwMhDfPKl5DkmToEcsjYiuFgGHOdyBynzm+XibIYZFJjqX2 927L3eFyfXJT0W2rPN7/Dfe2Rlr+YzhjH+iqwGkAdPqk3NAl4n/mqbWhwcziVHojHWCTwLtz1yBL jh/GIiH4xDeHThDSkD2AiPNMYksLcLMGbcV2+dJnSRlrWDwpTkt+wmSMtI0VauUnqiYUwNO0rqkm u2Pku+TVVDHFZlUSAdimFtKFdP8PYiRkamiQhJXA3mlZ8SVR42aHZEw1EW7IO0x7FIMo+JIlhVBT TznvkPru9ucdmIQhoyw+OswU49j8xgxqiVJfCmVygrBecGH3y9HD1sB+f7TzvUY/ZhKii8VQGWHN oEhtFxF5+GFI09v6txNIOIzBnqsOme9mhy4+Hy+E7OJ3sO7MYw4o0sJ8AWWwtiL4m76jf8WNrZYU QTVu4Hc86ew+MkvkLSVX4MNmb/2jrY20OpEJIBlgDfIDlNNpSKTe3Ed9grqimlX9G0m3FHRprWpp YWGPc+d1R8YyWcW99umHCOyFDBwpAB9Qf0dYGrxJ1TpeUbFNVVJZ9mUbMSocotxOGKhQU1AsNP0E UHG93bC+Pfy//8aaVgMUMDn+Yax/Pp28m+tXBnhC8HB2Ol/4szY1Eroxcje0Qcg+vgUSGIHoVTkb CcwLWpIIRFvWe9n2aq/O6ewFVT/851Lp2KvN8ae/d9y2P8mhp9DLdJLhtzIwE+qYxRrvrqqbaE4J O/GNeXReKbt07DkBkeal+pNDAfEWt6MaOy3uulaG3HN25EN2Ajz1FHbpLOiykwaNdwvMrI92BOsB gC6X8ZoT/Xm2IxxreGkfdDOdYB6Jn/ahppGoeVoxYh7nIFleS5JSENTxlYTyEjqduukR49zUWMCu rmCj7v3djwVxW38ZXSCIP1qo/xD4Z8kyPVwDJsVVObBFnH7ANk+Uym9N3vYIAW6RxErKYj9iquYB XsHgKvgxc+qYVZEGnlFaMdFsjV9sctxBQX4WTrDGhnKm9EyL421dxf1mfGUNGC8iTBWZUkAr8cJF sIVsTQTFp5W/Ze0BRj1+yj7fYVG+e+kUh5xis091eA9LQVKi/+h4LplJm2zc68oy4zial6Wb7SAI HXhdRua89H6I/+LUSY4MJVjdPDBQroKS1fFCuE1YOVP9V1sZigAjZDuscUcK7y1T1xEbISUipprA 9Ga5GeG9YuuDGIt5chOAmlJ7Os4V7kkzFGSzd4bu78fmF2WtGGRh7C3NKLIhTojwycgOKMaoVzUq KWnrHinyzmxYvw8WY2K+zK8R6t2QCD4V3azf1LAzs/qQDFP2bq5Ykok3SKtpBpe+ufottliNg2gd gn8o0ti7Dso+4krtklmmNUGGYuobqPaWlBm4JRqbNtlZ5A92wum3eYFoCUMnBgTvCUL0nx6xllqp PcnxgTDfauz53T6dSz/n0RHwYM9r9g5OySeI048nYpQqxPFAQfPXl5Uml1dfaCTm7oU5rvQWnamN BnVotJRzEznksT4mD6Ss8w39eYvP2uo6zof1y7FqpeknDi8UVjDppuyjzHqt+wXky0h2HIqgEoLU ZLBiBiHM6cZFh7eTOcFyBO+Qc46SGvdUiVmRcoHzVgQi4V4t/O2AI5vjEBOabxfndH7scxTMpHgk ltfm1aeny1SOwpIPiMIxemPEU/DKSTgv5pfUbKCXqtJBGcCJ5DFfYJGrYeh0BuZoCsmOp+fUDfxD vu1q+qXVCEnoX02DZ8exdCVfCtm+21juWkatfhqApNN86v2iWOdPbz58dj5HZnpgzp+7GzD4WHL5 nVl7JFQrQlqaGXHh54yIfAVb6n8DCZpKbZO1QJO67n3tRZ+igg0rUl1vnQLfvp09xBVCjrEeXfbt Nnwr4whh+L9mxcoCDxFNwr3vHeaLPOC3gx9k4ZWVRCbEmZxRMcwO7WS/1dPJJaUT3ZZdVj1v8zub oGyQ7DQV/rdI16oTWO9SAdPobr1Ve5VIObxphnmJwhAMTKqltDhfNioPnqxzA5CVGiiotWFoHdm8 q4Bf0z/2ZitWcp/BLlSd4gtyK4GIQZdAgI9fC/jVVkXusH6YYCqr96FyiYue1gtdRMvPtT5iUxwA 2QTJSEo40lFLptwyf4E8I3wjmj5NDDbu+lQqN3ReADlvzhQ6DGPVqERJhg2CtyWEldNiCXq+35l5 Nmt/NpuRnJzLmmftw0M+9dWWQJqn84Qx1P9fXxPpgryr1fcdC2qFhkxs/xMga5ARSyXUBCCQqUfe NP66xgj7CTZg9h2a8f+dyXBOZHeNpS3R1Y+bCx0gzcZFdSrIDNiUMTtE+grepSwdgiVk+Y2a9IWg 0p+mfT6IZkr6L0VJNITDXWZ1oWGCx5Hrb6dC1Ux07rbv9EMaNW8KiLW3ztXVEzUhz+aZO5IO7BmO NQYx+jep8BtEX+w63ValIs2yZkHbt+2d9cdaH4jMMIo3c0AyxpmpfL3rsGvD/HvD92sl2AanIEOM RxqxeaDJedwYolUWRs8r/84oN5EWbEy6QhJ6Gs1e3DilV0iSfJxFlB+VyRJ1godT8zgL8aqhfj3A 28p4ocAB2hPp8UF2ljXL6cMxAT1WdAaPn1QcgUqkNRmJUoUGBbzzfqF+pQdlyBaV3dy4RgwtJ2fa /5jTHtcSRNwIlQC11Q313g66aSEbX5e36Dvf7sB9rYMU+ZP3AtjhDqa3ce/2SeGT2iRKdJWMaB8G x0OebK/iXIy+vzHrAu/m//iAPPscuP/UJDYMLMunUMMr5Fj2yW9pKn7/+X3Z5NjQq/NNk0vBa0gY 6o7kLPgTgBLTtzlwOBMgtTdrqLhm/5DVN98yIsujQTlziXxCUoVTy51ZXDGgfmadl/39dFeIaIrQ uHx2M+JbkY5+Wjz/fDudKgxObIkyj14o6i4Us5nCd+adYoDXGqdUoPByAsWxvFy0yjo8wCFD2RhF aGQD5YSo4WmvVHPIAjK29BIygRE1vT2V5aPNzRTdpd9nxM+FaxNfRCDJuHh8FXCUuxF8MHT05ll0 7Iw0oOv4evb1DfEUC74wPgivvG/boKnCQUFa2+2C+xaFGmBDl8tahYDkOTrna6E7EBbp+lBnoj1/ KDyuqOSFxY4Wye5eKzRPpJcMi644w+H/HTcYAXIZF3NUnmfgsaemixdfH0i3YULUWhoXIb4ezHZ5 cId+5wN+hJou18+K9fLp/UtQIh5RIhwhBk0Q63p84JUKlxAN5+Gk18sHEGyXW+o4KNrW9H5ZOSr1 yXV0ZgynyGKSKPCe8i9l6+MwJZi/qWBOQbpmulf6P0G61dC0RYKn7DRmkxzJdG0OaoglmfAXQpNv +UwprsEufO3dH6KVkhdTcB3AY3PWcVIYA89NC5LMwIDub41tsYo4vXQtUweOo22pNHi1uZHtNAHs l1H9abWUYLSKxSn6pW0QRy9oZz+HevCKcnJYS7LVXNm1BtLgH4wY1GmjQnBaRQ7PnnMtiina/qOC T3KHqxpRZZ7Rd24xyaIrTGHbokw3CSu8EEqXyQAyYRaZ+c5LyC2Ronh2x/lcotLTo/KXmvu8g8FB E1na2WBnnt7kKBvZp7SWfnAXPoKxX6TgF1bRr0bndbBr0v9En3yaCACCZKRKeBaqHFbnIxuMw/FX 1tRBbMoplpaNsj21Y44w0jvdivsec7eTFfBfKBz0O9Tw8jVo4HNuad3F+8254jIHpcmo5cq+r+FZ pZmXCPWiMR0gV24Q8TMimdCvdTZJ2LTwn23ZitPkDOrP73wFHxKjD2xxmC4biDX1e1dYNCfR3IYl 3nx0Lz1r+v0VI68DPxouKJSn3WvXjxXtzlWd17adiNFthXD3BPWPOb8zaYyihZMDngqD1G/ELcFl TkQzpmve9flrutH24sydU8AAhpAAZfNE4ju/4xpJxFNa9jiBcKFJIqIdFs+sXUHKYNNB9XNpzxUK 2G+sdosR+tfM5Up5wV2Ylw7GSxkC8XBIVuDfqKeaKbvNCYaBIs3SqLTzkZZ7Iijv3jKm7e5zivM6 z97binqFt/IQxlWJUwJFqNYJnzubWmybxQ+fDq1zAMnyoSwnc9j61bCvjeNOcG5Snoy/oYyAlMn1 xHQPKpVOdVmLVyulxsr6La8eCMv5uaByc66pnGn3rbMncjPbsVcuYCioAi6/6f/AO3qvF/yhazHK lWQBcBwkXaNTMzdRP6s2XIUEw70He31Nb3F92lWwr8jKh5NrY7bikVCKJlvUcmbPL29lUAsjdFgI PiEIgp8ZfiMMFBEc0aXr4hwDyzVBh2DjuTvXHPfZ4mqd28kA1zIEHCVVp3+aI7xnWIgDdRiD2zVE Beox4S+88rMHWkGVKLFRb6Gy7+dxn5NqtlNMsX5QHVIEAeZOiBvNCDj6BJj0R+N+sKhMJXlTluow AWiDLNG5s9RgOvIZ6t6VKPhRwpBNs24l16zGNqxGBT2DNUMR/Eg+6FW2lek9LqVXjpKdXhu7FxeU 9qZoSlCKgdn11PQ0ohmMLsqcBC8VkVoCE9WvcTWT5PYv8CD8Do8WqHDaB7bB7YG3LdAnF1d3uM2y mALcRHThsaJ6glRrQtuFIrX2cZ9c5lJIOYE3xj22yrN5RBmmjicucZjaFZhxt2XfBLuo3Ti289oe +QNV7ZbZz5nJuKdf5BVLyiofavNB0gje2dqFsT/pktZXEyxhZepDmOvxvfZEFlvo3Ms5zhxCLcUB IiGPbU0E+D+2DdzeYQ6RmA9sHTCW2kSi6tvI+n6XtQ9jkyVseBuYPKhY9jXxw2Vq7XGcC0nsi8Ox tgQkp0LirjTVNBJAP7v7jkaVHBBFrrhP3XwOWn74k/C0pReLc8L5BBV5gAaPLHFhLOoMuR94XAOQ lWSaAFCxRqidQ7G3kUfzunOQkfhXM5adgWL5t+pBHn1KXpvVFqgVT5uuVRJvaM5QUiPEnipK+V4y DcrgDcLJCXPp7sU7SRgEO3lMkMUxdHJVx2wwprT6QaCKPDZWkU69GMTunu+K92FqNzpIUZK/M0/G MDTVMhIIr8t5AUHpZooohfrPrBzVSHmqLqB2dVXWiarJbgc14/K6RpOejIQN5KiT9b1HcsAL6K9p 2z8fSgFSLQmPhKTjQ7pSrN/5oi7Yu8d92Lj14KOU467O/3ukSqYj8DgBGZMK+0Yj7PzV/whWj+AJ HQ7RKWJd/hoz0sqk9DD2BHEPxuCHlhYIb/Y7ZhG7VhFuoofprq4Z3gbN3omiux/fkIUPCwHrVqqO 4TBj9XQN3UD8PqAiuqVIwdiNb9iiDpLvpf1ouM9JU1iVdTP+QQ3AhhyCvMtNSBhUs7eZ2CwXXisV xSj9LbTdICAJpTVpeggzTarmMxHRx4+qAyxrYqNit8SxJzddTriEuNmw7T9WrHm09FdQmsQZI4TT 8pye8ztVIg5RfZ73YlCQVzYeErWeYCo1a4Gb53eWeDn99aTrxwzx48xBftJMVyW/Tqnw1wXqabhC 3kYRXy8eUErXKJaHEnlpjtOBYU3OSGaTPEAMqoSqtD/IDpShO5KwsUrYvBCJ/DrgXma5QFnvpcoS teCtrQz+BTcq8y0zMCryD3xbucA37TQVj694YLItXJMcGPOdcbNo/P1K4Gxk/e1BHp1SCs9HWGjw Xq2o94/+mTkrDiX+fM+LwlQQcAUXz92AAy84a51mLLDi5gJlFzprUg2lY0o9yoi51IqPBNDrLDEf eoGVxzVRvi/ifXiedrFO8WQw1Dc7RO6loo36VE7ti/OESQ9QbjdEha7yos+SO4RhJdbxcxmWlf5V 9kC/uCByJmwWv/sroEtQD19I8UikTkcopd3QEDVJd/XhgOdwI923iYIXBNzAA3dd2oXy6y2maL3i KME1c6y0GdeeIPF8J/5/OZ5idSIHX9c9eHMenzdvyGqUyPz+qzrjxn3UfVxaM/UbY1epxs/y7wcY DrBcVCTFU7l73ASitocMFxUwUYtZv9XaUdlIMRztz2fKyr2y5ur4GVh/Ra5/ELR6ypv66zWG19NW eaZ93MN2LsL2VSij33z5yo/5eG9P3KT1h2uPFuG38E00WwTUwRelvUnBwmtp+bU5NeI7nXweQJiT wehUXPVqIWYSeKJHCG0g+qPhPSIjkEjFz510EWcAh5bqf+P9uURhQBV8rA3vF3WALIbvr9K1JyY7 nc8bxHExIZVX0aE2VaZBUh7GsHjs37zHxbzcwETE0YvHF6ijBH/5jmHzbAQj52Rd9x0Push0NBKi QnlZjiOO6IbHVYUusV6RRprnSrsMEjjY4jWfZ+O8bgWa4lsIsI6aEXghj7ceoIfiooqI9lqtqO5A 8r1X/RyMyeVOHkgsDYTdCLOyDtQxZ2QlTKotPpoLdZijhCgCiPGWUSTNNIGZg7tr/jtmb9mfGspc FRqVi2WKwZ8Wo7JJMIt3v6pbz/1HV+dri2GdCoXPZvHNt8EmhZo4ntnUkX5Gh67pJrmQECAJ1P/R cvt+EBc3mT5Wrw6Nng6ZAwcXm5xZ/UcNd7LHDtgc4Xsv21AbneHB17NFQBd+wTfRLZ+2qVoKYJxk 9SthBHtWsiW7Ra57//MXThQ6JvLnJWuLjqAyuxcFUwy2b3akRLtJ3U3+x1otKIp/fCyfw+MYlv9F QR+w3L0v3WmQOnLfMetJH3H7dxmm18KABu+SgZfmLwQhq/cPwCcq92O36whwTBwMY7ObA+/GrlJO 8dOf5dkHy5OIqMX+RhJ8C0bMu4Wu7gEKNDpLqoppCil3gTxShIWkydpDWQhHAAECZz2Aw9zCP7YY lIiLfVIu344ZDe+iWltW5862FMYU1x2FESJ1ziCFivvp0BNpCT0gBbRYYL/uHAH52s0SCzJrMINC oUR/wxNmw9zPsd19QdvHbV8XWs85cTxPon9CRLjoYuQvrRq517QZL+Fl10x9GQcPxpyUHyG/cHEf Dmt8185njLGoswXJXBFxWc877Rbyr0j+hylUC0iUkZmSdigLhys3nFClmZQuf7VvwXLsxbDW855I h6l+3tHfI4rVpjqNOxVKOhYYftJCW5LalKWlwZ0/tR1Mzn9Id4MGpqqPKgf/YO1mmMCiS7vrAkSL pM5NUK22cTo39w8LLBabVhgu547//DdkrcmnkYT1iU6cosZrS++JA8cED7CJw2Knp/l59OZ45x89 6lgb8ZZOD8FHjX0KOdRJunXNkBSMzUU2Gqi79ta3UydYfRd77fSnZJ/bCBj6W2I1g9mNOLj/oxEM vzS5YppU1L27TqLP4jprMbyNWhW/1ISOFSNeMrvg9sQ+vV3iucRvBWBaQs4p+DMWpYtT4Xw5sRF2 uU/pK/hPyw0HRD/MxvAjneHzqI/OAElis7e7tT1qdKKCzqzYX4HT1ESbMlRSd70R/B15nT3bWlRM r8ps1BLin37/tBI2sMZ+NUMaO667rq2qpnpfdfv/KhYo08XmoC5VvLHBR3EcwcM1fmGKXmllKQU5 7H4GnRYkvmuiwJIDBaTCZsLTtmwmQc5Psi4ot/iDafb6QTCvDx9y+pDileQBPxzJlEKmBOAD4/SC 0cyl63h2xJE57QL7ylhFUlVE4vzz5ylx9cYHJb9pDfdt3JmQRi7BT0vI1r+2qQYWGhJK+AhXNAV7 ymmCkzm0AlAr6CzEsbcLzn3YEiBz757ZXHzbYQ00OEDwBmxYSBcXC5gnxr2E/NUURP9JdMyICNiJ oHLjbQL9ZklArUnrTtVs7sRHooy8Gbg4KMti9oYkioZK5qyCv4nYXjpSiqOX1SNw/s7J1JSqACQb tssazTy3mf/sRND/5SaxF7tnl0EpCGMGeG+z7KZKTpN8+MPDX6/hO1UhbXYITHpkPMXnGgUVESWn ptnSt+f2EGnVgi/aQxNLuiTaD6boZJgz0lA5QnYFKSJtfo2et2Vi14BUpJ+8gmqJF11F3dp32deI gVwhFcT3KoF18mFV9JIDkvdJbLJgZfJYLPieKeZyweWEWrgcb14hWxihnvOi6tO+iyMafg24T6XY sWbMAJpLBjWRGSeiOodCHjEChNza8sUKRYtN189087a31vYGuIMEwWN/vvqdGeCNMTHuknUiZEjq /nJcJNpWFMhcFTcSRbxc7IHtcea4ZkTuylxZnPr0oEojUoI9UEuGDWjV5p9lOqNq1U8dG5GuThwh n4HP6bwAacbBSJzrOkougJ0UBMp/UpxGQeC1mgXWEcaDcj1do5L89HrFJ10irMvBHm3S+HI4r3LO z8hbYxdqUxQ8nesGpRdUKKK/3HoeuEDbEqQQNbZ+rbsEhXwfkV3Kb0/pr1JwvKLlm+KH+Z9BxiAM SNfE2pFY784pZZ/J46lkMNTwbZxwgT7BhFPbWzaF5nFvMotfW4E2GwRnbxzSlg8cyPSqi8smFnIO SBZND3KqzSRt6DF9lgpRn8dY6yZaJeO1EUyi4lBOqVvXEK6wDZ+/K9rDa01dr4MQmyRVq3gZIrvL TCAmesF65lzgnyWHRoe51i6g3skznj6LycgVdDGz1fzm/qnWEGrn2pwW1+W9nBtjBfG84QwWh6zC FKQ3lc+TTCMTqfTFrVVhc3EAiKEewf9H1B7CbR3F6TzXmY6jv9bMcpk7zqNT6nfIcVmFuUIYF76y duFQu9cPEhyhjS53HUkPWS+Tnp7gg2iu6BCCHq4KL0YgRYTvYyh6O1zqyJKpxpNZKQBBRN90hsz1 PagmAsK3ICgXI/27HmTLQSZinew3Cj2oCH4nRAx06wYWFy+FRDOliB7BdMf7gvXZiHnG/stUsrTu 6scUS5eaYEpyBnIu57WBM7LUn3mzir56hLZ+XD/Xk8RzHenoaDPqrV4G3uDu0i8UaSlucTUUoaJp wCM/FIl9zKHzOHyXF9xNRF3WCuWJQp9OKw1Sse12IfJ+IWLVFfkigZq+97dQWrbQ5HupErNObz2R dcY0Gf/zdUJOhDG9qiQCJ6iQe0Xz/BYy3Dm5T/mec0hKkU0Ycl3VheEYoQrWx3aXgaDtwvzKN8Js 4L1iWKKUEOxgGeLnydr0GbmBTkgwBK8LURVwOTMPXTs5ND/YoVep9Q2W5RCPlmMKbVanjQtSN4Im IdaV1yHbsJaMQy9DDKgTrNDvBgeHXCxiaec9Ytry1FcNjSRXk4jTN+uJuPnNA6rmkQtZSRsYrk0N oVN0LNkF6m23nawW8eOkAzu6CRT73Co78bF6nKfyIv8qmfWxTec5/O5HntkS6gzdR86Rr+3FfDOb NJ0EjTaJk8WaM01GMnJ6MaOrPXx6GYKN4AnN7ZXpNUx4D7Vc+yNi/R/NOEf4bdiSKfunLCX7puKZ 1mOHHPbB2I5woiq6CMF8KpcMej5t1xyFYLIjPY7JEM6zlVA29BkQq/xRk75LDk6YFOpNsJ489xhw /lXRMQDtOQGrUus4dpW7XqRECWRKIKCOE2scAVUgH0FkHbPPDqKrOpleiTMpTUCSWG7veli/+7jM nvT37S2b1BbNUNGU/qYJL0bTujH84iE6ynGmX1Xw/2QQyWXmfcTOp0E8yUl7SplWkLjwwfLAUnPc VCeQ+tURbq0MvySoH/063BoWhJe3/r0gjtfFE/9w2WNHWOlebe6bJpOIfKlObFdp0xP0tqTWiTh0 eQ07UAhLSy36GNhws6MqJVP28tBKSpClcqSWhrbugAqpLBRn6+R6ii2GOuhVGhjV9w0gvBOLoZkc KqyI+mcQuXAXf0L6Vymw/WJkSbToftg1+Z47Fe5FmkFkLhrloetHnSuRjixzZsjJ1BqaWtVObzrT fs2+WcDuJr4oZf4PEkenV7GdB6xeN/bAQmDQIx7SML14Wj5OriXU46oTI/5hnS1fLWZwOxD4eWki OhhnJWjJNRBekOpKNcOVzZ1B4I968SFdN5kfTTPRoN1bkop9eRO3oTksUvvEA1KxKZA8hAEzlTUz i7Pe9EDO5QATQOy/wIttPh7OiexvsvnnKJBJEqIzCmf1l3iAtRYRBrDlbMJMK9jtnSiJ5sXkrATe rGi7x70w+Ww4miXRlQLZdh36N2TD6xdMFIFfuvNejUke2jKmp82dcjpqeUgTn+FXVKZ8NpreTDVX dH+nPt1Gswv2kWOFjEle8wJ8Hr0YX3VaW2qh3Z7q1bfx43OBgIPM7Y5GUoMcP+grfTEgq9VkE8QD win/YsIxha//FMqg4ZbErzhI3Y0uRlkfN9NOeHpDcYUzOqwVLEu9OD5TS3khBuOw1FOa09nFMYSt rCTIZuOKGYsygg95qxMTuEw9ZTJvduMGCS4/eX7FSiBgGLMmr9kmM8OxM3PC76VRY15Eo+ojif0N j0qITRftFJjjMEuWLgEbPtYJpDiW/LFUhXCNS+aUD7WSjPBGIU6qpeAflP85Ra0Y/K3y4lorZiuI RgzfG1FXH8G1vb+sbGhx3qxDDzKXWiIYBY9tAS0VFRCpKWIB/jFrGw1q3bN39ccyexLneTUGpvUN FOPXqF+hq7x/cjE5a9vAOJPZ8KEfYcgmGwdQXapr04gpoJPCHcfWkHaZ+0KA0q6drcGQSMoE3kyy N+jJIiOCQvy/Bfq4agCKNowYcdQZlVzPEFNPSNBG3BiDzLz7amUlrvZQgQughIClXCaBTdhYqZAV Aho93kY0eue9SdGKh/ZaqMM0mDLursCQ/5OV7fsIJx0V7LxLU1aS3sar+JzX4M5EkRNj7D+D87k9 NvjVVe6SZ0dm6+1zQpKkk8pOy/tke12uXKIYVBZmu75t1sbIWQsN1MvlmdyoVYoWrz11ujUV8xts 0KVlXe76AXP4WRUdUGAGr3RlBqvYFlqtrmNLR8KWjiakRJ+Q3bNuFLsuM+ah8+EVOD2M+GGC4nuM XmsqR6erpF0Aa9DTPatVqlZCE++wQed9cTNk8YVuORKzcVTTHqpplZzbV0oQHCasYo9EXMGk8lQZ tNNL16XkM//8eCR5nkREGRFyLwhE8lRSk+wJTh5dJW8nLMB6RaUHhisiq1M4lXTdlTrJazdm6WoR 2pjBvGXV6XbvXDmNgcz/uZu8XEB2dRundm0MvThzS1WMB8wqNK5YaEK/GCdRTOOXJA2U8CKkWT2s 76bG5JpGz9RoWIduhgIOG1u07QJl4AjNamebYEjdIsDwkmFdqOlfiIEq7rkvXZ3LIMCOYvDSwq4u C9ENMr1dPekfssS2Pk0mSFY9hC51w/q/gBh2QkHUI4OoiK6edB2Ybpx+meUYQy5v57OOp1pTm7Rg CJRwnEA/lk8kiCavYwT8Kh6ifSMWessgcjDfaOUjtUmtlrvdkcg091KQCRRhJmbL7rm+9AeuHmZF XC2SmWyrCWBgGieDGCcDAXP4o7D+T1LUfmY5sLgfgQjx+rcWPzLubOQ94W+wOOUPm0/LYFYcMzHM sZf1xCAy8gwvUBJzZ9TseFTMDow/gUaUAlI97VNpPPg6CJURoJl3wWlLmVHuNGOUL4r+ARUlK+vt /gtTXzCrGRWCCFLuLWhZaVpaaxrCdNLD+zNgET+oQsMenW4vJabKJuxPECZavVDvzrmtwiTKmPhh JZor63G0YKEEkPy13AQSX2b97Vkxtv/ny0VLLQyqcqyhZhVDo6yeusMI1oizozM59X54yeDSksm+ P6xrsHlMQ3R+vIdV09fVs3cPAUf1TZrxGfrDfUqwq7QrnzlgqzpbO7UVjSGBZa45Oq4iBIebmTEM Rt7o9THtOdyQQqS9+eL02psKNMinmsHD1mxVCgpme5hUpqZ43kssA41q6wj6kYLhU3teBK0FkL8O O3NK3j7J4uYm0JNSWAz9LJ8Hmlkea9wmtLJIzDFoj2uyI9D00954ghnFXnlaAniv/Ry/VG+usIwz nm71ZCoyO2eM4TRBOjCmmRC8bR02C1WVUypGDTDdXjNyHOXufP6AKD710yRay3jCzwbcfS100194 IJvFsfODcQU/p3qn38ZW72T+r1puZpLTPJV695lgCQDT/ELPI+Ix18UHgctOXTUIKccEMX/nC1wM HqixZNfHrE0Hcr8HwXQepFEQQgNVuprJRFBuFQE4SxKayzRobfN7+J7kqffN84tujLVBowSlo9dL L6QfJOlZPFE48txBsooMiXePQolacxB2cog9tkXbIv3GuI39taAwt8uCjGDMdkkuROLfvRLxe/BC 5Am1gnYjHirT7ByNJjubW20YLQwvPpUxeD/mfnioLPi6Mnu2B+8X4q6zyI2ItDvFEVJwhA09vhEX 6jX0KP+xC5G2IVKVt01jRLGtkaNVurvGANbJlA3qgyTM9M/Krf8SrfTb/aSBsILzEgcmAO+AcC85 5TxIgmMlF1RJjJNXDkI4GncPqVWywLooq43jaRFtHwZoglBqj1mGUxt57LSEf77Rxo8tcQKnHAav aNRqkf3VcIem/S4SKByzNIHGHZH5WrmqZoubRXuIUdl2YwauZdNkhTOaIxjHMwwhelGvo44Dy1BH L7W+8xuMTQCGixcgtAL1WKJBY5x8K8tZw9/0aNyFO86B5fXJsZ4+asOeVd+uG9+UAd+FZXGh1v1R d5dgF5z62Hf5BuScJg4P15rPUDfXhGLc4uvaJ4DBqlHZJC2h3GHI108etL4zqFtPwwJ0Hiy6eS3Q ippef9Z77VTLK+HOoWtz0YVHEgF846pMzZoZOloZscILWnic2JBn7fe5xjC8ZPeyGSRsJIf0ZOIO m/O+6hvaeKDIC8nDpl1Iu1aFcHTwd46TpeboWlMZHUaqpigBDDZ6hiHANRJTcuv+gP/AZtD8O/ZR atIdSZNjtHrlGTidwjUcnBaPmOSop/TwTxeSFnCbdIu02Ft+oY8FfnbJsde6JC+d4hXBU9Xnkmi2 fBPfbOg55KLTruMgm1LZav4i0LqyzLVBKApYLTfBAuxc68/hep4SYL15QLNzOo32dti1VJM8F+hD 7X2CvEFtlzfrAMT9ZL5/LQCkkd3PpzOK3ccrAhGVvcuY+n3kt9I7+M7K/UiHPNWdV+eam+qJjYTk Dufc0fIAXm9VRHeGcjgKN44yqk9mgtIkUKmfZyR0NF8FekgB/7uN+x+8IKn4tx309v9ioCn3M0Fx tmfSJt8f9Wn/0D+VIWTIn+NBMrnr6fx4PQ2JaGnQuLcF0f589ZVRu796kyUwH9YiNlTgLlPa95fo +Ri0JH66ir3zu4Ypifw+rfbHV15llT7kyUHl03NJvCruQdhkWhdA/XbsEXF0wlp2Boq/vQTphymb oQQLZprZBB3hhhvakKw1lAi5mbm4UYoDMiyCzHAm0fzSaqeseWTAgzvByAXtNED5RKFtM8X8nW2X /poU2roLElk7fTEPaafMxIM8Jc2vAfPxF/XMctOEjJKZZdWYspVtneiYuGrpZCAgEfR3HXbuwrXY USP06P//sjJLcjqDVfm+UJXqiBJ3pKzmUeJ5RKA5MrCTtS5rnnExw4/t2zjcGlX7OYVdt5EJx/GS Nq0yBd1jPU0SCZF2JuhHX6aEm29SqidGo7t1i1brDA19Vs90ClTnp8AdHpIwhdcbzWj3Bc0oBgi0 bKULdcBoMFLbaLe+bCJpf2F9QDtnX4qKnSkUQI28hsESdNIepYv9eg+zPM5aPi9O/cZVeDpDX3SO O8sMT+ynz3JCKMbOGcdB35VbUXy1w2MNjFtfQycw4pYNOBjzsa+gPhZazLKDekq2YnsL/49g9oe5 wCnTekEwYiOJpIFJQiTTfk9m0XRIuhHETl6sGt3t/PLdpkvj2Pxm3I0D0mEQO7OwvHOvyx7J0cwb fW0WxiuEnLnEVxUgWQBVMk1fpJJ1OZ/w87Y+wv6IlMSPDnb4jy5NrC4cZaWOw2YtiFjpC6HOcbik Tn2F/2zj3OuSfxgoY1uIv9FGOkjtlfzdGe2DXuXyOOksX58neW+PXx1aQ3NOvcexbUjtStEZYN7P kEivwr0NoIMhrV3bUMQiHtAw4AlIi0l1tfuVHMqaAzfRgJtrhK6NvIY6axfdukPGBGzkS2FJ0IwC EN2XdGrqFnbKQ7rQVPqWiL9vur+AI3MJI3yHco2AXVqyD+B0HFsokaIbOVTi4UQ3yG9uj5VAOQhZ EJo8bNc/c0qdfcdeXSodJbTwTPKAXvQdqdKA9BtVY8a2eszrNJ1wfmIEMidYBzUfSD8XtYb/Lppa uYiLDgN4dhueq6vnyDI61wYguQNfrEJ2NEgHaJHoaZhWvoZ0uH8xRgeRfMR6c3bNc4CsLOd3hcEc QEMjPFSMWDZ2PoZYF8vULsLAVyi1rmDTqNsyocXzaSreRck1uQuEfWUsTuOGNkUsv9A1k1/y8W1P ip1PPoJwdDl+QfGMfkxXmx9B3Ugw6jqPtU0QgIiJEnaonlgOLEG/6O3en55ENDlAu4qoycF13Fin i3itJJds6/KpBq+3UNqWH94PnswoefjURAyqsgqJsS3FNp0utWfnbjABGW6Xo4nP6RYjn/XttUxJ a9CBH4GfxLgOVC1jT/OUWLMUiIBu1jwMIrFl6yaxAPTYeoErnDVQBLpL5WpLMweTUX0caUDxKYGv C/5db3+TKHOaVfJH+Dimbx/9klovAnyW+BUoPEGkFoySVJ7N8JwAPNmXbvljaN4OZd1zguNREkJP VyDvKn+CtOawemjbB5eysQnSmrUjxH4j8d5c26Lx/czXtrqJqx1ouQ0MbkL5o4GmQKWvL0Z8exAd RFji1Encf4qbPlAiyG3Ulba6Hlcmdp6BH7L8xn3tdNcpmJIo0NZkxLSyzmpX0ZV70cyuSIunAwGA ookND4OM9Vg+DMsHvrEhdrbvIa4w0OHn6dVXTFTlL7aCBfA/CVOKsnHd/rwLQtG9wiFpyNf/+7Ta 2bDUywyBXmtu33slNe07YkqnUeScu5yjrVsdyuMibEC7HW8DfMuRVjyOZgTn/lPQFLq+pVJhVARV O2MEigBTpcmDYGkWvnQuFuoiMqY3ZMpIDlNlohq0ZCVLR4qlhm/My4G/CFznZfIcvz5nSv/Rv1VH zYbhGZWVYGLo1NIPm/KMimnRJsBLfx2IiW77hprDPQR1aJgIDqP8ZhuI3oFdf3AdY2pjIics18zR Cd0HEA0lnaTS7DLnVslAD6lr46o0jaVo/ZK/w1d+L7SrfUvfIwQkH2nvz3Mtpa26VvQej3GGhGgb qq/edHpIoaffcXyoq0WdtN4QaJj1lu4QIEqz7NdthaNLZ5sdGkvF/cs53WOlB6beRtPoGndQCwUq Gx4YBDvj+wW/rnOwDwzilpUwGrML8Kr64KLlafApH+V9B6zb8LLhnSRvntMgqPHu6Z8Scwm5BepL rqtmpK4x6sk8KMNrGXhjM2VJfDGaQPcwzmQKqak/NDS9am8gDNEozUEp6rBZhct3kMiDfxyoVvUq Wv5jmO4r+0a5TgLyW485QeU2E0TEMoO+VZ3xStyBpzvbO4zsDL6a3PJPZKwruOAstZ130kvucBvS qoKkGWG6FLpbIuIN4BRZhRuIs5LFJb/yDYDjrb0v288gCEXN6skzhmDZ1MPr9/m+gqr/i84nK9oX pU2D1PrlzWvXHjK7bJG7shrJenZQohkh7RQTZbYB2Tm2Ifyb4p2wPTKIyBmrmMR1+v+lOpHAegFf CXKitz1qXpqMe8S85G43yEyr4UtTFJMT+nDjo0cnV0HAn/kxzRAR8T6IEfQGPVQOjCrJ3jMk1f60 8NZH2oGfM/9K4nOryufr3oajie6aSYZjL0kpDs6UwhB4ROMwLwUJPru4GshpcCXEiRE0uRkpo3Bk W+JdcxfhjsyTAGOFcJPrljdN9yRdBhPyWKUH/j38KKMHwmTaJ+tfkdP0ZarKgz6T5nHhkrUiPgop N8+3GcNQQ4RiVF9Mfi5G19CU6PI7AgzgP35T1XzM7anarixAR4ymUISQKGUWVe2hr4rOAi3qHAgn 0AH7R8kzZHRrNS5sioZYj1nOeTSLSZrF2UZiXtHZpVkmAEnx0BhSt7JXrqj57ZPNYXYGxwjOPhja SK4oNdIwstRgvFGGIC7MwCdz04HSvGm+0vfSkZ/Ar1cud6CRhC975IrUlVbraH0Foom1qqtytm2H D26yRjE8QTG/HIbFFSAaxRQsRjoryNTtKY9etB03IScHnkTP/usORP97Njir3vBChbtGqqkXjG9c j3ryQvpOfhPQjE3TtIv6DsMiysW/49VRTQgrk2Khvz3X3XFj3SWW6CEOJNSViXLCS8aSCoUoBDvw gyf580kEcmgSeky6dn+sc4UhTII0/+rjqfrIS/ROEXoApPRREdEhZ8DJfoi6eLf5TzHtBGoPxSFh g0AAgGjgQWewvhze0qPs8Gw3/Zu/wOuZUJgFCK2mFCl1qQq+G/jxIcswShrdWL2oSmoZf3aPkHK0 PWrUB9WHfyGt/7LZCzMyYm7YdBE3NMp6M3l7HYYEeOpD3J7qGAOLtrVBDWu4fbroAFq6vGTwfaN1 adtU9/nHnqRVsaH72SxOgMFZRiKixSJexc8hVZPVrWwObQviNyA9h8Usb6fVQWjltzS5aiA9UCq1 rNbX84DYOJ8XXmWmtulp7Z5Y4mD7Lb6xYXQoGsp9+yUSf5M29/fejAvA0BpZmrHGO9QoAM6wnVZK 6gwmTlp2zaLN2TMTiCeE84VBo7MGvXpDx8TOP67cwp2BOyqK6+ajHWAmoomJChi6/uHdnVvC3zxZ VHN+9DSnxOKN03A5Xu/vfcBwMQyqAFuoMeKmPR3a2PbXH3KWddMxQlu1ce7vQMHlhLPrrK9NYp0j doTany44lJiZ2QN2gm2LRBCxGzVAIl4jgMk5lf+ApXGgLeUfJ33u177AMT1XJqMp+j7/RZAUxyle BqQ4IMNzZfqZfVpau8EaBrvdfLlfFa2LO07ijrB4CT+A7FoPnaqZrRYXBwMiEk+HSCfx0tJn5nQt zW4zKl+UU4Zi6px4mBDhMejAZzFO0Hk+8YXIvK69JR3SMTjDGSZWGIVUWYyfBp3GXqgm9eMR5Ryz tJjetwFBLnk6YudtFnheA4lCW3n+q+dlmKyDWfoCH7R9UKszzINIF+41imJ41UPmOZzvn89JjI+r tIJFj7kbfx1fB7L8plJ+0OxNJ2LVGTu/W/67drlYAt3gB78p1sBwxfamtsa0u6skdzyQg5fQxrxj kkJwEf8hmybttI3UiSHzXeEcrcERCwOPcIAXggUEHpdJ9xGBnyAv+EYalM4qks6jsTAGxkmMHtqc h05B/YoNLPx1FqXsrdodb2GuxVfglkzh/2JMIsASm38PNd8FS1qRH5wH3YWlQf4ClnS/zJiyQJSC yMc/iI1fdXhn8cphQtrJ/73Lw79SIoTjU8BXDPYw+eEg9l7Ck6XoIi7OaE1ULBCEnYqChVaS6lSW t77zz7qagYHo1iODhD3J9p7m2RBDcGcZH+ySztJVnKECisLyQFNy4Qd1dhKTxzL1txGYfeUDVQ3n +xZJeucY12IFZXU8kIt5yfL4XxgBNph7BT/tF6LLV2iR8gQ1NTSQF/AwFcQgx3SefaF8V2MqLfhO xArK3KdK+XPT0YDaZuFUHkfmdlbxRc3dIxLi4juixvnKh0gNFtrA0CK81mZtO4CkutmkZRNoivB4 JzRAisJyXwZ4Xzl9/6V+mgOr5C14Bi9YxhMizfYKETG+OadNjqj//5+0xDjEgSFCobadBP3O/GrK 2rDgdjYj2YJxVTxCAuP4FOaVthBcFo1jHyBOwGg8QrcQwpCaPNRKd8A6DDZ0Jf7GltobMcQFMv7G r/c0C9dBMSGc362g7gdunHUhJYxib5+SZwej13XVanheSdAWm6AjH0FjzFGJNxZ3pxTdbpDUxIpO FFRTJvXSNRF7tltgUh49PZJWHmdhtJQEUMQmW3HXjQ6Jqc5L4eE1q54THMEbHxVPBHQWraEmXWC6 h2SNGDj4Dw9X93xMSVDGywxtDYSJoOIw69dC7/oHuk+GcS2txl8fQO5fvA1Df+P7ttz/oLDDvony pt3sfYxtNjACWuxGSq3sQM84QWqJ4Fo4dOH4HII+toDtP6DAbD/WZlEhajZzLtDkwo8QiCxqYZcD ZXfakqG8zpqIlvMG8BRyjh5OijBg/CZvnz3qNOGmW6yYgDDNTcf5snXu5SiNLpRYqxdmRVftT0gY y8QLG3BfUTSQlvK7Y+vUhHou3dxhyqpfwcKc/nOzThxj/HmvSNM0pwljHOwLqzdFkF9MS9GjtUMU a7Ikmq8dCeMdCgIrBB7jFAAw1UOq8f/fWkFwkCVxOp8OY24eWcQAG0hjyQhWsFIJu3NBiQPul3gi AuOQCOqJf/z6DgGXrxfoPq2Z19x2GKp9F9upeQrKJmJv1cfKZcZF+dCiFio6Gm4Lqse2VjaAf3DS pXolrD2Wzp+G9NmcqHwsbDUrbuiBKzHpHKAlvnVvBtpKMx1YqKwwzMu8qc38zllObEA3X3MkofIC trhHnd+9IbQlAi1z9wOuBDGoxIp1FiGn6KY5UDr68023i7PmkgbZvsSbU/3yl47iARCgyMYgFSVl 0GhsYShpDdyzuduL4rb74ze6A4st0/piZnzoFCP4CzNG0LfJHpvCNQB8rES0FX0yi1nKRSM7XOTn p5oFoz+iFlFzSu1xIuV2FfV62DAd6L7mv2L8KCFHZEcsS0Y3GwBEgXYk2wbCJFh+okEuQt5LsrKP ery5H82SwjwUFd4CYC5JgDphxtJ0qhQI7j94o0LBWXckO5jnkz3pJBFUr5VAG3T/HEI9tl0rgj0a WtcyJhWMLfiT1zuzLq6UgngYbGjN4lsdprHQRdAGfrzRSdislU5Qhwb3x0VQyKFSMrHshSSHr6VM 6rwSc4WrL7gJFJyj1VdC6rZVyyGGX42uQ62XpLdor2ylm/aV9fM+mrB1MYFOVSRx72Y5HS7FwoWD B3vIFdJ9BsQ6t28tPyoyNMGE4U2JshajYow92ZIKB8h8GrXau3BN4SFoxbwRxwyJxS3CucJ4n3Pc diCsxIVRkt7ec+kx6Ng9wkhq5I7gkaZ6npsyXLcUKdLINTdglGi+sb+gS1nowXpLxU3LArcWIsTv GE4hwK205/jl6r4AhKwjKpxL/iOCc00VxJS3nlDy9jOaC0QwL0zF8VRIJ4CAIv/BXXNNKqTRpEmI Lvmn+tdy1sKeekmNTsTievrMPzjGyiwt82AUyBwExz1Twh6hqzdzOGe/V06z6bO/pMP7a3Wf3jq7 0wNFEsIgdftdliV/T9Ih8p80ZVE44BBjdlMmvvBx5B+Ax4QGbCWN6W4aJ1qkNJfOwNf4AyZWkc+/ aUqiuSNSJKA8kIG2jh0ibESnvvgH/3QMoGhyQdubJ4JG1HvAmqzJnqyiX44c3bAYlSyfHwsxxYxl XbLiHfI5diGh7LkvanlDz0EYLUdmprdx5ltVkLVMC5POe4NI+qMPBmdkf+FbKxpeVb8Ox2/8VTJU 9WdlBmb+FfnJEnTVrzjI8dhz1zR3wF5Az4mtvBQg+zlGxb1X4vE+MHfM/tsbX6rIQHPDobD+mf4K OQQQwluysU7N81RPgi8Xzc3/uy39ExL4LwAMeWHsCJ54CFuWWXr0tWFzZScDigwjbc4f20WihIIw Zx34KyIQUDn8ASfcyvtk3lMpeQoVO2VNpJWNyEogzAJlFnZM3NBredmH+4NbpIY17/d++C58POpC nzDFs+D9MaEz9SyujlfLnpWm4EGdjNAEM2bpiOF/rMRnslF0VdC881G4ZXf4sQVroNPmykW4++hU OQRJ3wGO6DyX94IHwX1u864aXfTy+gGjuEEktpkvDAIp2q3XpTASxuXfPajiV6aFw0mj+jmIIv7/ NOimjDGtYxnrCSNygb5+vx4Rq7UODEHJ9MLX6+v1wJQnlkZYVZijcRJMdovn7IsQRxD4NoVetHGr fGMkGA28U5XixKT4ZEDRNmR+R+QYHsKGVZiUSLNi6ry0ttr3mMpjp8GDYxQ+FNIoNuje1i3YHIIO 2DuNdmwDwwlb1or5ndXO8BvfiLy9mpMZINvVaUd8V7pXZkC+R3XYAv217QNf3FC1HDVxtXiwAGMy rtlKZdsMUvXoWnakAIxKHXatKtPVsc07DBOk6E7do8TDlW8SNsxhRJ1FcZDjgUD4vHIi0PRXFDdW Rw/5cnEVgIib+/Oqr8zCYBXTcfB+KGNGecd2YjYFbQQG1TZe9tpLjahioXBW/t+jlCLSt/U0lFs2 R5jmHOAee57Z5V6r3zOWWkFvm2wL4FH3TnYTYVGaeoQrTpT3aKmG59S2jzRYu2hmrxPCkIklI/u9 nlhW9awXUVtsAWEApycsUI9cfYDlg9boAaMvcgvlNVIFmJDmvXV1LSh57wOwrL/dHOmgh2k2jBjY fZbe1Tfj4C6Sz9SNoowe9x/okwCPHAih245upmWXBVBC0Bdd8bzZQmcjtffW6Uh7nmqr7jIOKKEe 9UtGkhhSFiyIjhBezm+gnKVf9IY47ngDlhB6ysIDXB426+Jhlhit9qW7WE3cGvQe1CCFLp093s8C R6bOlZ3CgCbcgqsTJUzMwO7HDE/r8VsqtrB3X4I2vgQToki/ipm3v47Z8S+7VRpMag8xRfkyqdbw boTsC1v3dA12ubyQCBV6CQ4eqDIi6M263MsvxK/VP3FKe2iDWdeL114d1jywddC+21FNRk2vuuTU Nr8hpv83Z1PuGwoxgSZkM2NXyItMYkpuiVJRlF774rNkcGAKrGc8TXFBa4x4Nm4p/G8+XW/VfWSw 1cVFa/bR+Jp6kK2DySGhbk1NuwlZYAwL9A7g9SkDbPweJ0wmM7zjV6x6q+cHM9TZIxIjs6rwXLEZ RLIMako+vgkp+X+onnbQ2NFi1PLImVZVjzHD9CX8nG9lIQnCWeU3YGqr6zxzWNYaBdvAtw3vYdxB AS+snA60zAiOw4fAI4oBcdG3NvnHl0nMxzL1nNuQJVyWLE5AckK+1jw+3Ix6ZqnjT7/R9RqvgJMu fj2+PA/nIX0a2l6xdu2++eTOtxya4KNczeSldbacngW9EfpMMfHT2QpHGto3HMvX9nv9XlYx2yAn KUzHzoSaOSWxicYI2OM0g6+n5uDNMXCtsjtpVldx1ySzcYgQY3JQSlLHLjdlWRRwKyCWvl+Jgey1 skxquflcMFjyrHSgglLRJRm9npePDuXgXz/BDCSQL/Nz+blUH4mBbaDigJONf6FNwLY18d2Z+sGJ Duj+/854H6yXA+ysW6CR9RGyIq0bZuu1rTUBy4H/R/IaGdVqFbV69mQSZAl3YWFjeAFOEKm260bq BeNikGGOI0ltpGT8JvlxXycQqHtG1s/9jcJpWbm6ankIuVRblOOqIwGRvSu3r3qhHzpNzp5qJ2Nt UPWc4em+kkfXLJ9exTJX0T0fvbN1Mjyl0bI9gNd4Pj3nyUobM13HZy2DPnQ8D62n6Ox5cwOaR4Iw 9s0dzXZgJ54TgLenZ9CIoAjhVLIhCg3KJoJQZEPTZuQl7sOFQDN6rj9guMqpCY/GSrhw/hE8+axm m9oLNZ78bNVK+JoQHWOgu7797H8u3U4PD3B2J44Mc9mbwyFfiJ6tiYgU3nIfM2bKdB9mlsrJ7UPG QvfObWsdmpJ7//mUIpUGZoiOBcCi6q2/NUoVidQDIcIIONWA2n/xK37BsNLkk1qdop5u3LKLmPXJ w6dC1fA8+/BwVE2l66z9bYl95cp8t98lcl/nHMep4CGXFbVgQ/zZYthPpFhVnqbdGpcjzCGRWVqo eOxq0tjLeNJSFU1mba67wtOJ60tf9XORuPOmGGmewSuEiyM3HLyYKAM610jc/RCggmKECvjz9NiH Q+nGVYYyndTiVYLoy51GyRiSHemB73dghq5IaJJvESbREXAQ3FzOjNx4c4yApbEdRXDTtRq9Azdd dqMAAoraHNLVyQaLtkc5PTltBL1N0ZOxb+rhyXT3R4/a/SAeKyc5QrmIPurvJmaNluDyeoCixpFP 9RSvPyqvUaKvW5MtY7gZn8tIBmVIf6Q0+K9BkF6JcIgKNXDOwAyFk4ljBmKlX4CMi3ExyIW/93yx CzYjuW7+TWFNDJUBuqp5Zw06DKWpBX0ldsvorQ5m3Eg+slNtsOsRlB3K7X5Nkm8oFRyX+V6B8JCw tiiqsJ3KeS2hXIPTZ/GhFQitI5wY1qcUmdAwBBWDHqKLIXofBxLHF0yORYRAs+cQEV2LMeDUAxoK qPTqGrJG5/xEdf8YUZ54h4/tczU3u7mTWn26EAGfAU5cxr0UGUoZQBm6qjvETPyoxEt7Xqh4eXIC zqatCMWv8vSrisDkPFI72c4MoLiAMoqK3DyRXzaKUFbiUnMTPW8XJenRz8sKuqga+hPe9PJzcuHx DcLSda/QU7GBZ6r7mqfHvg5yrAuscoECPVbtbtOLpTMKFbZyhL0dvm+P7PQmmPN/be0ta0k/uawV R2KRf3K6KYJoxmKVD7p+7Wj0HWwE4gYVUjURab7pYxkcz3onUVWs0k/mt1/4RqwUbKMZoO9xpcvA w2tckLbjcJXWyYXHYHbUFGuOr91xN2mZxffTO/oaXfSUn3GoBTByeUGkug8zmORtJDh6qB9nr5na Ekhgi9GhPKhIe2vP52Jnj5DrFRN4i+OplgXiofG3rvEzSBj3CLrYjZoYhZxBKGLAnUSgqGouSBel aFM8gyL+9OIyF2aNDdft5ToIpJsLfWcQgPR9o0qsKj+IBBE2o8ki0UO4Vm2CA6fyeVgvQRHpmYV5 WSdMTir/R3aMlVWK/9HAhwF8fvoJlE2TrjPW0h3o+HAEb10m5FwJ3pktu/P/n1J7DWmbpXaR5dMv Zra8isRZQEf6n+ncxKilCR9ukG73OYT165ZUbeFioS3OfcwiK8SqeI+UEf01w5KauoOOUDgIZNlb yCSJzBHfJPPdjxY1+YTflixlZ60xUtSWP5SqRooktaLLEu2F9AHxid4MZ5DM7hYIchrZQIH22Cl1 k6LohH2J9shUhD0F27ae56JFUCR0puTvxQyi2/8MVtccWh1jhjKGEGy+7jWLWXxKQPpPTbptcecb whFXd81Ag87yEt+REBTAfD44X2SRzXGJgk4x/w0DifL5DfbEf1+azL8A4LBHzd5pRX8LZxeR7WSZ lFKbPs+GRy3knwCp6nB+qLQUWDhbLffS05qhZ1F/DzO9/uYcTrzk/EGtTszpvrx/Cvgm91wtYFMS DPOm8J0OpP74wEwtTj8LfUfBeabfsoYFprGc/B+Q5AEzmGWzOSMQMI9wlsHK3l8GNg161F0s4jSU MTt8+iSan5DK4eMgane3R4At5l3I08MxMC53lq8oqImZTFr3CqysNfHUFnx1xQcC/r8AqxPG8eOl o/kVhBKFrAV1oxYNRChcCAIaejW6SqkqmbesDaT+kHtZ3RTFMI3c7nTrotUaFVDMl/HsuD9HJCMz 0qktp+mLhx457WRJoPP88BG4tk+ucVOLCy5NBz48R40LXg3RvOHe86MFSgjR4LZ91SfopU79A9vB eCW1LzwysoIawZYa0KXJfdxqy2i9iASte+LD46kz/H39WwVLfLUbmx55uIX2ziC3JWMo15QYZjAG f142CCL23blku6UHP8pqFyIrjX+flBwV25sZx3PFlfcHUQ4YwJvZo2JFHjAud9keyIaamrYemSKJ sR0SLFmaqBJLo7XzN4WgNcGl+TUxwiiKuiOwclKJFKITgsccDmT3PEooRPRRTYCWgn7hS+BgZrhT VniJL0lOHQe5gRXwoCpzo1Bh39wEAO2IbXPSEqz61Txyh3por11IfoWVVQ6uMj/ly/1LVpRJB04h cAsuooqZ+BK9sdcHOGfHhd1yNB26RRGg77bLgX4hG6UQaWDeMD70cYpwzH/+F0rPVMz3hn9YTlJX 13kaq50vaIC8f4h0by/dGqECnmorS9GTvXDq0e8Ohk3FvDxkzRUP0OIF2lfJBmXSdz1NM2nHK3XN 1rwGdhgY39w8Zx7s46TqiIHHeXcWms1vmK77fzM1v7gvQ/K5QO9yndkRK2GBFGn0bRspe0pjHDKj /2y+IjJ+qw6LY7ksBCXVYKYw9AKm8Kl7nUOhmFNEh1RarUhiun/y9eeQFZOWPrLq4v1iro/PsfLn eo2L3PvJpuU8/cODknc1SKaIKE8wFWesBSFHHutIRN092t/CQJAUCGQxaPy+MSFq/aQzJOd8cvVS jZdk73fnNYHRFV/WjrG+xhNuCqXpiPPIWxTwVqEh1xRcJ0ElJmWb238Px5jCOTLeV7JquFi/2el0 Tk4Vbj1uhgtBVn3f/CQLPt8sUdGnmgL/VPJDZbbFmLLrGJYE4FfA33NrV/CLxnGmIRT/Pvd+VOLs S+KCJZoX9sw03NN78XRmV1GRb5TC6sc7KbrEBcKmgIPAdRSL9+In6PI2oEuQDZh0qAhxJv7rRTp8 OeOatrIG/8uGI9DxwF3dcuYVux20jxyg5xvTEk9FdxajHK2+W+ObKnF+5Sz1eTGV0rMg8tmsEt2U YZD/69sIGcKiRm/9oOesntj+SHFIEj5HNy60qmCUxL/iZP0oNHkejeh2KA18owv6h7Mivgp9rgji M2Rm+7NgAgqacrW5MmZDEXyKigiSMyPIG4RRZHTb8Ge3y6kX0gUCwSj8S4mZJSV5bH9nXuPh6xwK mL9WWH313/sXNT2ZRiPW0sF6k7HJxJ9iPMyLQF2iuZr+r+NPdaTNS7DzrfKo2IxhDvB2N/R/9w9+ Ac0k0DmsQ4W3siPHj/qjMqzdapzgIVa+x8LQ31/O18PFCwURAit474XkPEF9447eqEyjgtDy0yOH xsbOT+0WDWUSECRSq4+5fwQOBfKs+BclnJSi95kzMN6pWg29VFmsHQEwBKLR/ryPeibPgomKY+oK U43KBNicG1CnvET6f5b2r0Hfj79Ee63zHUFeYJ3KRmcJNNmdKWvpc3wSFZCfh56SutNccPARbraZ INvzUmaf5L4OlPQgVV949Uo882oVLAC9MWXoy9IfwfCFLCKqmHZ6rhniGdBGPJEdQUC/B3xw66hK /IA0SYmHry4Nop2yUYTMGxU7BRRCfUmcQKVQUPgBOIks+juxYIC6jONu+ZTcUMvJr9no8ABgv18e cj+s8TkMg1ZzkUXDlCIuKKwNBaxNZ4OMCdSJbK3MzwwieE+qSoE7fZjgK/kQlh/VkEjAWKi4yFz7 B8d6wUCUWod1Q8HNOYXUuXbgRBNHXcjLcM3GXnmxxRxyFgptRaomw0J4dg64NES474h+nv84Xhqb g2sdS7wITyXs1ZGGazrXoTD+JVAFSrHb5tqAycuzWC5irZZgJ2Mk9b0bHdSaAZm9/lLFdpK8Nk7f vGZsCwCzgsUIedqsZ54CBrmxnyS0NCjBbe/vIHaUqpPFnecMH5rZ6Bb4F1nzUuViAv71wUfUJF5J 0J24ZBnLVx7aMKxNRkgqsllYS3IdHYag9uluEgHHUfHuOvNFrFBC0E8OrJ9L4tngakG3fooBw+u2 ceGxlMtYaT8y05mf9hf8XnNkSX4jlNl97qcEt8MBqnl6WRHot9B3JvLaoioq2FhjATkUyQBF7OBC r8scWZ34oYro9ZS9LifNsiSpw4e1XzCZ47TUuN0I4t3tE05HMA7/MrWUX9/9EDY1HGV2Es8fMrNZ GS7XvhHJIz3bVaCwCbCqNa5+QBasabUV1hbC51HqePcfd9gL8jlZ81+uFRa/RQUeWKJjYQz+ElJs +iSG8VfkCSzHGJMN6iS9XPkRUPgZVPe8cPPrdf5nYuUGLgyjssIb5qfL4CB+86swxaGe6Pa4JPdR Iv1ZoRUI+MTmTIEgp2dhou+iPb6lk3ewEwpqf5/FVHZHHfuIoCbFehdN9jFKlhSYcaKSf6uuttsw sNDZ1H+kBc2kdke49V+8lbBwc6tl0tWvkMqrDZ0x01xjIUhyQwiEXXPSSKTjoGISgUW6RQlU6+eh frWx7nlI6ERC9e8paBOu0oOONSle0XJ6AhT5snT/CsOM59rl4poJE7Qtwq3xfBng0vBjutK2bJFH 24Av8Ln1lzFnvt0TeHCcUazrSZARvg3Q7dBaI7Qb+FxHQdm9hRuoOFdb1VPVtEMk7o6YeY/xGZ/x JsqaFv7lCn3LqgsMT7ufVXfICngeQ6O5MB32ACiGyEKE0zJHeO9E+HMTkZh4RYg/IrvhlzqVmQF3 RkBUyqPM0dK2+r5+rGAedSkvA8TKKQHrlo0Q3UHdRCP/foNbGV73AP4NACHeoCL3vsC6Wj1OQgzf EXycJ64d0ZS8zQ5VNzPCXLI/fKAqyadeLGIeIRdbbzKf83fq+dr0sCtQcAqJBy3FIO6Qlek4Ytxa TyUHB6vEVyqAToSAYwdDt6TT/cij5cZooWIllC3Z5G2pYhGyDQVUMxRY9DSCWXxv28QSJIXbt1Wy CBALld8yZNi0J37DnytB3CZ4S6NjK/mIwWEJsCA31zpYRaf/ZG/OWe5IliNFR55Ud8joGD0jWjHE Et+ff3UgsXTe5JX2HKlrLG2kuku0srwJR3TDMOWBpIb8aZn97MzxjbdEyGHxB+FyrEl7ArZELTbm Y3xbLxgmsoz/NWTzunEsv2yxWLFrLlY5axMo8gRNMB76xgunAdJcdn5jgIbjQbySBJ/C8XYtI2JZ murl1JevpQdv/KarWcU9VN7qiDee3reAJEKcvldXSCWFl+g8hnFlC0vkBexJMQRnupSEBwsYjeKu dUFMtL70sYfl94qjpBoq08Hm+w7JHxf4DacNJm5yB7yeAcElWzVNv76O+DNGmlBK/s7/Gnlx/nkU 6VkTFPoyszQyvyvsjt0zb7TpLlxs6UpG639KWOeZqPqnMqp+Uc1xxvO3XgJ8O7dHlrrnwp/beoc/ OzbRX9cN6d2TPjFnpWv5/D5GiBQkm4Cl35JoqzNT7l8FIaTikd0FUQDgLylixMywu31ghvz95bnv J43476tOAvvx86ZEEIMoiqypQp41B26nNyXPDOR+ScmqSTssqGttZlSgKUJ6C1X7HuPat3gfosh8 FQDSIeMTjn3QnZIHM5UXhJTSuQmcpLU6I4T0uW940R4e1f/qbX7KEP2nfqX7j2dPfNdsE9XwuMma KL6BJhV7QPLN6juVh2jaQdHOsYOhViOQJXCuyJZ1LNlTj6dox8hKsOaUtfEqgEP63F8BvYCQCEq6 aSB8I6/nQbKthbPCCgew7zOGdlnB9U2GgqLTuAoajkKuhUJnzl40rHFsrFP1xRLN/EdhH3jcAK/c 5zEtoHdIboaehuzcWHmFbzslbnPxgLsfsDc6xB0BFq2yTX0R8yncf+q/ZUEIVmccRshAnhyI+0hL C/IsLdWbAKORs+pOsUoF+m4iW13cGWQILz3Ed8OkxCdVYNn7GP5DnoHKo6WtHXxrGyfpITbfxM7j 2SDMyB+TwUDcCT3Q6CMD+Rhb5tCjHo1V/421kyv4aIKMCRcrpcO5A9wyCDXzvwN18SYJkqcePYXF pVOgus6OOvlrsj0SI72u0d2fAIxDhe3IKdLaqXPeoBmfTc2YjPK9H9DHx9DrDtQ4woxfMJzi+Qr4 xp8PsFUmnF5xFYZhwcc5S6NFsajS75Os562l/IlWI9dBr1vFJu5bL9qQieKXuezn5b5DF2/c2Dkt BJ9LD/RMbwi+dzub7V28vACMH4LAyGNbe2Y0lr4oSXJOGd/btAxB72majyCQe/Kkic0zK3ttHgJU Q9iincSNGClxPDz+WcJ51zmTUwc6BwJNt34cKlg6uYcJh6OHphc6umSZlKixRtdWEyOyJVy3QSE3 P3N0yrAWWbgyQb9ixlPqh+eg4XbFioVEScQAYiv6As0UamPclovm5irzJrlwoxpkr/YQjv4vuHYA qKu4UZEzPbqqQmHoWFJWKjmMglYG4YMmsk1WxWlzat07eVOg4x3vErCaL8/tBpmVM5oW/tahjrGz dLLw1hS89L2Snq284BFN85zcGnIY7CKIfma5qHv0bAl6z1CMwVX3aCyfV0Tgc3pdRApaD/pluxPV LDYu/QGy9GcSdSu9AeP888ELGM1pDa+bKSavBk36k7kHrKzhdG4Z7owNmn3weh9e1djqRvkDYx6W Tcm482yggIP/3va8J0VqJ/RIGnQNIbmjoTF6sVy+iQXZHo8nuXKbbmoodLdDthhinkM4fu9pozGx /zim8aHdlkZr69WoP7hokAK/BPd7yiGqx4l+0hvr1aPyAxSP4U737jEq65NPL3nIBkhUUseMyKWn p46IlE/akdI8AEZPeVNYslb4gi1JCwWwmToq4f5d6WXgcV4SUv09yk+NH3HerHhdBwTWISQ2VuoM cEwrFHFKnfX9L1NUi4qlqdBa/VFV76bpPcGfxLPZviKB+IO4tpKGP0L7jxL4Pc/K+aE3SLAw0BEh 69nWK5OND5L6d1+TVdgWrVT/UhDq7WwfNl4baPjGPRA1u15ZOQ6xLNK2O0+p/XliT7RqA+ylOTKo SDI6Xn8pjSlkVpV6TVnpe2fuvFcGdXGRZqmzptf0PHtNr7qdXWTZ3mwqgUzlZvTFwvinQcd6gk+D WRRdQaP2JTVMMmYNvE6W22ZtFfg200qtUk3eSCkMJTolHaUidGRACU9OAm2TmZPIsAXymxJt3yNH w38JY+gDg72wCO+vtHRC8S/bwvYOxQgbgyEPl9a8F2Sw1hTGEIniwhPvhRUtKtd6MCEf2brM3Dj/ 6GJyiAfLOJbKntiYUNWoyZcAhyyG1hxaUidc8TqVUihZ7/njtgof+cG1VeY/VJrda6CyP9B1DPgb hGuT5XFDN0thhXmjamH+VDsjslvqnhzYKTa6GC1/MlOLllZc3T+IrLDw3rxcOzQ3likprzrA0u0o RjMve0agRbbSran59NJUc45DDAcPVy12WuVAdOATwab0GtBW3iqx+37DIvEmEcVMeV7fqJGkNbQ8 dvQsrdGB2bm5tHy24lCMQGZsC4mUaVZYgrYb7G0CYe3/OuQ3MfAQ2PHLSbi7lS1Tsj2z/VBcaC4M 9qFBRtT7b48AsxmVxKcWuEhGVz/HdnmtYj5/4XxpH34EhGticuLvUU63C8QgeWuYKf1VerS5CVmQ TvBZ9CLrVgoYQVP54FgbFVRtyj8TeATP8S+Fd44A9fkwL+BjkbFQGwVWX7gYxx1UXAFeodXXjWWd JjkSHS2t3Za9/oN0xPT7NrcN3GMdp5jgwG18YXl7YY2nSOcfk+dAMfVSvxJI9sZTQByWxMPgHYDO mi1DUePQG9k5SRnaEE3fq8m+6ZGBh6+Z3+ylJiwxDhTzykXge+VCXHHMBaVOKI1FPFWAdZVK3jFe XUZwoqxYIyinhPUNeNbPaujh6dOmIXeM4SJcBV6zdXmzmJMb7j6V8yc/10XS0WUVxZCKZpH8RS8B 8zbWqUbbNihndXT/FlX2upHqmdBcDVnlIIVV0xDoHcCrnjhEaKpbnCh7TYfOV3HP/ory9WrppALC +A3rU0/M7VwgBPq4lWzYBDH3A6KN33R0QAW/NY0xAcIqVG7FRbIg3ZO2xwOX8RgODTjK5w5r+vfc y5juFVsBRekfjobV4/Us40Hrr4iVB1n7W06U3F0m6t9Gd+WcA5Rlh8V05KAHHwOggm9aMC+GNL0J /3RGpGWidJUrsNHgP+FIwKSWFKEC2OZ0eonWbvbxCpHxZ54Fk1Q1KJxceSn32SAZx6RADmRYSPPm c5XB3cjOKdkVhSAIapQPjJCMX4PRnElzxikNgSV3FYK4j+ZA/xPe7FrYirbMUMMHYEAZTBdAaXX5 d7zTobycEpCaiA35x6/KG4OYVkaXVV2X5N/ho2wSci1H+kVkyOobcUFcQLR5aJI2lumcPXvghyMl 9qQSbmHiYoPpsaEPmJRG42bFrjx54Wj9Ag/MnfUwz1Hb37j/YAimtaX38y/htfV9fH9tg52TmPtB 7emkCC4CjgKisF2mWrCS51VLsUbcxuUfsQ0SNuA3SMz8kJS+i9JhCG9h9N234PSMpnvuljGLhlqF Ak6GGzB8oRVjkXkg5vCCtBV1xKWm1p5CkthnmybQsDlxQ+X2BwUZJHt84gJRat1JId0p4rBD4eEE 1LHFB3AH1p3ZSeNsy9JflzKI4uUb+NUZq9yX4TKgLuv0ThxCXj3AlbCOQpOcKtDqNLZzL0/gq3QT BDxepB5AGjL6eoCVDGQp74++3aF6LqmFSMKbkVNgXV85Lxcggy/UA51fC+K3aJQctPx20V2uyQOw Cs6VkSfixHsM1xIrFrolRMmDQCFOCkSV6rRj+bj+ZfhZyoizaBH8/bXpKWD6ZTFDZrDWckeT6XI0 csnNzajREegGvqkPDcEXA1i0dzgewMnvtH6koo131OsR7zzH4IgOLeSeOMjGqQ5+m9+JPIABTGDU oU0vUTRlH8LFPEOyOZ87rH4GtEeiQzxLPi4L9L9bF8beC7NUaiAdSjt9LyWa21XpfNUnXlkOGS2o /n+OOH/VeubwWI9ZZIHgJT6Cw72Nl3hHubPL67itKtXyxJh/qtXzajAY3pognCLk8yG/jbjgk5v/ Sf0RTwtdmuvyupv/j1rcFDToOzXYfHJ1tB8p8pjoh5mzUGGHLqHsQTYfFWikCXbm4vzaTaALL9Ns JwL8CIxkrFUqEKeHRCaZp2BK3gWr8ZmMscHOr1r0qhwBUwW7eVpEQGgnpuf1oHQ6Y9laYXiFXQgD 96qPDh+BgLsRBaqIiO37iiQ8ljCr7wwhwh3vaGeGfA9UamoDZDQ5MOdX08m0NNCuMpPu1pIQhPgr mqXKIIkrz9gmAHGgPlyWY001daNw5gnOBbCBXqVRagFb4hgYyVQcw0Dpq52QAhdanKrlJyYaTjag bZ2YeMjqpchiymrLBvsJgOUgRg6vtTAxCOQ5Z7Dhxn6NB6ncshiAOjYLNLQjiwWu3DQxXdO0tN/Z V1vatJIV9WT0vQfkoc5KXPRGVokY39wEBA6p6ZyG6YQVKVQIuQTtf/b4l5bd970DN+kw/8reDpkE 1h/Fds0LNrB7OP76wpEvDYnoNMyT25E/Fi8uHNrAaa4qRJfbOXbPpawEpgh9GIJstdCrjIwxKJOW Laq5Hi5TDOx+rOf7ppYG9SCX7MV8aW01WPOQrn+AyQ/5/ocSFw/k6TPaq3p23443ZYg0DpfGmBUL pWjZ6PxjxtVgiqnI7X9c8M3o8l/D8+AXXwo1H8futmigZijL2TDSCdZ9s9iVFQ9bMrkTpOselB47 Oe8F1K9ycYL/8zYmbDLmtek4AqWY6cm41zpgtgc4y0rYaluAqtGhHpV3RGjYf95ZcL3ssmSqTP4O p4qFCOol8ehruI+Ynv5n0saosJMeakq1nqgVOUzGF7rRv0PSOcFGHXyPTtdzWpOWxUn5jHMusuYJ lDDWGNJIuDpKk4ClB5hvDv4FQjttKT+yfwrSkcVBR9YBFB42Qz+pueXk5/VuM3feY0r77H88qrny JQXDqzWWZfJ7iBFoH+fKdQIj/0BXmhuUM5FpQdq25k//Q7PgYRuFX+fxPiQTXPzNtDuRwA3OlCWQ msRHOwmkg2c5OfEqIVG2HbBYuI4NLSvkyCuxPXeGGaAGqnFrDdMDJlKaVbFlU2JiX00+tApgEAvm mAfQTHQ8WhnE6+iAEonYZF/EjFAdKEq42p5VPHxQykDGzBfatdqbfZK5Vfq2aJsxcgF+MmZ1xtxi KsgmwgSl5OUXd+7sQLQh3+Ghln6AaEFXc9w4YWIe+YipeCg3rvZcOtwiqwflINcUfBIrhXE+v5e/ ETZqKGiLciDMI5V/TQfJ0IUP9f9xNIIZRLlu7vPVqka+4+EKFWo3yHu6IXhl8npkcQMRqFcf2Xqr HxqsAoEoKKBLOxpbF3jmr+zwA6f6eo9YrzhsTjJmORheASHg2R1ANAg3LvJe/WLBKYOke8WtOfBx pwc4NkIuL1eFxJSF46xFlO+vKUXCp0ZGjk1GVypcisHWMW3fdHhRVqg7jIb0baOI94E0YO36nDEA DUDyv+c2IhUCHCz2gLjMDULPibRP3yCKGEvlmHFGDYEFUGO7P1CVcB6i2u4B+aQeXtjJz0+GhAPV DH9vBtwbspVHM0csGB8F/IphWv6N3kLBIFb2uOSUbN8hsHB2vasM25v5y6XIFwYLNLtjPekgdS97 wVer4BM4+KFL5RIKTtt1fLvkOxTW9SgX1okjnZJxBZMI2j/yZnwD3zioNIsafGXXDhE08Q3NN5uh g7vGD7CoPLvSTcBNAGRy9mKPLNj87qHNmiTCuu+yEaHg9rElKZIw7yOlKXc5lGZZyNANCNaYUGdb cFPFn0O9NNo+vkuMtV4eBT6Xu36mE8tgc/63yYSaSZyhe13yLEX+zyTFmhnq5ZjZzg4UlPvb4nX6 0pPVFamKjlbQVVL2+0LQXc8KCcjRn1BwzxhBnADPyPTcgHGVJedmm1Tf79SSWdmRwHHvmrhPpmrI byef6tx/fMzSsSOUH5uzbyzVjlM0fUSM061ejPHCDLLD8VUOWdjagOH60X8NkGTqSGW7QdpAZhqV QkeKLsA99c6UPqjYlVjn3MhmPFXcXUxCJJfqz4moRvZXeuXGdfiAbluCP0fsBb4yi0HdFH5Fc5wa KWeMmxv94P9Ssa+LW0AL/k2mEB6yHcj+uCOazoW7EsJn1K9NeRzBYxz1x3F+8pNEC0nr3JgW8lHH xR6LoZIGbW494KfUpSwQbbFmVd5L5v2OW5xhCkiwArxscOoQbemNuR709tl16GPWLgV+QaHnIy1N hYyMHNxmZORM7UwcAfnVB+GOTO/GDxFsjotpA3vET6Kado4eWt8iRAX8zYJq+Jei2Uo6mY3Xxzf+ kKIpuEjbwwEN9RtONwyNlTFjXk94BJjNo4vIm/NjBmyaPZ0M+a+cpWF0Pe5SrN3rOwCMSB5Uzilf 2qIZKDZyRYrkfEa2eESmnhDymfjQr2p8cF/P4tBOXZcBpMGFJWMqj6JBeI76g1OedvCRBqrE5ykV wk5p7vgw+bFt3stE8jwPs+0QUglRjy30pHVNsdqLftGZ/bAvMY6VOT1M/5jNNFAGLPXnAfUQmK1N RiYE/kzpOCqpTMl2OU6pEMpuW6SFybsaosgNa6HuJNojtEQppPYI9XG5nb6CWV7Ed1XeOQFMDBoe 5K2mNAqsF6MTwHVy67TqSl4B2DjW0JMhwKpXctK3R/4yPaisoxKHrDBmuklaQz84cwXH89cV+y6K ps/IAEjfFIfVhwWykfUiFkxizFJYHm0xHXlkPXWAMCRZEzSKdwB7kaosOpm+bwuNS//yNUlnEH58 md2Kk75432kByPmSD01UsEF2/DJHONN0LBfQ4I3phpfvXem8QW4DHwGNiBJENTyiuH+eeSbL1E0l vadMnGDJMdedH1jrwAjUE8Hm9QWzFYH9CJ3qWg2wVBhOK/abx0SvWxfYZ2R1lqGfdCDoizKqbu4m C2FbYhmAuwIx3VMrc5FVSd0srnoRJWOSew+EDD2gULd3OYMmQfwodBJNUA8hAHaQ56nrKXvBdL88 /gjTWHji0+h3GiQ0ZC0qOdI4XD+lUZp0grT3xlD8juDvXIGWZQERLsJp95hvC3SGRI4vklXzZqjT xSQzmYK77DMeBM8GD3YWxbEOCd6d5I2gK8boNRhJwPOePmBH9AbEk5blAm2yxOX9c9N3TFcuwRwJ BqrgvPvn8oL1d9j8EuZ1+NbEWuqlc7TL2uCLSvz6nr8LNu2iaP/+fACnLH0nUtbLp/rekw0pnZC/ YBRVISXXx0HoCpaFdydkFFPHLH6PBNyx+29isaH6QhXu5rfvw4KlYN+7HQY8Kseu1zqteHh7TSXc 4bMgFSz3hBXKFdJD4ncROfSq2czF7/qVaFdDLP7xeAHciHby/Ij5OHU6leBeBp5Q+BBS2db8Z3Mm c2CqHSErwg4HpaczSPgyuS41yqfgu7C4SvbXjF6UN6OErEjBXL4QWK+c8U17S+7ePKSTCRYREUwZ a1MjjVl3VmSTiclvJ23pkbdMAsLdy0qVkN/CQ41CklIa14jpwGS5b9rHpfYLKk96CNRY+HE95nkp WG1gz882zZ3yIpXsR6MWJnw3mSPDDExjyRkF6xdy4KhM9IxrjMsVpaQSpGaRp/Nvn+itdz281Zgl Yqxz8r7G+dNWg72dMroxLTEkdRtW943ti2BKJLfmJDXeaM7CHx7dMwdn0OQs5VibcYcImzDUxYHN M4R9aYKVyG2ZR3dg02VOeTRxUe7NatyHb7VI6itmHwe88iyHDVRy8K/0M8OJ2saXvZWz/EUIB7lZ +JMU6gJ/UsBN4XAshD27vm9/Hq8b7TcV6D36M0wfPtcg1kqRC9Ju2/HIyWuumhC32ML58g8oN+mZ CaXIs1/hBqchzCKQbTJih26HNNF5wLn/6iKMfKZNS1gLmD9ttfaCWWZBXD790uaNeZnM8d8K246S 5UoTrI+BkGc4iHzGzmQdcrYIJzC94GmE02OiKlXeQJ0YFkLrGnuuCDK2dpMvlOhHS17txfAk7+gM bpJOIWKfQFaty14fPtGcmQRnxZ9GPgyvkxhtojOIfVfxRMpzuSh91m1i2zROMIOW9OOsgJBqWUeG TMqXcp9EXz/iJFrRnc4CZiCs0nB1/Gwm3iTMNNnwK57/+N14RCD7JUKX98gmrYbebVouyn7T/1s1 4q+ux5B/L1+9iGLgE2sTMf9KdEua2QGbymz0MZmrXdJF69w02lb43Mg9CCdoquhga1pGplQbc8M1 pyoe12ZJtgLE2XN1AYzq1DHjNUB1VZEC/qHrvxan2PylVJIH+7HHdshIozjyMI5EYD9VONQ4Ka83 VILigAzRT9SiHQZ50L5iTyAIZ/Vl//JTu7lghzwdLMhcdbCONuI8LOld63r1m9OEZ9a+da+O6SV4 XovNtHGJiT2BUEKEeUn9h9aLCe3ffB6Q4eePAiTYEcj+w7kHccDtLr73fjlXTwftBzKGtbskO2OZ r8ZzGDZq0nNVQs0QqYCJNMxqu+Pr9fFalcG1Ti2wwB5NPWqQkBzYxWs++HJ01PHgIV/6Aimub8fF B4cQXp9kyOJfJgW6YUdl8V4LgIc84V5gsWKDtVcwpSWr7f1+Gw0doG1Q01jvCATrC78IxxK5Lu72 q1CPS1dTPFBtUv5PSwyJJ3gyyZrz1l4LgcUUnqmWdcI4sGBXsmZUuKikPikT1Mx1ni/mVX6iSexl Y+SViDN3j8KLCHwFHhAAXVmE/6EJ4ejYMoKndzcbojIHGLpSsdlz4IJlOdANBe+Ue+iR87hqnPRB ME9fCGF5yTpBUu9t+S3E53QaOS3J/WG2CUAoC1IcpO4oFzD2zkWh1I7DKflWkp273R/IX/iv79TT qT9Ivn6/7aKVXwCAlb1MGqjQpDVKdNWA+c/RGe1hRTDIJawmSkLXyRO6c3Uw4qyuA4FA5qQZSH48 3axWL+LMggh35I5kBy3IhWUOuD8o9WpwMjFpnS6u+j1TI9MeLTGc961tlG+oi0q4E2LZL6xV3epT /5mwqmnbtJlGM3xa3t+poSn8jdsnamJfeowqC85sx7WsKYhZdwgsGzHcV/+GubODDX6vvpGp6+dL QuS3weAsPNSrTX+0mC5VLgVUrsHs8kzi61KENQz8Nnoxgpstvcq4i5Sd58fyKhQtyuTf51Mn3bpA soxsaSDQ2pKuczgl+9UU2t0KrHjZPk8fmXy6fyWvw3tGY0XK9SiYDU4n1RcgfNgYnSMxs0JF1diR UQq8AARbWrgjGWxGeuqGyoQxpMon0cMbbOcCLoWo+P4XPOIRWOZrleYI5bNgC6yTRncaoGtn10q2 h3/Suv86zO/rMaGXtvbPTGDoxqh0yV7n1Y09Tob9el+g+Cxf10RTVg13UNcMaZk6ID/RT8XNZsgq aR9fw/wNs+YqUVysxji1t1SubRVeenXVeFjq8gWuOEf3tb9Nwn6PeRl13CPvARFyiDUdegxJDm9e dKHNZ1GaPnckF6GLpK0LdzYwkM9hgXyKO0qr13SqyVnJeGoFIqaKFVIqJztb7q2MlghYatK7JHeF 4HCY2wNVEBS+zSpNth3KivDH/RdAGq85ry/LJuCg7ulFIynNBxLHBKr186ZuKKhA03r8SFkXWpYc vHPmepiX+AgzTg72hViktSAiql38gCwhBIAqEd830IqsPsTw0qlKBwpQldtsuKuwWX4AC/i5refv TomhH8Qek9vuS5ZR01N3NSQJTdFYDmB+roiLyPMOsHI2sAyB772HghYO8hRzqzCavEtrgei2wvn/ fZRGmSRKBvsnaAPKmiXKoneFn/8NqlXSGL1rAKkTAOvTpT1cjLsFBiy55fmXfC3nBmkZKqkUXgMh 7/7d4hx9UFvUsc6Tv5ArJzeR5Srf5Bi/6QGFmzQ1rqRDiGyba6YGAgQbJWC3AEjP5jCFyTsBSc+q oo6r2idLQerngIhHspsf8Q0gMfiA+LLmgPnfDXu+b647fmuCzg2ySURdE2D7Y1uAeCnZX1us69ci PoSzbq5R0i4uhSS2D4UlrrWaKW+F8eihaRLbybW8U5f57zl4X3UI9EnZyMzbKrgX/npe+JJTjAKW qICmN9WlRUBH0kKVlmKlMUjAFjlAnrnd2526rKscdOuEHF5odv1LrknWFhzY+Mw9cld5K50Z4Om2 ZrOvQBD+oRRU/6+oDvCbOxpQp1Jw2zikRLIfBaqo+qVeQJdHUascZCDFX9kZnhebG96WD4rKbqJM 338Ubuu0DjnKGnqM14ZoDm6BkVCa0QUBi1DLnGzbZzgw1pKDTaF8WxQiYRuV2m8cQzj44KxabdRd 54HKg/oD2lucVVkoXNrhbVO9EGw767QXhmUu13eyereY+XL57LevzPV6/BTZua/RlDCEX64a5zKC ihriPHDyZ2fb+grucIV6JzP5wGiv50r8pgOXglEueZZ4FOXJMrxI4+HtjoPlRbGx/XOAmXeT5jmA 6TcDlEEjBut6dKVdQlvMDIdGfijGVDVWU/fXBWF3s7wKHJ1/nr9OzhW5p5TATgRLcg3xiEH/yCxk B7eYwJT9iClxTZyY+SvUOMvcTMGercv0Bw3Y87zlQD4OQrflULdxrHZarDVW0FpqLo8ALmsvnfUe 6bGklb5IjLjOvIKfNhuHkHRk7TCO4Bq7V+vmWnYkP0xV3ElB89TK8zrQ/7vfJsx7XlhpsNdz4YjZ qOgIVSG11wIZbTcZ/0eF7pJB/LM+DZMzwGDlpv8+1zvJ2fkO5l2TibEVDs5Y5NsutxD9PSXD0+LR 22zdYrDHnDUgsYMnRoW/2DT43h12y/xq/d2e/igtcE+VMkCvihSwcS/mqYcdKmwGxzM8qU72AgHm WtH1io9XBkXof2p0Fhv3KcH6u1+Hy+Z90ospBXXqZ5Fh9NvaLN4vqn8oOHu5WD5Tu3w2ltY6k1fk ZXLPC0kbs8QPXHlC1+IOXnxNNFtc7owZ6WdssHY3eGJPRttGsdPnioCvoacvZKRd7ThBVG8fs81z Ma6QLk3k3TSzQIcBM6f1Ib1l7cT92VpK9jLoD4PcRVPZ9OboZ/l97IkDnXg3Qq7DPWNchXi45ngo KObeuFqrb9u+lgTn+NgXQxCS2BtUnB8GodvOJGnMFjry6p3H+eYZWwxtJcfsL6g+Qt1i145TWQXv 0RVCdV0FWEcS/Pl/f85XsBoGoEHR1bkZ7Y4Nd8Q3/RVFXti80ldIs3eAjpeq1p98S2LfSu30p2J5 Zuk1NQ5Qu7trL6yNS0dPvKL6wSJ6c8154BhA6oqow0qHW99+PJpqeB33N+fvnidXeQLMw3BR48ds /R2y6/IJxjYhSuD9CI34EYsKCq/DZSUhSjE1V/ViFUTZ0QMlkP9afnvQVQCLGGXCKOXaWfp5oUON xtr4xJ8fPvab6ShFUfKgedLczDdAQ7iBaPl61KprChUdVa7bt8Djr+UIapHXap1KpfQMD9Ctm1aQ bLuVrV1JFNw8OBz7NM9yFPvnLz4Jv98eNRjcEFJKUi5iZAcsX0fusZIItW29lpK6WSv5/0hOwjjR P7T5y4M5zLXF3W8fBWv1/QfPf+6yT1RmDdMlJ+jeF9wlpjiY545AXuz7K8TJYm4nHkZ+ZZyfHtYz YsDpMA0x07UF3NwC2ySK3gknxwwIRa7SYEcvXtiNLN87ha/hYAkA/QNm5jBR5fjrStu2JK02Nqdz 3ndgK9FTyCykFgodvFMnsfd1Xr7qhKzdHIKtSjp8FsK4v9091V9yl5IJnHfk0+3tM+HgD+OeJ1mq QuL9TS5HdegU4vzLzHKAWMOaSIV9srmoYUufGXQlWfLJTJcQfnYaUSZq1Ob5rZYuQb2GWCa2DUuA CGTr95NzJuGYB4hcWp1hzqT2fA2+OjbCeKX9fCgqeVfTdqq9QenC04nEUHlVqr72m7hXhBGDuac9 q8fUbiIr4IdfZK1F1aXnaNUe+Qyht8NyL6NaWDQrunosNTX3PHvTeMEWxg6SoSSUbPshOpbZr8Ck ODcvB7ozb2db5GPlAYQvC3BPYKFrixvHDv9ZCdWxNsKGIZa1ic4/i0WOyP4FgsMym1oinDDHKSgE r3w5fucBi/KEhq1U7SWvolqNFcAupcuDBhe6XWUV/osUyikpdZppmnKraPTAPrDPL+4szyOFLGRZ e5rXiOSoNtJ0mDHxzeOCI1gw9yVo9J1jIHyRujAf/N7lHzDmrtZ8cKjiS3k00SK70fIrA7y2j7Yu DKg5cReT7S3snp2oE0Xh8tnHAqW2dbIzDrdiOj3pEelTpGMqejHVuqS7UDfKtjx1Y18iiI/bF4lf XaJ9/r0AmkY8+wrI9vQ621ZfEXUY8rFpSAd/oCep4GJSm1DwoN+pGeznqoP08GL2J1fy3y81KdMI cXCeCGZQ2XBlxlK9jg1DgOkG0TfHdKO6z8YX5gXkdTy324QG3inGe5+nlFPBBMTCmS+fUk2IS/W7 YYZlrskHAKrRgWY4ireBC27TVg5d1Lmj5zRQmEWA1rA9KcxIRswSb0Uq5Ldhy97ShipH8x3ymuir XsnM1yAltY9s0cv0Py0LJcwu+KQwHCG0IIz7Axj7Kw3Twm+WaB9KusD+DR6I7Vb4910lYvrXIeUw 9GV1JtCWE9ZBNrTP7y7kXIToOr0TiDHQS54Zz6TuMYgLPU2eA/PsiJS6jUe4t32XKSfVpm1YnGSB m0xm9UKnE1PW2wXqiLPsRJ/ur9k+JEXjsQzNpMO7eVA6CNf0WVVGDeM3TL0nxIQ4Dw7rL59e7p6P P4RAyAgQqLNH4BO1vTqHxVaWzCSGT7sjFOpe/0NLRvl8yHWnfWb+kEVEUNFd6b0Gsyr5S3CWl19X BFFUWdjkApDvX4TSygi6BXcI9W14HPbH9vjzpm8Av5PqwnA8XhIVP/Lqgohu1WumVPmaH7/wUFS8 L8aLJdQ+lnAYd0N5m90Q61gXDboArCUhUH2lZq84M8d1JTIImQ+jzXIfhQviqfRj0Be7MAsp9lA6 GQSHfN/HumUiZUuQK15nPgZtIsCdDyDD408Xp2lkyvGYUsryObKjqBIkwPGJSVMPyqVi+LLrDF2V ruiFLXSUukDRX9KTLbkjLkyRbCSPb2fLO9vUChd+CEIs1/T/VYWCW1HmwfogHqpB+k3DKHoHOMxj XLpLRcB2JvAOKEFPpqyQ+mMpMvoBDIazKv84WdUjOkXRvTmNbx/BsUtfyFDKYZ+PtUzw8tIvR+Er tk0lodH7AaCKVGFtJ8a0APFN6CgtGo0vxoj5wT+gfCiU/bSabFt8UFJQKSk/2m2nmEBJTtFnUIR5 JYe+Ru6/rnz7mB/iDRz15VZTgdeAcz3byj77DXq+uA2X16u4ODIDtTxbWuhjsoO2m9H6ewY6EZZf 9rKcs5xB7/+L7dLI25+UjjJyLU0wIcfv/VXdi5EkUjo1U8NH2UbShypE0s8GrhsrpX7+3VXaz9Uy IbbdqPvF3Pkim617O31C+Vwn6B16fGE27eRICt2mkkV4kHqX9kCviafjM7pQvsMh2CI1nRQCqhy6 hXupE/JlSngXMAEvwG9ZOsuJBYDEjVoLQuYdGB5bDgrVBGS7m4jgWIpPi5rU8LJaB2vrG8oRVB0w 8Qj7o/R7yBNNOUSBDNiEhXy5sV4GDszyYY6J5hxv9Wa3ddwdsgfWK3Hr8vy8V2+d+KJq0Y6NRjUf Uyy3YXHGvlzfCsy+zTM1FjwaeoWnw/eXU796bhb2qVxIkg05zvXQXo4Kykp1CaqL8k3TC8LwPk76 4/V6niiu65EROgvIgwhdWZ5lzSKUMbZ0UI50BtSDqgjDEwJoqoNoFjwf6UItdHvkslZVUstCtdGV mKJg/cvYKGiU70Zf2Ttn16k9Cu79OmwA0c8174cwF49++1jWzJUhMMCR52tG4ZFIJFGNICoMWmPD y95nwc6KXkIjddFwT8vh1RxpUSdcH41pZGI4k8dHnPrRcaC0ChyHNryLw0ou+phu8AYf7YmH3hQo PPj32FTF5Or2w7J3u4FyPv3dZkr/gylMT6H5uz+8zTmI0pbqkRVE0+SmJfmYy7SBNJwACsni6f+X hNWdMiuxIiEocOhHzdQ+hk7pRlhsd2S3PWEdRrTaGaOahCVNBX1/edPAfQVdnr0KJm5SmBItOcGv Xqw94KxgAszTMPcf/leUQXUsSYSMtQNO25TJtAPccndM1fLdgNvf7YvKF4TAlHebTN0u2AiXKrVB wuuxNeVL5XNKEz3A/sP7VTlWyOQblRcNHHtkhEEpctl8Ym5m1oeqTguGX6WOi5KjtSP7d1yRQ/X9 FMfrG8b9B47AIJBZHRPDZZohgJBJ7japMCLs9z3btIp/y68aFT+QC4hLTdpUsJz5d2RoiZPSiB9H wAQ9sSsWLXcPE9Ia7XH8FjCm66h8L2GZj2q8REc4LBPrzn9N9Edi9YeNtnJcxwGrA1MulFI3EMng zX7Mr/jNRQfHM5kyjtDj5jLZaScMNGYfsu0FzZqcLi8nyQFWBmJa6RF3TFf4v6MjGtovjIZqw+P9 HL/S2f8XsAIoiWpmVycavg4m3dQrPyqt91/bqk2kAv+SKm0vrpreIERHDSgQmglkhjKjMAFx2MGE IR6D5ww2vG8nKgXUdHFI5UlVHcxsZBZwUcFohRAhuAmZr3l0ak4b4tMc2Fd0lYxbyz9eVCq0r8BS ye+DiFJMTSb/drRl0ezU0NSHjW1wXza1x/8J9C74TluuJj2AzfNZyJICUZGnO+VeFNoCvsFFtUrn 5gPkuVA2+16IVNTXcscmOprVwiq/TUMUB2Y87PakUtEoSxHpMhfi7xjkiB+GshMo2/IGY+l2Mc2C M2VM1WWcKo4Af023FKwiw7CGUQE0tgHGuvaq7gru6rHq5XHkbPrrZJkybf0qSkRA56r7DyrVXVOx 5cgt8yrBX6IGQKSawSjiVhxu8niVVwB/djEMrBLFQwEU2d7UqMA8UbuZVamsMJkCY6zF5HpmhUuH Y1+OAgVZq/jwRHnRrKNcfk7b160gTsukX6wPyFMXbXX148k+0MBuHuD2cWTcODsC9wtJ7iIlwV7b rjbYiOpJH0JpiyOC1QpK90WqYLVMBpDsySm4QI+5WKraIp/fGMi5CSvA3v9lc8rcVtfbnaqnP6yh xh0dnyFnCGKrLEzaPKCmbzze87AT4oB77+UsTj5Ip32HrJ8UeJPUYZcDPQ/LnKaoUTLt62fO5yfB gYdld4ht6G7koLq26zyF9BZaAehEHVLLIWP2/Go/65TPLfP0NKeNycp0/0O+Xpa8Y/aXHvyHmbNY Q9Azs1/N4ZM6v82Ab+6rmzREU+wrsWCN74p40IXmPc6nEwwul8gQz+4E1QpsD3CZZRTTBPPdQ1AW Bvb8wq9IsxUjhdepjiAh2U1aS0KrE7nWYzd7yyahD6WxhCI0TGqnx7OSD8eOMwdqaRpJUG1YnF7g /WLSOhzBVZa415769eOdi/yRpzXpCGB5GlRWCL2r7Kr2/J3+p1St8KtP02oZGyrod6dUsFKGGNK+ JPwUT8gHA3+1R/yNXhX2inlvOsgwdED9ZL2kJfVzDhXH1jsMqftsBLwyASFXqiT0WcYFwc2m65oD JsN7Qzkh6BYlXleryaz+i0rQbwLSP5lS3ynM6eAARuJE61rGwz621yljio2L2J4w6sU581jo5YvS oCAHlINcdWpRIW3LpGbIco7I7UlX3t2+mKDkdupfiBx2UnWVB5YI71LSF7lmOte2/jGAtc/6wKUQ 5YsQWNrPoBb5UaqPf3Nz5QvQkhV0tIBK+/86ALsdWLprCxioEMmW+PHTt8t+1QEqs0vnVzkdsNwN ulVcisXu58Zmpui6k8PnikECbr73XOnnGF2/MkCAfg5ya3XIWcze1AtieHfKMqOSgMkM6mvAloaj /nCqzTOvoaX9W7yt3wzGB+vwA1uEnJuLqxRhAUwyT/gyqfPaGucAFN0pFUDIEE5dWVViyvsUtf4p 2vi7tV+UcjkDdEGSqkDKL0API2g27mHeF8HoC5PxVc0I6nzODDobQSiw/C+HxSgcQVsgPIkHIeyW ZKmL1ax36hZlDxJzd8nvMIj3mQnhV5SCDxo8eFo8lpaxYjKMBit8dIHWrHaY2DOs/7uiIRPxsxZI aBMXSCTSpSwh7IfCMniZTw2NY95QPFo5xuhtO+2mSx5do/X7ekmP5x8ialwdcJMLDuxoDIyi04kP 2Txq0iU/w++7i5rAQzup5wXwOn6qSH9uv2U9Rz7BF7dUyj5PLnIvowIsLLWDerYOEacwsM9dCko4 ZR1UAXxwn6/9cIeulHueIRDv7BFTLszsV9iFmR7uGl2gV8YQrfdVO8TsZjX94it3vuwx8qwT8bxL tnvNrlmcDA20hco2OuFjUnQMAQFCcSgG5JJI5HeSt/Ms8yktZUE8OHMDCaaqrDFKAGMHfx6Zj9qN B629znAWLRluYCNRhT6HYJXhNgO4JFYsbTS3gxI3CQ6Jkpo1P446piXDj5/b80MHWYNuTJtYEUM7 MM6E1bgPNGpKhdIVuTi2BM6KlxOJtP0O9KPft7itqMCKTcbfBOBSu+qb0CCa51aJb1fab6NObleK VKBTrn0+5S6eY40Ys3ZEGp+ic1S7cqdnuiu3zxAlJ60tpZfPTK9zUB4lPXvEu+q9033QEvVFG9Kr 28vZEmxiicleztY9tq+Li4hWKdHeQ0e/ikP31txpSEl119eMPsbmnMFVBIAQiv5ImgHA/Bxy39MN HcexyX1XbxzjWnmH4i4Ml0JpWXraq7e0ROleOPDi57oeTMMGrGRJ/qf3KxynKUrrjoldu89WfvwI CXhNlCFlHhqjeP36/82HARbxUuYT56yoE8ZUhlxBn3zBvcdzzCkHDLK9pn7m/Cet7fEW86vbmFKi 2aBA4Ch8SlfBVcr4lTrz3LHrpjwyc7gb47YHvJNeSbTKe6RSqWWyGYZ2/alciBV8CdasjmEXc1Be w2saajFfN7u7QCZowCK15LNraX7ATWzMELi19G2odat5sCNHakzXVfWwlzL3CGlSCKTdM1sXPAXm qOkVA870xWP0HQIvfJP9GCR7W7W9q2PfbHD0NvHD8yfXjfgKXWcMNzM99q1sRDluurqy676C+Rws QnJkdmEgEY9zTwJawixTaoxnaNb/Z4UsL6pxTuH5ICMz9Ao69bZXjSv/xZcPSI/HYgrPQUw1fksv r4tdTgLcD7WDe7AjIjAt1dakhGbdzZIuSztkICIzdxK0DnIJH9UxLjxVCbyGVEXhN7wrXuElDdS0 bd5Phi2C3daCVuqE/de+vRVsKZ0xgZqCzptk5mHeDEi7AvKviajgREZ4F4QU1nn8Xk6IE8nPi8HL geH171tlu6A7pN631HrTMY4K9tU3cDaSMULJMExKtlRUNf1yUgYeiiz2+JYs7qX7PsYTgTj/IMdv ym6D4B9EwTxQm4KEFr4GuNFqME+zYdEisr9QRG5JdUC4PrzAxPj3CN1X6kqJADh8c5rpRe5ZGkBp ggn8SkIvdP+a+84whxelIE6oar+vmfoTwN9oWRHtFQTtrsO6K/8zip8o6pHW86wxZzHaO8Wk/EAI FEf5AZSGqGDF+OllKmuDol0Nysv2ozyIIZP32UPpDJdDrvzQTfKY6ZcP7BgbDBVye2hUVqhr/+Zg zqg3qkTly5Uu0M65aXRLTEX3co4HQuDNwcFy2hbf9Z9cDkmmbcSflYh9dbTAjRvO+Pk+QpThZmQe HKoMf1eUSoOgXsGpEtejv21ctjWQuquJ/kRhri7RCnEcxe4t1NZDPAOJ3lY1bIa+WnG6wquogdQs A6zqIx1L08PkRqcmnR1whZob229NkrrrZYV8iYaWj3rv1xmc1VB29QuPYj+Dovja6WOy8JqCWTKO X6lbFMMx8mw6XfXtzOP+UqQcrjtxi/PbyKRb8wZsxH1+l7t4YpkuXdHJyjD4TH0h5hOwjvAgoMl4 I3RyZhkmaG4iHOjGWeu2CqeH6pBXdOeXCuHWvqQA7ZwG2UjMk+ZhhCuUtZKhY37BRGtUx6XeDnTk O3UhI0znw4RJ5JDgKk5RBrVVaRXK+vyx/JCAeDhpiE5QxBUjPr0YbjQJoFNK34DerDLZ51W8K3KV GHgkh0Yh0r3XYZW7G/AivBiapq9Lo+/1PN0C2Zi6BlllWHeSgLyyiJXQk9x1+tKWVoKz833KZWOV 7Ysnafni5ga790dRwqTUZt+72nbApr+YIsnBCvY6MAlf1HYiSI5ne+DZRePYgLYGZcFqMD8VfCL2 MhiDK6p/a6kx2H2uWA2tf7ORkigd6mKx1/hSPPFSJslUc++TCSMTSm/JmDm8vjM6tuHEg9Oefx59 Su3cE5UozaKpu1o5HX4uW9ok+wD2xJlX7FXNjELD2xldAjN58Mo6c4DZM9xPptQAAAovBwCSzLtp E9FnhvDyBAn9aLdM7Cx3ZLDVwm9XZ3kf7Q6EzUu59g5uXxwyVFjPL1OU2V1iQsuYA2VDjm/D2u4x 49qWGOBinAeSjRR50W0CEW/ODvPwZ+akHVk31jWSNrwMDpfXxZsBcrrnyG7MCl9u/piUhBxGujoF nCxeAwn+jrEMHozvWLEOrcEWJZprngCtEYCJvf4jqDmpukHJjZLjpsNNBb9wa3nWiMfwMFok3ZW1 R1mHZh3oQNwdgHslA4bJnlXkZlUH75aFMr9NmOuTWIuZtZogkkIdH8g+NurE9BE4VH7o1STFv0XI 4gEBcXqT7gX9ofCdNhjjuhcdpKPwy3o6uxvNkuwXazqpnw00aPi1jZL7/bZX1WyrzX/r3UtHYs9+ 4PPMMckDvM7eCgBuTYcnOvFlvltNaXWUdaqguJLQrEyiQLQPb6WzpJkUAtSf72HGxWCIUeo4Spac Q6OJpITNHzQx5GXm/Zh9c7iiO9D8JLQHK1xkuKOV/YSKiIG9pHJVlrUmGXq3LkgGwKo/FDGL1RM5 lwgRFkPc+Lnl1PGldvo4P5GgGdCG2lDAkze6IY2dbWVJMkmGxHGqx2RQbeo6Cl1Q+b5eEc9YPFlI GlcZYmM9LY1xbBf2KtpwWIPP+3G0+n/sV9g8WYTZJBj55hSeiERqpDDPx/+OMgHb0pN2ZFuubMZl C188iapIjeClp4FU30jVIjVHYtsSFCbWE17fr6qPujcnwsY3uqzb+HAjkpNrRe4xDlNWldKH3yAG c0cI7OFAJI8e2t5ukKgtXiIGIQf5UVhlshjlRBNipjNJ3wEbQpwp6SBZ+DTC/MO3gBs0YaraQUfG +tKrIDggeGP2iuksv5ZqI26/jNi94p+U9x5gS5NY8UNt8FDs4h04lDcQpKVluHFG83sdbC9Rry8t XwP2DgLacDBcioiBzEnf2oVfz10XHbgr1TG5PRXmLRii8yzQHTnMgQxWu89pJHD/XkI7fhSwuovX L0VCg1prVb3ZPbKz3ifIuAAoRqa7kvfh3uWUXcEz81VYOfYWRop1/U17SbUMT9o8SFcZ6ZhoYboK 6SilKYIxMX3CyxLFv6ZdvhQGT8IsaBsZ6tFal2NF3SZbt7ox0BXlgFW+TAzcQcicPlU0eLjMq4Mv rKuLcqlFEdKFxLvxmcWmMhGHaJrZF11HOlt1tyzS1yBl6kP6kuVm5X1sW4o1Da5cvfavCWPhYbY0 ZkDcDiuiix9r2Rea5Jn6DK9ry7gVH1ms0w76qWd8FC1dMMwU1RsjE/KsS5BHLMA8Fk8P/Jnn2/Q5 Pv/8yt0i79Fhsdu4mjwkOcfh7yCdddpiLgYqX+lSy8ERzIsisxWEBPPoj1qZee/QDttlbP2ODGUP 2oxgPFeOSNosFDtjp7rJJBPo4kOx4SkQd+iR/+K4zyCjKWfG9arH6Sn+mFhJ1grFFaVMkYQSEjdk Zca6lg4f3Pk7512nlKJ1sv2pwkTcF98w8aOFYalZVmb1lB9afMTGqOHbMB71EA4xkeaJjY/M2qUt e8NyldwwqgKHN2llmc4Q+eoX+ph3r6DJcQ8Jv/AxJSBkLh5ztpmPYQp+1r+M/nEp1aFGgFk9LoI8 BEYPagrvOk2KhVPAzvjlhNreypP5A1JCbHM3tsT51rwFhnHHS+JHkZXxy/dLNnSYFi/LxYc1k2lR 0A4g0AB/4D64yinHFdL2TszUKAlwTv3Q/+E96vjK++RGp/h1J7PVylt0piK6RwgwnSWG/+J70fYG s/Mc2oW+sTTUE579jD+uaeifXRbIWQhD/yik+FOvJlKDcUzlzgKzagry37RnyP5uYzSmQH7duiZP kdg5H2D9+gljbB1R5hcQxsbXeOLb6+qzr4DkmVbBOFHeZSviy6/bizV9vcX65aFw273H/61D/KIJ qtkGjVR6aBCRgiKse4uPpOjyi0fvjZeKd+QaN98Raa9Y3r+KcBPlwgpYt911np7Ge0iM3KbdQD2F loXvixbOnNvSkQKfQ9RuUTn1m0aK+4RYn3Z/RtEAjlLDkdmrWoTYOdDtqhyUznafjVh88EfkLbbP FKxwnI+7xD0c8kHGyYGrj6EDtiNXRQurkjojZq9OE1Ep3f0jKvT3QjO9DNHtCRnskx92pqdPWqCC o6CDIf0S4/P4wMsCg24yXS5NdxXo/EASQn/bXzsqhneeu6nRbUwUBOP2fY9ps8O4TVMco28zCX2e Ur/aQx9a7fsfNtOcU5yr+xC0fBOMmsau3LenUyckU14ADbTAdJbTSEJ64sPRM1U/A80/LICpp5vT A6N8w22mKmfXypPSIzNWhbhVvzxkmTIuyU4x0fry11IEnyO3ciSKVWcQQOg+o9+FAbBr1lamPb/W AzMPI1US6yLXWnfrtA1T/qLecvgUTwq2OSFVCsv7ES9ZMWbutwBhqszxjxB2CiZjU1WBPt/ls36Q nqQvQ3YJwd/5vHs4/FjMqr/A6W7iFECQ7qr0jFtrxAj/EFXSD8xUvQ+RyI2vtzYztpsq1XxCvDAu hc6e2yk632Iioh6PMzq0zfGIp0l9WGTbWvzE3qu19DIZoXZOwv/CeyLvQ83yT+VDkdBf5pv+Zr2a 74t1iMreJVweemR3dV7OZAK/O8Gab56GUa4CBEeTaF0wINMzniivfDKyq6jmOGouMDW20A9Pw8eI 9T6mAcMlbG8lmZQ4MZTks7ItnALRhPGzrqmqSKWb0DGLjhtMn8xHMHbtpAyQmNNY00mhxI+UXEkR D1vW3FRoILLDVh8/8g57nHcxJ4sDQtarCXdKPlEYy7op7lFD83SsRm6hiL+bV0gJTiwPbV2qDLOr ubx4WiuiBpQfR+s27L0K7NELK4tgLzbSTJbwJg1DxxwPuq3C/YLRUl3sXY6MMr1EktM1wHoLNq2w xy2eeNi3Sy7uxeBTkL+oi0NZiKhBfOlSrx2s8x5ethSm1skzFLrLL3Pwt6/vEUu61dRlIg2cbKiW /RB6hrYjc4uoxQCc0Fw2YajW+ff7TY9AdFAxCeE/uRZ4TuHsOS7/Ysz0AZ3CvzMpiZzupdoICkTy nqbnnMY1bfF36oj1OtTpYdiFz2YG98cHORJlVTtbKG/01LXa/v+yqdqqREFdtEQ1qZVnrtigFe1c LGWpcmWBvPO2mhSoBIQMCW1JSgLh749sIC7qo3Nq2ReNakJIdkn24IMTDlq4iJX1XXZSEOk4IAwH DkHFkd3ctn49ONKyI43DM4DFUS1vUgigjfexlJ1wSljQvIjZN4Y8ieoLLI4u3b4I6+3h2lQV8je8 uiK7bCkxtGoM6RaNlcGUuJEC4JsAnYWr3pBA4bRtZz4JM9LEaspbvaCjmp9FFzUY7sW/PWqRsxKa I+JfGTnlIdEpnLyDnFCt9BmQZgNXZjUOyvYuVxmP1aI56XnSB0bY+oa7nibyQIPsslR0GaYNJaZc 8uKU2X2f7vNLLcZ2YmGifww5EzaATn0fydHm+WJ5dOChpUam0vmEY1LTHvnDfKZ3o9lH6EfWjpIA 2jOpxWiTgzbNpEvdMbUCoPImyfRMq3ilratTkAfcDUcxIBYBF9ggwIetFRwW5krkCIPJ5sjTaDJL hNmxtZ2iSkPqK8poP2QUQkp/TEsx1KCC39f3nFnkwsxD0zCa00/t51SIdq5D4ctJEZk3nEHvE9Gd xXdCNk+t1M5GE4Mva/6zAVxgLns1LxP8hS1+LZpUX2zogfj21dqIXP9XDU7Rgj/kJxgGBXI92h5R PDYcDfrc8qH7VKTKSsiT8nToqE/4eoBHVICk/M+H6Ks0DfnDHxCKDasjb2iRs6WWrgWLx1Gut+uZ LUDa/Bzuh+fa9C4EPTZnn6Fu2Ii31vSBAIJQvcyvTKe5M4WdxkBgyFZYqXkS+bKR/XqGyDrWIu8p Fjj7KgqlSL7sfNml8fe4hyTfut9Ua7CEVcgTVYE/GqRWhQKPyCJf5UM1siWvEzUag8mDtoyXueOH 582udARYVZVT55QkyqBNByVaXL8/TDWqD9dqS3/I1Jhyk0VSiydxtYQCh7kkh+sDqy90DKdz0q/w s3GMUO4csgoYFOb9r3R6uXtbtcAe6+8TGGyP6SM8MqwtGuF/YyVpw9huUFRna5iCLYvLEV1oy4gt M1vnKJeEJzYn7nfMcL+vnStNHbbzOjmEoGxr/L+mSVqwOadNCW9xA0T69b0/2y2Zg+JMiU8/QZBh Le/JQhPo1uvn3+gakUCEj3rv/TGenTHvuYPS5X4PhnmwhvjYOd1SvP6jDeaYwz+l6skoa7dcDi/M FiDNebwua0uiCTCbCKgNkaqah+nFvApElpGk8TnYzgDlzkKR0iVN8wXDTzqncvIa+Cyj4kBtv+K6 kWwBaE+yyM0sX9pgaFP4TZZtyAmwJ0eEdFo/duC7hfuUJMPtbYh646nfSWtuK4B/V3/+9x1Oefca C75fLpYUa+4BfSdcb69ldJ5hGgDaZACersGHJTMPKs9ggC/Uuliwktf5LbDo1oRdaLZSQEvJQMTD myVoylWzT9PYhbl8lUgAa7ss5wW1O/w6+zzT81P1oK3gkonwkee9Q20OsZ6ZHjUbf2GBLu9gprRX UJem9Evmricxu4/uanUkU3WnuDaiRc1VOzHed9cB46DSOsMu7JfBSrEo+9b+8ylDWI0v0x1amdK8 wtuc5rCDQOSrgf2XNg4p92wOJlSyjz8UxgwDKCuC3PGj6aMoFO8gGJ/1wi2NYaIG8uSXzTNWRIXa x7IGHZK0vtoSeGdRvobMLBpE4sxThp2V93DkPo4kdXr9aW1KlIrJRejSo0ZX3Tkd4DLuQPzhXhYs McVj0kN7sLA5CQp4CC1Jv/5/BKv3jPifFZpMtiMe0U6bFvWrDDnq9Vlj+PrVhz0Xbw9fxYKkO/ay ajWR7C2ogsox9Qh3yxOk8Vf82xHkirOvMZbdTvJCibDIMRboZ+S9In1exohSSuaSHXmoHzAlrKS3 /P/uViIRBLPubjUXcjX8a0oLdz0bYA/raHsvybbxg9e67PRTI1LXCE+rNo2X7jRWcqa5tS4dYlLG eHnIulYMxFjmE5rSmNSTLWQYsRDTv/uhZD5w1Ngjuv9UXpJm2sYNz+zZp71FIutYMbFxvhamSp+f +DWBg0A3l49SKMptANhl8htov/TFP2q7k3x9mZztlxfCy7ZagneJmG+s2fsBlFp+MYI/cHwksZBJ PXndeDpAEKdSINY6TZX5SamklU2t9CeF5XUqhoBHxV28FYigf9GomK23y2ek5H5PS8VVIH6mNkcZ 8n7ze4P4ohWS9Ypp4xFwgR+QndeE5eDL2w5tHz089pliradyxifSC16rB9TI2X1uGTcNS4ITynzV hoTZRjQEfNHZpLUFvgJw+ZUGf8ET5U/eBWzHTESSddF/d6MKbUnv11eSoB8OhWLZJ7DEcCTAMmFg 16xPDNewGTDA9IJD2YdN9JVLJafe1oD6YfYQ+hx8QgCt8V7mHSRljBV5lFzXeLxBegYaZ+P/qRkP yDXDdOD7FIY7VM4Ra7nRnDfEvTl/pkhPmARDXs8HqU06uEUCv0YIq4PqJ3qWVGO9VQtIC75DtJXW iph2GWfgJTLMYLT2cc4YyX8L95QOy/h/R4jmeoHmaJMKLf3dirzgwgYITt7KNrePAgpwueaYRmNW 4/0X6tinwuu1gjHmzunDb2rHfYgdKNslkH6E+5WsujSzBuW/r03HCEBQIJ6gZWTYWVllJOdZfMYm mGtTNgZnZcOA+umXnvAiYQxjXKcuXpp9nZYipN7xClUyaR6PMJFVVPzTXXo3my11uYrh7PLaB+RM p0XNQLvQrDcBIdhweuWlsX4emHLsRd3I3r/P7wSjJsuUPcqVRaGMI2t8EqKzVeHuM2bcXGRXRzZt L4hrPMiomrYShSKTXioxyZeJdG1oWXDb8jryBeeVpbgSA+upuxXeifDGhYoYdIkI2BoZN31YMUyx b90KHhYYeow6P78YXf7yIl7Xcwu3p6LceqJCF5E9hPCijx5PkDOsUZ50SKFkYxsIKbxsZ2rSnS9i Wj+0bwK8xYKB2ogHPJpFEnZBab10Un8PNfzb+0hrcprrbwMt8+fgUPQKAuYyyuA6kihaJ3zSPQwN GqiVtYEZ60U6DflbRlMAfLGrf3Xlq6fpthSk29wYNQ4OjDnhEqHfBxhwmNGoitXMTlQjheaAmGFR kaNe0vJ4L1GOio/YZSZHWIRFdVrv7h0qVVUGJb7RV/I4GvFhwORHqtilAfLZu94vqnXX5SwRbw/f 16iV3+l5eJuTyHe0a+JirejQ5OTN/GPbWqiYCa+LF0D5imlZdWt+qvliI32xmASUd7GUfCExVhin S3wtbNhYebrvldRop0G/RFiNoTj8H3WdNUtHFh65WOalleQKAMXJDDgZ5WY/aWy3NaOBPAqrBP6l UUrmvwZ7MGECap1solH7Ngam2l5NEX5gN3UunVj2J50EYTpnnSyX8a+QPlqebCD0NQ7xg7KFT3bN DlrHaezZwkCy2O185PoGHnzmX7lZV4bSguHTAeQ8uerrDU4tbD7hagyhZwpdu+r5UzwVr8kHrg81 1dZ+k/Ac8H6nJFbmPXar7vlNU1/LO5R37+hEvuB2lxGeDUBEEHZC4BdBU9QTXUZcbCN27BeYYXA3 1Hp34BgKUF5t4fy3TheM6e8Z6/XziO4o1uxLZJogRM+gSBNV2LB2J8irhGAHZOx8drilvn/MI8KR brOUtyO1YBDWhGN2OkQSbmPMSvt5dzarppoHEDe0+S0HhK0FhjzKaXzgFrfgIgfw8yCxmA1qqGxR zQprC+Jw8/srDT5yTEyFYJ1a6dWKBiRrM5TgQv4T9qbfKh35ZzMB8lLQH9dTKb3aLtaCVj19OGuY 01m2HDX7HnTWlUp2OM1mfeWLNvDi5Fc97w0H7UW9/lTJBJHUNbeQugSys6y1Gv3AkxdAgDD//gJK qC4GZUD6C9ABiDA8cGbupmHwL6KX36bjaeFWfrVeATU652kQEeL7obzPR6lD3fhasStBQ0qlrQ3g PFiWbPBrZLvaQScqwIx6yTI9qf/fgH6/N7fzXaItME3pMVTvZ5yC/b44BSKvjdsU3BZZOBkopoA1 a7eck/3Is7tvngJHZawyU4Ig+L90hc8QM9ONiBYQ13JRHtLHF+1xhjyOBPqhkj9kwCrCLXt2kLyD TwLAuTDwpfJHxNZCm1RIim31WD96TsHGqU7sKsl3k5Sp01xHhQU20ey4KBbtcIL1aCrLJK1XBWem OWlPw5CdmhdqRXkHr6jVUtnvuoGyZEwwRBpCcQB4o8QC+EYiHjt1wNh10LG7kTxbGy5xWZTWR+UL Pkv++GEIYRBrSkU1Nw67x0a6h3Y0e9U//HDiqC33tyfs6e+KJEbw6998kPUdT4hSi1DPE1GtNqHK tazH+2fI/jGjewZMhF6K2BK07p/K9b5W2cL64yNmgPss0GGyzF6+rexqYvnvkZHfAXhXJbheOvQX oQsIN/inKGrjPWjCPMG3AuKuraNsssevn9j0rti1NyB6k3xFps7TUdpUi9MZQFCcXkeC+45newzn j20B9vMHORSpJXG9cRKFfoZuiMZxGVoHwzutA62w0wT0v5V4O2ba1daha2tu14lBDmCkXjIbZ3N7 VH/xDHkvjQMjYFHbc9yiPyHwMxwTG9e4uuXB93bPvkfK4XQbrCyDlQsZMMo9a9CZLxMvHP8Bx44Y yJxoNWD6PWYAY6uO1tP6o3pKGjbqyvbkzCH2+Vz/C59hWK84Ie2ivrHQPFq6hvO+cffwPnEidO/L gGFO5CNt7DoljkINa3Wokc/Hx4JEXg6ZSnoDtz/AWkUT5mJ3qMt7Zs9dniI793j8xPgrD2WfBIPe SmJqRKdHiDhfuJuZUgJtTElj9gFJxlt9KP+DkDp5NmFIq3qxa4EpgYJ92ESS8BcaDLobEEcx07CZ YWQEVEZL03LUzQNfHZHJvLwOZuy3wHAk8PqBS6Ht37ALzkYxiva5MN7eCMbpaP2lQhGr5X1WEOD8 zGqpPfxiBggB912TGX+qMrSBUeWv2mFmpffvFbB4xWvxDOaYosPqsfeVAIGd6f/5rJvc+TNYoyh3 UqoEZ1LOcorHUl6sYGjTRqXBf893kXmZN+29kiLmswD4a8BW7gXkfjOgs25GZ16Iz+2c5WSVuTpR EV0F3cR/ziAuAeQPoDcBbgsyRjamG5now32Rf5VQmSrrylJcsSvEhMcvAMHxZZ+lHCjbcOZyOJuS 9iAuVHYYHNBW7Ma7fjgpCyEoP20pbmDt/lqh/PxAZrGjn3G6qK6zsr2bo/Tmq66GgzjZu28mOsbQ +53C+gntU6a6nppA8hr289g4krRC8i/ncmTK02gENhomj3hElkz8wNezf/7zY/8mDYluzqTDJjvw 6G1qeNI/OPZesO81J3gkQI7FY9S06OlBtFa3omqWe8+3BgkNa8kh+5pFZUyF+nA9DAsVcl5z9tzU ToVSBYo9WXA2wKYDfvA4HuLiOpFyN+zG/GNaVhTE1B86aUWM/9/adn2EsnlfFiNgTEMdu+BRVuX2 tqQ92W/dnvrdqv+dfstydY69WleJecPkZ7tqIshW2CVlEULjcN1b047jCKqlcGUpsVgljNu7Rtu/ fJ0+jfcKEwoVEegcMMV7ouquWTrJjNsUB3CrSaoQkJ9jRJvqF/K6fUlomgEK9f9PWu5mLOaUFdq3 I1N/F/tsczF6ewzjnF+KK9fDVfRbgFqy5wp3vL+FDT7JovxTUq/sDManBfmz+wUSkZ8r/WN3p62K qx4Imt4NUv4WgnxqMpjzZJD+J72dGkNRw2Zm/rTNeC1v9PQIJy68UUOWacgGEtP3Ys2hlWPT0ZU4 tDlalJbkxHSFbXiB0D1YHjF9geY202XIWU91ulqFdIMKPx/8o9btAd1LsGYC6GxwpEKRdU9XUJN0 mmTB778jB+Bsb3C/v1ZZHuFTr3+/2mF21CaXfoox3S6eXSHPbt3/+yKds/1Yn2TdvlcPGbJG7HgM Bjfz/N0tmwUwLQwjV/aVSC2OxEGAAbbgaEehinzbxGJpVhQlb43z0fZmXJpTdyXMFkVj2+aJbdu2 bdvuOOnYtm07Hdu2bfPe5+8P1EvtVWPNuUtLB+JIkbg3j6ODY6iG9s8P4EiAqPeW8I74CrnAK1YA SkYDi+FYdHbYiVDdkxu1xe5dlftnivNYuo9gSIaGDPW34Dg9rdGzZ52gVmYKhEdWS9Lw5UZIPuP9 JtH+8pW1MclvUMe8uxAkbOfbVr1ksmiOG3ruA3YkSy13Kt8hT5rrmlp1ZTnjjUB2J8DXVviBT3hU uF3KWlZfZzI1nizkqSmJdkyT1VHflBj6XNNWrdr8jmwPshu+eFsFVkKPrVhAK7nteVwqt2v3MSM6 wgidy4JfEncbhSjlx03iEVTokquWUeNOPSvN4h4PbZu1C2j/k2cURO/N9OhvNmmro8aCZq9/O6tt R4Fsk8qeu38t+huk1X4bBKTteZpep0KkawDAR4+valdgWifjuxV3Vwbu8AC+/3EpfDnGX2RfTlHt cq7Ii4nZjJ6AxKiYKvEr1Wtq5x3FsJ1eP4WJ+VpGuNcLVE1ChnWqUDnu7J5JoOxAkVTZ9ndoUOMl 8+bTc99CInVPdA8efiCaewd+i+8XkrQqTuZQWfbaIZBkX7O3Y6QzaX3rZIGndM73qkOK8J7KIfuv zEsEWIvKnNeCKIybaTaFHrzy6qEHMdJLdNlFMIkarpVIA+KYgWGpipEtj2eWOdZeRWXiuqd2pGlj sDTQwSN7epHKCTmjYQAr9A0HEO+jvIIwVXTmPHBXppWcY9Vx3+vkKEEY9DPNwA6dzJ2HcNBhXslW 4nZtuETqshLIS1LdhEU0TnQ7XVMNSRaT5yPyQB/Q6BnIuSl/tB37r0mUy/p5Am3HIX0MgIr+dTPP 7pOsb6qH08ZUVowCBW6cPev3NR1kVETzX0IYdREPRRcpynKYIjcrbK7V9gfsYb2dbWKZNiHZtHoe elBULd1GewVfRmcca690AhOxw6Yqr3c8uClGzzulQZlgVaNh1k6cHlOL7UC86nDHGrmN94xlYO3B HZXuQFVkPqsDjXOQW1GJhguacEYDUxN8r8td2IJGlD3b2eHf3uJqhatk/zEVLhITYHKBP/j4Td+1 OzHhN6jRCIpvJMEZ7F178m3bRodWS2US1Xycbrto+HwIE1lkUIQDdlABQLDQMdhALD+CHH4uPLJe 7RsAJROW8jSe8xGvYulrUE14IPToPrMo462KdAiZDwsAQ6qedZjVTuLOgU2K7DIQczuG/+cNN9jv Oxn4lp6MboCLi1aU06SCsksc6jZWWQ33Md0qUKR7tK/+ZGv0kd9ju66ww6w5P1qdDGUdgk9cCdvX Oc5LB2bxeTy8RKapvHUc7XKrRHR8xvBirJ+SHyEJA793uKxXp2PEVpcs+Z+Uk1mf1CtkhGY8gGFX kDg+v7y9F3f/144goH+MD3ZoRKhUojhQIa1rLA2hcAXGFyuyUmH4+SWjAjaP7yijY14CquEaPrvX Ub9TfRjTsFTyBj5hMfsqhY5FQfeGEJA8pQhZLGvDgqL5w26rR0QUHW9RDEOwbQb2oR9m0k0yhOMy j1qrwPdZl2rMsj7lNr6fAUqocopGYRqYlmmgUe8jCmCE1cS4LHwaY0rMrHKtd1TIN/8NXtQjfHcY PbuuuDeYOBApcziN8Rd0BA7p6eRoz1QsmSF4oM9AvVmrSul4/sNRAVhbpz7OVeai/QgkLvoqh57t ayhlS7F+qNxOo6XsnbDM9QgKj5FXQaYmR7+DJgteZoWn2nSW2TwlgQ37E4d1kBjgfeZR4lpGhMT0 Gh8FUB5ycUHILEFKFVNhXQrfHeWiimT3O7jSZHLzrtpIvQzFG29u49GE9W0bF70sbDazMthaIckI j8AI4Zbf6V9rSex3AvSwD9SWlW4wxFERc5ZddQRixZmqRJDH/P368yY1wBWR7uAN2iv3HCiqNQt7 BL1M5qSjgcxddRpSZXCtT7OjYkiI20qTAvS9Gnjni/a0RIaL+a4nyk5MdfmYerzD3mHqrCezVrxr kSneFF1zHMExnSk3CRZzv4v5ueaTy60SVtx/dpghZOQqwZRZJmlZl6/rj3uwWe42snnsh3EJjZEH pk4J31/WRP8n3/bKdEh0227jpc34wlhXtCgkJU2OFIHakjB6OL745v1KT/qQwsVGVJ/BDbNqmgHY eyzmrXiFUV/YZGVWHo3MmY1+xXfGGslZlvWSCaKUzRbsq4jRlHbazc6aY6lAyvgc1X8LR8OwUDkL 4VhrVLQVDntXw9fncZz2HA8padkRa80ALwJxGsjAbDP2BNH2ZLchhAEY2+NEM1pjYB1VZDeXVMeG CXkdveGVzIf1wWcaLSgyuC7BYWpvb2WIgLsl0zWDtVwnp/jlLhbu8Kdzd6yk78wMWU9AGJR7fpbk sgtdirSbeeLT34U2CCNgePgH/TRsL9df0/5wq0Ma00hDAKPKVFT+rZacbyWsxyUwVe0eWDjd900p BTNcGenGiKO+nEk6/LTYxGQ2lOf1lK7tEVFs9VQUoTCMPUxa+YGT89CQD+sAnfwcbQRJRSH9njKT /BCjyeHDItsVxYCF5SLQfyZhSSA9tZwWvJLhfjlscSlR/hdW/FaJ7OmVI/vQuh0RVJRyD+pC0Gki 0KUAPF46dpb3i95B8wBDUkCMNjCLcawvRthAW/rPXnzCWWxSIiUWcKMjasU8UPHHGSt1AwlFZCym Y+arZ2ZE9LH9iVvE08aRb1Yvq4FFV5mguNIsqN7DuJknwk8OkIW3TMz7+d4rubENPIoooDxIxmPL wk1kwHafLX/04blL1gsfWX2TnKpqLCNqUPftvl2mggA2vhgHuu5kh1e1ZOpDXjkykvFTFwJlDorg I/xXqi9dF6WxfEL2o4tejvn87HLoH/fmy1sJyF8Bz/uTbw4pk3szmqQxL+Oz+J4hTsBOrXfJ4fAv xZBB7jJja2/Uiq4esqdQbuUKC1NvAB+5VxNkNkn6rpefMfSnz1eh9BuXJOCinjPudAmkZNhnm4VT jDVTaVwmMhgx0SYR630PWdWKzK/k4yeAB/puvlVHaDOrTkgtyN0TiLwBOecKAcOl0U4ijRfI7SFn bQHv9ZOFdIsMdI4j8mi4I1aPPzZjrD0ReQbtOzPKtQ/LPclQWIqGGu2bCg5Vnxb4jsZBzifGLz3u aZPTV6NFS1pn2i9ojBCN7dyswYjmHx0uyUaeH3tm79XRoo/CC+hm+N8jKB99bN9tTIPHKKy1ADsr F7MRQOFgoCB9WWVgMR0j6FeQeXcfhfLhuvkUiLL0U4IAgmLlAzbUAkWKIboSjvZ+wwFs2vwXE45X SaNytO9GryYbz25sc1nzq2DSTMIYAGcl90XUvFzA4hactIdSXzYt4oJfi+vj+JKcv0xt4rO7Mm+i Oqfo9FuzxLiJZWB6ZzbQGW+feb8AAWHD+TsmuMMJh2StEV2qvLcJVeL1108S86Kbd/8MuTOVJwfe ofbQJWbYDqgrmoZ3Hhel9aR+gScPGfPhzZ6h9XM4pBxuCYC/EDQ2vE8Qvac3c9F2EXPMkD9IFbR7 x1k9BOnqj69XodJLU1jE57QE3vtviU9k+yuznsWd8klJzrWNrjDUx0KA15Lcsi4bLzSwH+qFEUFW A84PA0Hb6YE854nEMd+s5+s1P+CrCRIK1zFSMbxAAtPE8DpbjmqkZujLzmPUevY7HL+XtbwVFFmJ 4mEunA3JtkvpcQ5dFnKFCayLFQUOvB27nC0lWJxwBWgkuBX0D04Q2UDVoKDUBhA69F9R7i44waS1 9CC30ToShmewiDN4u7WzPrF9e8B5DxL2woTg4sftWCwp9ISzMQfkq3vIn2sJXrrmmFDbz2gCwCHb KCvVhYKqV3Sm4nSahIN/bPjYdJ7xA7q0vk+XJZHs8dTmJ2fRIjf39pKStnuekE0HwaJtMZhtZA7a pGNgJ0IT028JF2p3tTWhfnqIfeSLUB/sDaVMrc3Zd44p4u5CGhxrmhER0gM7O8LAII6fHwUfF4cF qeUTtca1rT2/YcxEe1I4ysOT+Q4kJgM7o+zTCvi/KOyu89NWbVdidzKV11yXB8wArefj4bOYOcy9 sYsnKYnpQB4ePW34zaIX1FV66QDY5u7Z2mCOjXkzpGPXZ8ltMdzcRuJtVxZbkbr10uSUypFwlHHX uCAK0cQW5aBMtmDw0ZhX2Wp9iEhIjaeMgskrz7tlMOsQxGxT9jBcn5tGrG3xCGOWGHH9Z1R0wIWm Fse88gOzNMguXDywc/WHNBa4yC9UlzdWDene99OUhKTtuiZUvZjF7h/ah9aNmmTN5XFBDF7G5SMi 3XCteM99MSF6iWgXoqPuPsM5xw9xlYegHDTnvMF9phNHjkWiOBWyWuNGBwd9X5Hqm1SdOlZWUUXk HQGuroR5vLSC8z9tirHBsZ7rvwDyvcitZ8ThJlFZfP9xl5Htt9c9+0eKoOPciaamcZLMxlQLHMhb TEyF94v4+/NtcGtoE8DKc8AKoGRdDv13ohS2ypkg8H5FUOVCENwbvGAc2+qRF8HCji4E5rPQAbh6 643g3/1rNkSUfffK8qcx5BdDOw/g9vY8a/tXNk8aaKm8ovJNy8r+mwbYYwO/IDlIIjLSdoXKwg+d EWC6y/GWk0e8mfFzQ7OAirzqKBDC2LWWYPkAcNl6yi6zycnEFXFJzrR9G35lpntzl7JP+tmBP+8N L7fvqMEb4sG3CwwYm8WQK13iLbraJtHzUPXouHiMe8gUjMi6CNpUxzMq7CqVkaT9IeU7dZkFIJW4 82I6s9wgMy7EyJfbRrsHnxbzJ8u5J3WneN3U7LoUROW9yzSRfVwubuWc1GQsjfGb86ziCZGxzEbt 9ZSVefx/IDcL7UD8HWWdlnRW7X665V4zDjeMgj6dtQkZ/BM+Av/1WxDChTtIx0hgk1ZTDM3Dy1RC 9H1yksZz9/jAynvlMPrYWuFFHdIS/QbR5I7P8PK93xfgZNtKNee+RRXu/4MmGAIK6fGiLHM4bzLS Lj2XBlMWJnJXVo1/7sNhaBANzD3q/oudzM8FjbO0jTPKJC/nBg3zswIVRt4/mp6K7uxJLsYi6IJ6 2yaezXxwrSFRM524VRiFixZl2owV2a6XFkVkSyyxnbFCqwq2+deb/uA0jMVfOlY58/ddfTb44og2 W9mIDu2m7wg+DFKroZ+z2sF/QBPl9biXZiXt7RJqnGY9+cehAzxCnSG1+FFXOAE1N/R1J9RPKIB1 qIeMwI16PzML+QCNK12OxqsGLG2AOBrZFBuyYFoVYQ5aSotaVF2KA5QosxFtjefbl+FcM0wBAQF/ tvIsf7JLF+egFKmzcURllPYMyGGQkogLO+QZZCxAMxxYgDxgAwUj1iwu38qeKRiJFcVrsojgOIdQ J3o3sM9kajIND6SOKgtaabri6mhVfymWAlzRPevrUk70n5qo/SnYqTvp2aoBs3JV0kTwKdYXqm3f xeTJ0MG5gs8rdjXLJiZiL2G2ukXqUvX4aXg+eOTbbdCRmbjyyc277GkG+sNXbenR0vysleQ6zada 2aD8mCuDMkReVjVUnGVA7gVPJBg37e+mqF2BBweB4Rdg4IDhr2k+cKymme4di+ehsQft+QVh9zp3 MYkmfTuKjlKxDL9oPSkhCf+WXn4mtEoY+lm9JI2U5VoJbEBEfvLHG4o/uswVadPE+rHWJL2zJ1/V 3xb+subgyWf6wuOXtdagSvKtE/OwYVMBNeq4qq9RnLwIvvSxtk2Ccs3DaPAavWyLcZoR/ayjIJhK JHU4BF2mVBHp21bnXOyrRTecyRDal7bZUDALE4UV6pWeBCWF7LaC9jMA4xoQ28qgKQWuHXtM4OW/ mo8MUSB4E5Xc9pcG5MvDzeoExHCSetZ+VKHoU7GC212Bu7VspbkNiDb1FjlKs8y1WY+v3gyGpkF1 bgLdLDx80Xkst7wTsTszwlKNu+kxUOrRFevfhQ2DSuF3DqeplG+iT9+Nj6b6XczNSIa8/aOTdITF XJFpIL1lQonXz/ckZH73gKJQ1v1lanRlr2vcXs8iPKs1VPvuXDMd/5ZaCnZTzTx5jC8ZLGdEW/2t uwX0zAh2LxjBe0mgruUv3IsfTeccH72T22zSNdn+PZE73R0iZDvsOAx+kXgwYyEbnHvPS6xAg9d4 03GTm1RbAw/GtOMvvykjFKpCRzzFlrDwMJ462LZrQbvFT9NIqlMO7u3cyQnSiCPXQxh4feEf30IP 4lSyVHy1S38kF1mWLqlcvrvXuoxRjkSB+ezCSrUOFSS3j5h+6Dx0ZjoJGFwirenoLtutHT6vjSYR Bl/wsLVzx4au731sj0F1BsmUoFg8th3lqcCppDmb3Ou8Cksmtl19uHR6IfxjwuYcU+VDXUXNrxdv Vox3fc2FsqRYXvRS7pYiBo6zKGorcEvFUGXVJNRF+qeQzElMoL/aEMhKYEV05s18GdUs/ycRWwPJ Ye/H4+4ZvQC57MOnNKTVzq7PbAuNxbbfvcBBnsSWHIj3OErpOqUvOCYbnPQpTLSi979wkbDi59QD NWNUSoXCs0R3vSnUOFhhcCXWOuxx1Kn1HhWm3LEjWmOH5H+c9mnSLMjRKB4960DrQrmvKAkNQ863 4g6puvwaq4fvGtly8LcW5W6MSitwEG4HnBVkn5rpvkt+GbbKSpJZIfp87Y6VrlQnQsieRZdGYBrO b1SkSyGtOStULo4obgaZRXQGv9UvdAXXNcIJX5prg4wDiyXFMzj9/C+cxNcUz1KzbeSWIQsHFnbe hOm8pulOwLH47y1WZzPj8eW3XNA2mgxlsVGRBzVFqETciqUduC25te/9ed/+EfpBncUlRjm0fBOF eKlFfadFv+lWj6AsR/b7kGTWevx3/7wVX7KwJerFSGG+R62HF9egZJPSEj0af2J7FdQRKUfrwaX1 lrf5C8k8+vJeHzyH2J+4hC1qz1teAVabXFaK49qiuJMaIBc//85uN7oLVtfCGzjthIuAXxmVGASG yvcihSuY8zxrMVelFy2v2Yt6ZiOorkN1I6nb7UpM94n5YnOtOXhigqaS5U6XprfLoTjF+mpNjUuD r4jr3wH2L/aydSauztJqEXNcDoEsNsbImeB1zKsmSGdhImTDkrsgJj7APddk0S/DAy+wGv0plvPS VCF6aKSptuNIRuoC/ZUwOFwcennlkLUl6ZksElaxnlGyN90MHorXIpG0lJ0/l0+IOCTrafY4U1J9 Q3qm6zMAzp61D2R9LEWVp563R2JUcgmFwMXSmvH7ngY3RpbROg4ap7e1Fd3C/W/J4V0jZukp98uu jqTsenO/cM/PP4F0oU4XRAZuiEQF0kSrFOaVXmjG2xxJtfqqqoOcFFivgbqbQhDxMK1EUxVIGxXg 2NuLI90R4xjj5mf7kJfaEdGRPeM7SNlQIvrXQgzUsq8A2N+93Q+HU/vGLqhL2oeG9p3Ur8PSO2jA d/3morieiKVArLWMVYZQ4xQdq+CX7TkmmI3RTt8C0yPm9aBkVOVwuHVnsDB1wkLY7+t4eFTBhiLj ieKrpM8qYhTSFsjjxpHAG2iod3bw+wQuOz6JFD59GwXX4QAisMlfsq8V+GHrnv6L8cKOd6d5ksx+ MZgToctqv/aa1uuvctA1/s0UHHb/oSdEmpAl676hvvCr43LSRCzHl1FSoLYdwhOMW/fVJJkV4JNn mc/bGD7GgH2gXndcMFh+/maexX1aR7pgE1ac2D4PWEV/rCHl7FQDKop0AqCVDneEirbh+cfS0Eqd dq8kzgWXRh1qEs4zIAMKfbat00XBJyBEUqk2nsJNAvbi1fEIxAfLHDIWzNfzNZjYrI3XqDVURU3T 8gYHK0wxLxzltP6F/NqPERlkriGZjihXc+qJ3V6wBHcnoW1d28o3IPiJO8yJ9oFMYVkhqgzpNLd7 x6tFy/A+wglO1/To2kjc0kUUn2Kt2tExlaDvweN/vCg4b7JvNi0fR3dxbnrDJtCqPZXXIWebVQq5 56pcJmhLnmWAhB+oyKdbp5ZcjVf1xHy7s6HZzJLgjbAqWrXG/KQG+Nu/8fsNOX9wqDluiewxMbk/ pSDfs9EGbgVtfXJXrQOGEj683dHlPpQ1POOwrjyz0KWIVKI+tYZHhwp8b7e+XXV47hF+38ae7/pF KJrbjCy7R6fDMxq/a9YDgiW085soFhB7rloQBc9qTO8GYtDfusBfbxRnEKDhZuOsj7BHKQ8+LWbm LV8MF7Bcd75WAOXMbBJRRuok2YDCssFtK7K0QjO7w95JMfUDdCkxd6gueDUnN7J23TBxscHiOJOk Pa316IJ2Y/Z22OND+3FhQqPD8oqwM5Av4PcFWyXskrTXDq9y/Xi04p7LUycIORZ8V70WYquy6Jet nWqTUGo/FNhkXfQ0Zuwa5mLoscZrmob4Dpg4zH9zyANlsRvX/JmQljoPCz7od28XUDI1Y1q9HNu5 8WeZoK46JgFpLsCg/fV6NB6vzeaYcWb8Svytfmiim+SHsWZk3J8c5tIhWsfTCHR4tnlylOR+ROXq I6AteT1k42T87CJGEZ+xI968wjp5Hcv2vNuHXnnCjuaSN7lQDqjGfAsrx3wKClkvzYE6sT3DOeZo Z5+8m5uIe+UKZV8wB/Wl/HZGGjWlNtMN7J6ereKNq7/s/8zHgDEfQN0ILpPLSHmxrpxMFkdi/aaT 59d/0octhNYgtEpjv/dGKsxlmSEh7HHLrjJtxykez46WOqOVqbFuBLesiXYK1GJ/WJXE/h3y1NVL QdJ5pvWA+IiaJHUnyNd8K2yz5I+r5gdFoIEdEmmso8rYduY4sydtEaZccWfkYkl0hD6sYknbdGJ1 42ilOtI6IGHO4jCGMa03Nw5hNmpwGs1qqt55eFNKY0zu3D4sxMNsEf91EQws0vHzRDEmAwXGl+U5 l7BMoW+9uligXqQQ7rZQ4CojXIulUei9whHIZpbNXarn9W1zcqts4+CmePwFUbQ9c8L1goKvqE2Z vIXpPnLRkyQ1UMKnochfW4uN9CTrXTXrIEsxz4a6ZYyvJEdjfQlllqi7BadM/X2YusLczx4O0Dct Fpe3M9O5LZHZs3bLxeG2ZV2s/UjA9OTzQ5CXmS12uh0oPX27ogleEOFebZM63+BebCXR0EuHRJjP sfeWErN55n2qid9E91azvuWq7tPk3WgyrtfbvvcBdhvKcR0xXs2cgMlyv+6BxO/gTcLRJm4UhJOM 24XCUcYj/ToBkYi3LDk/yrKvya7a/j0mNVrbhvBNQyv24nC7yGBVvFWrVT2T/llES22FnEvDA6Qt lFZMHwHBJRAVyPQjdU7+K0vyM7/N65B1GGe0mmH6bqH5/OQufP9r9o9WmC1AaD4o+8EhWNl14vlS M1/8yscL2zaz4HQfv6QcEJ7e/CE/jQvbr1o9v1S59V+/BaJi6UZw55tlOMoSKwifCMONWNTCYLxt hdskJaEtIMkxhC9lPBbh0/eRpRKikOOKN9eSo7slYNkkuceb9Dl/jg/bOoqRJ3WLb8g+vOM53Ymn 7T6rFqTJLpMqGdPXLyPA95flHqqbYETjphutW3byfv0e0K1jWpZeFoyKY9SAjpbDI8WliIjoMFqW t6Ff0694dA5u4LYtQTTJ0VQckInGjQMsjHvUQOTcklfm3Gd7YvdCnYFUTQV+UCEnxBDY+m1j30pf 8POsPKusLPtbu7aSmXqmPCF1jZndGZHceoYg1IEvMxSe6KeZ3zqwBDO3oQYS5NZo26VjJwfRd6MI H2SDeP+FPob3NHJ2JisctNzUkEMds4njPKBbtthlgh6Imww7S2EK5Qt4zxgPzwf5OwYZ4qpDqF12 MJWDEXb71+FoUKzyv75Z1O1Ueg0QlGbqw2v+tvNe7Z5iZbtAG1PKCLdjXUJzMcRwuL8BGtjihLaI 7sUfzfvxcdqvzbL0FdGypVipZuBuCjifzpDk/2bZhSQaK40VV2mxNbikDQjhR1op7+X3ngKupa8/ SWdqP6eqN8T76hjb79OFVTAaMDqF442uQk5fiogpbNmxkaCkpgfNse6FdbLhzmXa1NlgH5vn6Y+T p2ofaBV1oMkG+ChWsWieGmVEnZS/dn5AgxkgLz9SDSlZUw5MuPnMVq7+WmDWsEt/0JJGV7hYJZ9g K281h8G4oZQU3HNcaPYbZfTw+xlH9VL0EF3sxBrf66UtlcppB7Xbr/6c+v0zo0aS79CNg91Haoqn PtnDLz/XG/vAGzCvbPl2GP/uV1rcuyezl1v62yYm8OoLURqOQdDlp2vz5PxcTSUS1DODu5gpp9XU Ein/LQzye5h5jiXiq8PjMhaOc29BcwNHU1QpHZSbATxOkCVGPVYHqhQ71tUWCJV/dXhvp/MiDE7L FlMG5F65srj+/9R7FlOv0QHnKVAd/pmS5zTzcCCa9qWE8JV1N8M/hbaBUePq/f5aR4hlQ2IAZ7HD R/qzkxNk/E836FEo7KT40QraTouEcAWv9e87vuBZPoie0Y1l5h60v3Yh04W7NuLI4VGA8u21dRjY PlpUsaM6mrSeY4f0BQwjTlc2MtgrNVNwGg5U6pINz0NnPIKt85lCKrVqVfXINmOlVZflfmJp5txz SULe/HW3KTGu0fwmVOsxSvsfBzBvQrf5fyIGNIdSwHJsy9G9LSxvq6Qr8KVRqCrULy8GFhP4H20X qlDesnMLp2OFljJPVU+oIRVn6eQs/g4IrzHZ6IodbuVzikNoBZquzuZ4rDb/vS8T0KVuisV0dfBN K7hbszjnKQAtY0goDhyK4R99qQhtwEW9ev+FmQik0qecqVc/oJggJZ2NQX2cGxsxdB3UpgGakL1T d+rCg91KEeso1rB1PuTObsxyzDh+GlqL4YTSXvBSVCg0pe+yr3cMnxBo5BsWhuLjhs8RHjjZtcLm qUjgvIkaIuYnG/0uH5TUJHu8s3JeAxaXsxcBzwNEbaonteZot8pGlpBbMgi15qiBijziV00R/9gq 4ndwfDWQBENdls32LuMB9m4rBh8LQZFcGJeweBQ+B6oxHA9DRoMG8eYH9kgdBgfkAtrLB5pRU7OU 5pNLZD8hdN9PBSlNUgITtyDdg7gaXq9wsZWMFVR4RKKnoZvjtrfop5MEwabX0kmOhvgal9Jw7GcF xibkxyn87TBsaYR/ByNoxaGbjDd/RP2lhMOazAt10ZgZi8qc3wvs/rV75caPFrUgCulXYvpPkeVX oDBSL4ExD3X7ugCmypzkN8KkgivBQB69OVM/o4zQBECyy5BbmX5FC+eJXEnb5nI4edy2VYR13L3o 9FEKFV3TJQtzbZc93QXXba1Dmpptmam5KgAj5Mg4F716mO8yIQrGjGnWBSJ5rdW5GsrunAchtm03 u61lA2ndWNHQbkd8XtTswqIKq8M0jPsAN+f877ZghUf+/HMkRgA3kyCqptPDhEAI3FfouY45NvaW XrfYIzHE9/5fALFtSt1AzaFyvMr1OCV/ct3dMGqE3asJcnJKxX/zRXuhylksMEEgVQ1yof1QX2Q2 FrIqRusXPdhkgQgaU2JVzZFuyh4UIl3FBIO0+I/VSIWIzuImN3dU6IiC9oR+va3L4+kGCnKkiYzP 8Qy2L88zLyY89fs0nneA3Tv4ClqS2IC+KfxYIF1ATq1oe/j0OqnKL1OQtpBp0l9pHofSqTcR0njH COpXUmCSbZlzyQKt2c6dTQ6DFQlp9dyKDxkX12iB2lAc0btn7kozXEHuLB95uNxcgoQB4xZ73Yk1 L/vtZ9++iem9nkL+vfmKqMcgPcNavchyeiV0S7Khdwh+H9bqXBuA1rEfbDWjNFajZYozftYPJzU7 ZU+GYLWElfEDgQ7bAi0CDnWIRwSlF6N3Snnx3374NrpPjbqit7+WSMr1FMUk8tlMzj4Nf6LIXzST VDo35/sOtmr4jC6KcptIjuFZDbHh8r1ZgQF+A7Bt0U7sjXBcrzWFMphPmZ4CaheKOFZos0qpw0yH Hw17uvlLHmLXxl8uKs0faoJmj1qubu6HM7m0Dksnp9wFQrx7fPbn2dZcV/7DAQ/gPe5gdRwEVtLk jqdmVrQKaYrFGiUOSP90/KP8rcteWrZaIjv0VxK/28jWP4/elYN/IFLqK6N94XjX+zkM52Hf1Bru 2HfxHtyff5TrB0xW0sdsAZDs+PFluRwaYgNxVeG3oH/UiLJSDEfjl8GhrR4RueJ5hpK7LT1FXNXm Xy4sAQWG4vKkGZ9faOL+fMiS9UdiGSDVNZ5zqKmM7N5d2rAq0oRlTx/Fahu27ElopmsKDbeyf4Yh 12Soaj6v21YR181sQk3jJZf1RtFyBA0KePQCY12tYMUY3gcfnP8BVRsXipbj6L9wDKANoC5imH3+ +bL4cVvguoZQpUSp15IYTMo4WMKKRMPkejEqGYaV82if9tswN/My7P+YsJ1UBv7ve/WjP4O+KW08 p7Z97dvXOBVbo02Px2h2OrOu3wyS21QYhjIQrzRL0qaksOTD+m3UikCZ/jK/jYetDnBP8dm1YzYO CJConbc5xxhtDLImGtK7sANPWLJCiMyjFsylBONjYEXSfha0InPUeCD4TpxhMOcgvWyZ5SXa1o7B rWwVfT+Mdeezg63VEGWrh3B+R1+Lc17VAsfBZDcsvWgmVNKnxHdxDFB9dIIrmnDWv0ge9wdWfbYf VyBXT9sUTpUBncvi3+vZN5EP7Ulsq3ME+3nAruwwDGpWtwUd/nZLzmT9idI8J7JYNzzj4PYqAfcW kQKL8kPraZTwF/YFTiQ8gX+hz3E3pDZeZYIZjtoJQ4M9IoLrIOm2gCytx/JEnKozYTdrh1rVmqFr cPTto0QOQgyRZnJsiaU0+fDQkcHU+mQYS9zEfvbSj5Q6Kmsw3mJvAUX7EPHrh6sbZ1/JRBd19GLo LgP48WJkaQMDPj/T0grMFgW+3rzowYwf6akXcqJNaFepEzVZP2JOtwhV4XaIEcxUo4sPf5wKvIB/ S21hZC9DG4CFvVC95fewldvEYC5OgBuW957JZDZ1ZRU6UbLgPBCRpHU/6vCguvZWh0ggVeCx2EVp OGtFhhJzJdiN+PxNZUps5f7s95XZX+k1U16f7GNC/XjVWApqFWCOIaLmTK/oJjUTRrwCEfnsPFQ9 uYL6z8pH0VxxjGzCUvG/Ym8w2+8+GBlLaP6DlIivcV3eLyq/O5iDcQv4B4EVxFF21xJLpWJBK8Ob GDLfADdbSl0FsgXwhVThO2g97usnBsddBLpOG7NE+OtfzGibPkH4ZOULkoQD3nfLPxRAFlXzeVUb G3BEixrVjO5ywidCdGJ+fwH3Jy8Sife8hrHte+NG1irB+rnzNtFxUnodabR0aOXJOJufK74ZL3JP CCfIDNXvVbRnlKX7yC54jGhEnhtyfrZOo+cDIprGmzoElgh3wO7ag/3pX/02KA16ilxZI7vaN9mS VHD/0Lye/tQXt1qgmNxheWPwhes2wdkSFX0wOk5Jwxf/MvHqC9Sh63zFfVMzwYkNxeDXyL0+iiUB e2zyCVr1s0oi7kDxBPx6WowNY+252AIp5Rufowuf5No9C8SNO8MB+iXvLgZVSsU9yf3s0lQzvDSm iBojySe1+UuacW9Hr88/CCH2k9mIAlTvY/dl642WRS1Vr8goxg27PBrF9TbKI1tJU+c7xJObqrLE s1K+B9aGa7gWR+8BGn/ZcN2XoQ34o9+1bSHi6jnRKBOL3gogbVRtXndx7ZwBOekEry8dEc7E2dm/ Wk1MVKS8K9psk2iOURoMrsd5d4OrYoEZ2NdkbHAe2bNjjt1gfD9sNliVYLXEqj3OPvt5wv4AdbRP z0EZU9BuDGLLUCTVL+iFWbhGPwhnvg2DSjuxhNFDjnhzWIuBziIiZ0RH/5hVO8nj4BVTZLP4qdwc MI9z+mwtvZF7A4oIzEDSeJIi2sW0mHgVNbKEG6/YEPFwpXCqu3xhzthowCMfbk9oUOJInMsNCO86 iFqJgn7DySuuoWX30YyYUehHV91F3qZfnSSpjDkrRn0YSceOAiTvw4tlLOsJanB5pSWV4isCZta4 D9TjXZr80DJoVefxMAnwY2yyQNcjICqfxukclFdmUl0zjuOQljCrLUEXSPWL3DwT5R1JjNDkqaR7 cjP7v3lHHtKlccuOAwA+5iLAj8N6N/aFUKv9cgQsEkSacKodRx+06TAA3QsrV6G4prBO55A2Hyeb jE+gKaBJyQu6MmCJDgwFijcV9+iBZH3PVkauLNdbx63b6CjmYxbASZ02BaHC7aU+zhHMQRdDaryO oJpKPhR7Bwmzsm0VLNpIuVKL0P+dn84tUbJnZFrk4Cl1HEg77jxZI6lUu9B7e9/Rhy3s2X3zOIiM Xr5V8ayYLFZKy0Wc5myUxavVORz7d/QhREgQYgo6obJ/G7G850hGExXebsS0wkneS7Ui06etPwij kJ4pDB2CBGzsYoNw1FAHojkd12eiPghDXQkYBMVeq+Jyi1MEr6nj/ZWW6nCxPB6UIzcbfDScGyKF lt4Ucrip+fTl2yKhObTpaIcMJpUKQlDojOETjGBDZOcJxiMEr9yCnHl1GpHwg5EkEvEm1IeXPAvV 6J2oun+gU6QvLx+DquFOaeMFYytskEU0mStuctDWZt4rb8vElXjrM77jPQpSo5W47ner4nXeHOv7 JMbXBqpfArEc9tdw5n6/FtlpZxGc7C1jnV5sZZTdG217w2bIUeJM7g5cPqKo6KyZYRXH8Ycqv1f9 TQMI4wGhttzx09oR4YVkRdW+9PfUgeecJQyJUIu0n15P8W+XF1gyVWXnIqRRM801//WdstmihXJp /t5uD6gd2ggZtiYjiNnmdhbMxC4N1AsYVEFLZRpWEiou2k015ZB2x7Ttykkj4fMoRuUIqq/fdRai FNUZsv9Q9eLHLLExv5VaqvT/yx/38LdjAj8k1DPogx1yogaHIHivQkp04a8fnMAPoHI+qYT2cHOf D2HYLhEcxPQcz44Iv44/ariU3uZvMRn8DLPAuuRDKRy6Cmp9ko236lPT1Rt9jhf1PyNX2UsjJAUr RwIzu8XR/xb9rzwSN/C6F9ST7pPcGEvg3Zpqt+v8BIHrqP/br53woIhMEMpRIWkaGU/0oaMxyCcS i7mYWBJy7E840f5p/re/H34vuj8BQCI57t5OS93Ja2ctsnUMT3C8ZFUHUaSReXnCetQrZY3Evms2 JwZ75Hqb6XLjNheqQfVLRZBqmaremxEIHcBVr9L9dbirhfyGk/iAapf62po2WJpVfVTSkOw3IgR+ K4BRsYIfj/vR2uS7Z/pvlRsvMFgIJMV0z7XZ0+z/yzcYV52Sxo9hAXkQSuJW8fNA0RjYGbLpMnY5 HxX8m6Hi50DLU/A8cb12pZspaHjFYkUX7LQuBTIb6Ut2uWiXUgZTH+dlEZuXvH2COXYULEL7f+ZJ vpn/dTFao043DtLSCwQVZs80ow6I6F6J/LYOz/KLBpRe7KFkiV9tww4SJlak3XhWmxM1ry08TFjd /qhBiFePpnoD/aXtbj93RX50Na24twtwqzLFedl44qHwrByUB5L1CEiotaxTQwzhEUa+PB0WNpSD LccpiAfJ7wzE3D+kDym6UQSIgQ4azZ4Zk0VZ3nLJyTimba/pC/zAhgA7QMw+6q/OxPo7gHZiR958 SQjIsLvavz4dXeI/9XQoSRl+0DKFdig6B1p6Vw0ZZ/Y5bYrUcP0xklxniae+2hHyN6ttYhFtG2wf NPHj+gMY+ZW1AvVW/SbUZHvP+jCY/TLsZZFWbHZzAc61czepajM6A4pR+S2DdaIUPK1AYr51bLB3 7bHBI5aW+7h9/zg4lwRxzdK1SN02/lB2A17ttD0Ov4ZWBtE67CON3z6b7ItIEf/X6WVr4NsA2xx9 fOqocqKukMEosf2qEBDLFUw9RT+mw+EpOZVi/RNBaPoSPO+CHl2MmAfppfrLoWhN1mSC+xbnUPe5 oxbCMaSp8pHHhDrKlL14L6ftzMetq7tXzdGDCekllW2eQsHE/A+xe/2uPSRGoT63I4VJT9+FBaoS PrD5bHC5dq626+PTOdFFeCezh4CjWgPVoXn6czLTJFNNr/RXF1nHClQY7Vlpn6KYZDTRgCsHVYSs x7I8pvDDRwFlAjgjZ70hPLHlXuDu0iWDIVSCxZ71wbDWWI4PnUVxe4CCXeBQcqdWy3kcvMncDJzm 83ryIljjfNJVMjvrn086Y8oqZUJJZkbDTG5dbLgEMhbFa8cAncxvfRWjfCGhsJn5lnp6uiWD8nto 5LlibgNplUGJyxrp5bcSWnkTs0g8GSTi5N3cCt9VHk4zeeHgPXP2XZ/QmKP5MlMiMidKn7QVtYua cBvddYIjhPNBYmbhDmU8pxok47iEJRgP7bf4OTHr83w895ToK+2bQNKu9vETBaPK5OooJdOf1H2K 0T4h3mFQFXoZRRGX4JMqpUHczH1E0mzRfiqrd0TFsWevqCsvTi2HoqvpxvQinrTLABOapJu55kJK APJsJ14QMTCi+NBK9Ux74mWzAF49eiGnWtUQNtdSTPcaaPJxjOMVDVd9xSlOpgUHHGhNC0KTFQYR a5MtolLHB+ulUWEhK19Oru8qhZbkR0LH8XxAxxz8YnJYKlVHHFitMR2I6wPXFKFCSMpFJhPYdf2e SKl0wronlO2i+zzc+H0Ialpapc3Uu4KSUX5Fru6UV2vSWbnP+N0bHq3kHJFiyoeiPrb2CRlaxj+F q+ffiinkreVEVwx9X/9DJ7bjKeproEzECqZHaoTWI7sCyUGLNDG6SY+dFuDsKadVEpyqiShBihVz EQSujByhyBT5kACmb8ur9hNjqxZOPBhkv/giXF/vm3QrLvcjDULENidD7tJxxhfyEpSaE+4vvhlY jbqziYQIdUi3EQyo5Wbeen7nnbSw+oDMtEp6RUKt2d9xWLrh2oRjLL6G2cOwO0m0Nlgr0dFY4qxD 4qYrDjtcqkcKmHDqmZ9kzG5S3p0Fzcrqle31iasGgmnZ7n8P5ZDXhTD7EMxaK/SHEtIdJOqRr2VQ piz+x2kY2VNYdfxPz8CHJ+R6H1szJBClgySvJnKeDUjwpN+GPJPyxUZQVN3KTghhiJH/PV/FD8k4 r7z1aYIAZEHiO5lU0W4hpeLIChEuPhToLQH9e/G6uaVZ/v3FyJ/9kXVJaqxTY7yRq6FGmhzaY/Wl R29jkyYedzOtrfWao+10wa30ktIPxTsmzINfuU22LUvl3AuynfDs9Qy1O0zHOmGRm2t2MQeB38ML yNZKSL53R/QwWJt/4BHOFPngBYPIIhfPr7uBrws8eUaRVnqGS4kJkiR2Ig40Bp8ApYDwHPvXXFeo xQvytuuIs1kth4gLhAhgB8Gl6WlGdQPjEw9gDk+L4cLqy5CmTqOWZljNJBeGADw9WbVRJHzYNosM 7upz5hRY30MOim4QojsLqFPS05hQnd7YSBmHjaMnTR86N99wfDnuhjVQfb5HRPsXyjrdHrQdELhq yAW0YD9VgnqWyIQkGJSp2cddyi/tkWL/FN5lr3MsPAaNZAb2A9TcFNOq1alo8ev/zvdgN+zZ2Icv oolvsxT8ZY/YD1LZlDRxZCadue+D1wuNfDwPdOrjoDHupmBR7zP+eJAoDZRkCiEGzYFGOA+XGAug qsVuo/2MZvAQgJAuLnsql0mbYc1AdBERSda1qaTka4+VQMThXNwTgzkpLBgKKt9K3b5fYb8COj+s jPmOWMpSof9BcMFvJCPVJvXR1fuZ6jCNpcChP8u38BuU6MMhdVlfrWtqxUbN8wYmLSOLMBPhzjSm pv9GWYg2NnV4Kns71SVp+EvaH1HrkjuHgaeIYkwNdAZvLP4koX4jG7Qp3MsPjIBgZvvh0LF8RPcn TVNkXeTjC4XZhd9kkxQhRyX+ArjtxrVXrJCxiMhuYuKeXvTf9z2rwOXGp9iXPCsPf/Gqu4k3gMeX 8SAgfgMt2T/+8e3lpRm/Ec8sH62GveKXorxYbKvOovG0Ees9V2R6q4EAMRYSCRFBrKaBUFE72Lr0 v/10t1S7sP24Yrnf2o3UlCWmHE2aTYuLlh/XQGzxX0JiznLMFHNiREEGHa4JmSR39eQqy2p17e9n ZN+SOAN+vg+EwvY4DNUyeVVxSzCC4JPkkDIil0IBqwQPJyCpY8lBFah1gL+FGTCw7/RmwGUwU533 zpfryyK/D9E1h6s7rRmxHS3W2inwiYKkeDW/TJdjZVojuMXdV4BZATORz4jFbuhJIVmQ88sTSgWB pPX4KKXzyFc9CTb6pjeZX7hJA1ejZIBwWf9zlwEK/wbR1bGQuhkUM/ZnHt8sy1cmCxX114LovdaJ Pj3VCWmP3bOXcTtjIVfGcGvHc6M/27uYndhIgxL7vULvSEotfobvmko3wRRO8/e//+cR3j7siyk7 sYScFqt3eGCDoDSCMEm2aeiUDuH5WpZi5qqpQxaUF+9QWOyjKvmOpQaP6ufiFAVzLpOyegUdCaEM JP+lH5HtZuU3Ij581I39VQn6BXkq8mBaUfUazrGaQ5S1K8eU3CWkAM/ox5btAhXrwH+xvFsS20Gm 3LCHszPYlW0DfyEztUJJbCyD0Hu2zBXfv9xv8k817cH03+MB51KHOomLc4YwHFMz8Sg1Uutyd4cY Y2SnpQws78g/15InZxDpXH2TTBpCgu5v6eviRv5gcEfuY6eDKKD/UYfFdAWfIM8jVGTypvAoN6l2 JZZN8DZOqDO/P+DQ1V3djbSLlORVYLizy2EeUlzyymWHr/xzPppxa/fNA4rXRussuGkQ1Aq/opdt OM6ZIWT5hy5Kzw3gjrB3eqW03D6VP9Vlu4Ki0KjpS3N9xWLcsk+m6pxvAFtZMILJxJ18dXBUzwxq Zh5e1pNUV9irXh0NvHW0jHgMeHu4KPs3sOKbhXzxfwLuJ/ygaGQDjWptm6mOPSB00M93nPupA0X3 lpJo9nt/H9NtKjqb+3V4p5ZNGI3x5kCycsAmuRV5ZlCRCw9ni9wtRSt5WQedhzqaYiV4u/PXj1lu QiT8WdA4V80YvlxrUrmjCRXI6nqYWuSNUM2YHCtujgP7UVkx7L5JT4ZHtYEgO+IZZK62sYvtUdBN sZXttHtWxmTUvZECzdzubpfwC+e2tskifNEgW23qOMjk7ZpHSKE+nrgUqMfdVYC/YM0Ghubxs/Nw rt+K0fWKmbGdpUy0W/2Qsi5x4TQNj/p2F8QGjFfKOhDaJqhmwBaJcX41tjwW2uNO8vCEmVm1gBDP JsUONuf7DGXNfvx8CIqZ6Db8Fiff6KEPgbAYHOdOFTJqi+HOJRrgVEji4UR7rkJFNIphUx0YE/ks CT9ooyx2iEiZWDnMhDDTFonKSV540OPC/H0DO9DyoPT7ZSQ3TETOA9EiaYu/i5+cXLJJ7yf6ONI+ szN43vkvzwVPo0tSyTm7hqikwONcJiV07fdO0F5YanGvVn71K/xbu9FvlxRUMs4UW3v9dlsZ8DS4 AVF4znNeePgRXBcuH0KHAlY8e7fcovaScJ4XlHjz/bs6yrQO4xw0+okUfjtS3XCtXFJey3SgAvjy bQ1iqn18Og86HTtSbEgyrjshiqVH9tyFYsMy+EXin1M46cBYSj+sTSlveGf+uz6w9LWbgsRmx0RF dipSzeOWO9g8Vhl6xPcGt3G+R/jvlK33PvG7pZ0k0v8XWIC5xTzREaFUYwNa75B19ylwlOCneRjw 4FNIsghXi8s9ElbdVTX2aWqV+CoE7li3xa0jhcQzOZBidQSsSpPDK/PsDo2nyxorIskSmzhHRkgg jxSGWSwPtARwtAwjavwYE2iUfA8uz8zzLuipYY8V6WGakjB2ntU7si7yLG023Wtou7TF08aeJIt5 nxcyewmBds1WnxZMYqkVxC1+dg8m6dXDzmOzmc16WE4dxvRXoHlZhUCEdHp7/Akx81hwg3BbmZpz uFhHi5sQVW96fpdOGkb8nAPiChppog/+2wkDHQtPsKR/fdLIBb7RdTXEx0Nr+QBORVFi1tXU9eFc lqYBXOfJXQDkFsxwsNB7OSDJsbgFooS6uG4oZg2U/Vgz0eUR4f5opk04Vm9HlcAdctHwuqdgQ002 yZ8bbh52ba3rgn0Y6l0VYf9t4vpnup1Rps4k+mNEsl8RUXQpUFVxY7wDsVi7T0Be9VwOk4/j7L/7 OeCW5wFsQnVfQXxJeT+6oQqEC3lVPfXyGVZSOKBVG60XSecSzqW74DNMraG579GP61ZYMsHaguLX OuoRWnYIh7FSdUnhMwoysgMN935Zes5YdmYWjTeotBP5cGJwXziD58TRKYqx5JL89+TTk3DfYXV1 J2ogPFYYXB3OPAtVaPpHLgY3Q5ML5QydKlBkul5eZp5GnVekBO77bI3BebD47eAeANCtB0co1db5 HAr9tEY916BzszGcwWh+ioMQV/kcHXlVjH2/7FRDipfARf5QzLftPYbTuy/bfUj9EKL/foU3jp+p rz8mvTx6956tE48czvkiGre0ORs6udUoLcksu3m/DbQQSZNrsnc8C2I7Qy1xvtsa2rSgKo5Ko9iZ yoR9qe4OWJdT6v6sD0RCUAXkp/lTFFMB03n8To2HtXQ+sySr3AEUiF79m/cogERvnA+hhdIIPEcu tj1h2kGNJFOiJts66EJhwSXhj5ZIijjSwZd4ycqMdkLQJPnJgvGevUB1ULOnthAfxAEZMi1TC52O 600sWQJvOsRSZgUmZHL7L7i27kcJT2biwSJQxzwev2uymKoouqzqUbsI4krRqdcJVI+UYywmbGtR tLY9986VxuiIN4iYzYUArJtWaa18pNSX0b1rRWkvHjEwhfTJpH+Cimm6C5Aeepyn0kehbvGWtTOn kvLVLg7X6EtwOxnw4kOZYTlF4nTApTUpoJXEreBo46QuYNz0IcAbpG1h3hsGeF35vz7SBFZLZ+4+ Y/SUSO6UyjLWh6t+32FUMeYS0+Vx2/mIfAUrG5hJr9eMnoNRkkkrVyBdvSyjWs/rLYQCL+syE3qh sqFMmaHuhLvwkkYxTGcNuPu//qZhqlBHkxtIu3TiAjJyPbADnN0mGZ1BQGYU3/MNU39fQcSFMlVd 4PKvrSlQgeTETUqPOReqkLi58h3KGdfza/GBp5qtZkTeiTJtDh9iRl6zhB4Ir0cjdmyclSkBM7Ti cx15guKPtudX3jpaIH6ED05XMJuv9cPSErpAXVvP4gq1VE5rTrYKKZr2X9+dS/afMLTUfrWfIRYy hHHbQ5R2c9jyU5GgASjWpx80AiUAj8gks0maVMotqqMBkCkCvKsAELRnyXhHgnl/zl0Fyw/aeZik ICqHtOoTFHiwNpHvtQRqZ3kQB+b7xb2lxVXKCV4pZFjLsjBPWcIXjkpdR/tOKlpuqMNcS6glxqu/ tS1XZSCDr+0Ao54COxthg4MKKR5hTHhvgbErD+SflEotf76GVrllmyFpBdoH1TWNaDzBnYihfLtT rj+jxquY909M+TVIQGIvcKXoFMkCyBTd4TCGXXVyS1G/mm8T7xepGzZHNeN42ptNRO4KF9zpbyVW ++Mo8m60mi7OGeYIOVtaPd0o1HNxDD6GGdvSUye0TX7yqQhH2nCq+idLxdZjP7sTKoPR/k/MkPGm cWtyf5GG4jg/6/3YZ42eviiJvUxlPqStwpWOYBGBd6uIUUh/Yu8QVOY8RqUANgphdGaoWXVo8MZz ksDOS775kfDezTBr6Eikt8BK6B2Cy8vSh5awFQZYhqAZH6VZGYcVe9AYDORI7CgJXBL617TJJyDu cP72hdCWrnWVzmGZaRXE4HnM9btaFm9WfvuGdFRASloo9ib2psMLVvZdltTcumL7vdkuQ762/bia qm9AuveV3CznYwsa4hjl+fcEuK9qWSOxydgu/PyUk6zHR9N0TB2jkmY8gfiCMLmNqRD5/UmJjVuo BgqApmkg/3gLBgXvAayS1v04lXb2UyRU8H9b76GaUvk9oAtmLMV7exuCHc0ZbcNHfqtfFQsjwPn4 raTPph/u49lgNec1/veLT1GisrpZl9uezmxJvpT+iWdeupu+he0zd1LzuYtiFMvDgkIGDHbCuMXT sYv1y0u6s6ETKrC4mNdaPTBjvcT2RQEPFiBUdRVFmhKyVFHNoDHr1feJYFHgxf/j4hyCRFFwKNq2 bdu2bdu2bZuvbdu2bdu27e6Z9d+nUlmF58aT/UtzqOGOco0W+6mvv75NmYQOkc462u+//Vh0paOH 7ZQ51TFl4oWzmM/Wxrph8dXQwCvfId72A3lYa4i5OindbZfyeA4pGhGXZszY4rTKPm86iU2lYm6v SqiL/BJe+XXfB6PLCdA1KHqwtn+Uitgx8faPIQs11eLAIPjvCTD81d0A/AO/ro2nFPL0g4FliJ65 YG4zPJuOdKSgaByXPbi2XXweOR/ErqaJf5pgoDBBeU9e4bvx6U2V2Au8A4zoAHTFqIsizI+sgsUc 33/vLSwAxfL3jB2W20IcJKo5BTjuFH6FrBilFpNI6UISGNWTTCXTsDDGWVsNxmDX9R4wpWPmvjpU odLUewYEUC0DVoj+vPsfghlWMpfYueSXn16r/+XJ9CWc67c5T+ISxtnZ/SGzi7H6VrpErNylGrvZ dReDLNkQqEj5d3OCOli+gDWMKoODo9Ny67BHzVTa+q0db4nNw9d8m5rHojhjsCVr8lT65vHBKdsL Bo+ZL8DoQlOSnOhMPAYK/QAsi9kBpz55jvySI86VIA1cLgIwu5wtuzNDlxwRp8fdDNsFRRNvEe7S XIi5LeAb5MH+auwvLAzJtuXb7LJyGhWuo5gYGTAGwOuR8x/+yz8hIaaPFXMT3Nboj3ItZDvHopoU DMK2uv8/FzhBHloVbkCNBwjREJVVm8md3kjQQuQy6g2n/9hCIhJLJrVjkmL41aQo8+UCDR1egVMR 9Gh+L0Z17TfzIu3GL+WylgOCefTkiDv54IWTCGLbOpO7uM8d26Pz+x8pX405koQ+4D7G+7uZ84BO k1dzn2HjRus9iWk/FsOoB1AsGp7L6SN8q4NEmpFDd1BqUgiQWMTkWtyDgSoWivVYAB0UMKnFpLR7 Me61w0K9c8BMIW0xrFp6y1EM7xwfBW1clGIbkLpnrUA3tv/X/96iOqnpJgo2G7IKzNNb5eBDCbTP lvni+2KVRAjaT0vzf/ngLmJSNeqf9J4XkysbllIh5RyEJj9C7pKtC9Z5DWUY7o7/1rsmEJ5z0lca NsCHuC0F5mwGhHsTInSrJwvr7a5/1trADVKWWGeP889fI4KuzSuh9wATUKZ+uD2hQ3rk76PdJm9B 1uFf1HW3dp65h2w1EtKvJgANkFLIcOkzCpbRkmwp9Pf4uWXZ+uHbD3IeNpAp+ZJy0p9l2jA5zAxZ ruMldZdqJ8Y1DXGahhDqDQk12XJ0VpWtJ8z8tKiYuUUu+DWKRc/8qtgb5XzvrTO+lYQHh6zyrcpN bsQs9bj67Vmlhh67yJ2td7GKy5k20J3e8xtzD2HT3g8yaI8iuar036fT2Ul+OXVz25q/VRY3ZJsz 7Z7d2FmMhm8uCglYht1AQc6a4GfJWm+QQpXzuKSFvdFDXJFb/T0YP15mgA4ScbT3nPXVnyXEfuyB mjgSq4H/wmkHy9tKbWZVyEBHAr3eqqQpbReXyK9YDB1gsV9LljxXdlYHbZPm+FZMzZ1dcOWXlATb +BfJIV/p5oq/PYjbLwawVZNejOlejeNhsSjYcSpri2kKQ17+Loc4bRl2F8LsvlB9T1LjLxp3g3j3 B+KfQiOYkxva4yOo4EuELGlBW0fzw0ZjQq7Umif7Rz4JGmaZKNMwhWh8kmchO8eNXDjQbN1hYEA4 j2tmfMaUSPoW9pqeic7tYstB2COb9HKPqo/6SREaSJKsUNO+l9XRNwlwhUkkjaLzDfx79Jf/rQiM jG13NevoX5Qe9Yr5jNTesuGkrYBY9MkHXpWKBn9BM+6eUNzj8WtxE1PAnIXfVXDkXvww5FSvKcLs GJv57pnKPQjuUCxLPB8WX584B6wpq8F9jn3eG3s7hf8pmc0fCoS67TsOhEbN4bfoqFcfCGprz1rr bqWmhPr5DGDdokvC+x2OihUEXWKuarGVS7TlOyRCQD8gEpvKqcLAJ8yQimMHGpHX18vaLJX6SgYc Ygyw7dOTPga521QZEkJnNhbUlQDVLyTqExvCYKV3qSZyMthoSIQpGZsXEkD/PucJSJ4DMkBsIrdv knrUVpLF9BnpigJkXLCWtYKeRK/qwxNnRxVF9WFS9PuHAm8KDbMn6FpM6fC7Yn7Ro7vIwrTrr08m V4yXNGaV5BzsbL6if1AWY4CcoZ5VK73dQBhr1MDjBYuvr/4LpDDJnNV8r+sD1MZ+LkZUvyIw1kCG Ilkmx41Jr8eVPQjMvRWnBqjYuOj2GOj4BxscbZzmp02PgMgyrg4wAnzcuH1VwKxMpK2WYwyyX+Cq oBgbKkA/ps/rKdQ3i5CXKnlC/6YcMiHNX/itAwEDhkxeiS04GXG8ZwbFNVtEHkVRlmClvenDCRT7 hFPZnT7/s/BrN2JItoyG+TQlVNeSkMGQ5UvbG9w3jz64wJnmbbgof/cFUBM9VH9IpAc8Q+7ukWUn Arc1infItd0kb3mXi6F0UxgFjSe9hEYxPaIbrsg7Nvqy/lAdIgthBqaFkpKJW/9nBITuq9d2O6WO 0w746fBUbE3hIV47CDeJWMkFen9VVs4SoE6hU5y++F/+7ZJORFgm/JFtp5ma26/VI3c28mLQvXgC LmJ0/8KLgtXpv/c/F7siVQL9Is0gpuqDpIUrSzO5A112cJbOdzi/01jODlzs0dnto4YLgEJKk22/ 8eIAtQ0sStEk+e6ySgdbpKpkwoqdgMLSWss9DpdQsDyET7lc6RD63mg0rwOxEFNcPM5fJtT3sGx0 jsz92WyVS+xuBNXLt11LgwIC/8zkFwyL5XRHkl1Ch+Z8HjHVZR4VNe4DJEeaEt5zJeldjYeKs6N0 rW9w1zkckoQraaqHe4ImqHBRKiPYVcYod6YDxzbKU+yhE4O2uLzuYQkimiWInP2nm6oElxvyGEc9 cvQGPBjNYRrO5kJC/EMzJqML7IwhgpmDSFFEFiwzY+oALOZLC6CNxm8TplUhzUcZO229ZkNt/uoC sU70YABbRR/kp04ENc2BCV99RC7mA0tGJh8VrRMxXPavKbn6Iz93WFeV0byFCEsd9Y57+NB++SAY ral/BAO/zZ0pc0ch0VtvF+78APjC/lJGsHTGl5b4UKUlNzFh0QbBsF0cUOoPMrgUs202+Jmh8L5j AEl7zzSACA0OQNsgARvXREUMHdt4p6bwETBgxfP/5Z+KQAB+/UVbyBu7dN7kxSc2HC6hphHdPlMC ZnkI8epK8GBC84/kExAZCkVyGyBTq2iHkt60ne50abeVyaPt5bOaPDZAXdOtaQvkGrh34AJDs0Ii SqGHsAh6g7cWdamTJQOwOfGvwmda93EwyIahJ7aUSzHm0/zoNix6F3fUDJ82M4L0THphvgBP3w38 bc6sf1w0D46EYSFshvZOT0HRqYzADlUIUryL+AmA3wSGnN3TKKLH64+mMfSyrURCwM/jnGucJYy8 L/9qu5LWxTblIanZn/M6NpxaocnVGQlSSQAIImYS71BK3PF7A/eVSqmgtHL3ftMr15MAd/hGZHf6 nGRDq8DluLS18RfBUYIl8nW6WejnkLxowPlGkUd4MYUIPfiHpiNj5FxZU+8IXM38ePGa4QEoD+Ut Xj1zLbW87UKW1p/Crm0qJViZJmZZbcddFKbCBFyApVr20+YDfMSZ9zhwGeiUD6rrc7kpVW6dFDEH 2Srp8XBVNtFvN/qTiBfGiQEsJOj9WyoDxXFYbdmH5GVyA09LmDu0JWutUAWLqTtBxu6YhWODjgfo Aa/GafNUsahriDpHvHMsAFS1SnySWYhdWYJ7F8UcxPW3sXuALPf2O1L/FUY7aI0mMxAlOQ40Ih6X CKhcunDaFqOiFR08plhewf+bRe7s2p8v6F0az+NidtdvEAawSHXTID4ABWH6g1FpzHZstTyo47Lm 0vVxMhRyVeBHKRKDELXyeDNyBHI83k4Ubv9ZsChQzmnr6yztWgnsH21qmaFhB6rN7tX/Ljx6JSGv hp14T363Ka39GCMwpkkO5fmZiGg3db8zVsFUFOMCDJYX9IkPlmB93+IrVsrufiHnPYi221ZKljRy bWvhL8qNLzqaJ3e1xL1KqbluJA+ArBLb0EOJio1sGMZ0qk775nrkWmxH98wv2VBqzU2K4J1n8JHq bPrN9C/cqkh29vccI5nXDFvy7j88F2k/Duo7VRXN1SmM1ONwoAO4XORtVPrvfh1XBK33IVcK8DSD FpVYG4NwDiHrj5IAru/Hvj7wYNcx77/9O+1Fk/VOSDlElYtapC5WudrAuh5c22gjrQGINLD6ZWpq lY78ao8nbdllagVGuAc/HM6i6EWKCqF2q7pReDFCUQhAnQ5Ha2P560VFkDC95W22Iaon3g84npE9 LZNQ6Hnh/Qmpncfd8qt6x3lIBMqQrBuFNWPIjL1DrLqf/baKk6NReK1Xncy6QAuBk1yHY8yxMiY5 lkGU8advOFzy7AxSBIlxUZZFxqGWda/VwjCP8cToH9c93hHRzJp5AzQ9A880ESyyF9Nb9Fg21np8 dq9yGVN946acK7ruzd1jnFR21T/yzMaUFKbco6F41TocSP18x9WwSosJWWjtQFeMK6cAcsByS/oM SFhnI4dXgdaJJYedn5jrcJfL9+rS+oL3/EtltPjLAbxy+2+vurPfq6wzNCSybsxT3YTTEHs34MTg J9xesCI4onthpA6bhiV2OSnecxQxABEKd5tKuL8Pj7CeNtZTip+GCgzoH5yynG7gPBJkM27/CkL4 e3Hu7PEbRotz/yKtE7UFpWs25pGTh8L+sjTtiCikqMe6Ku4tZxHjtmSemU7O0PR3PI1i0Rg2gAnp SrKlmp89GNYgXO1YiL4P2OA1oDiGbIpicUta94akxz2Oz5IzMZa+wG7yIV0jWocbsYJRx2XXsMdf JX7sGri+XIgFJaozjag4WmelSuZ3v1bstS0boyUNuk+HceLGD9Utf6vWgFkSu/VOiAizNOS2w4Ot HKBEzpTJUA2MRT/ZYPnanYgqhMDuz5yIxE2TKOgCv5AT20JBFWG0iMAU4uDDJimfT1LdZsGge89u fKt6+jOY//5Dim/3gEgVgbVsE5HHHkazQ/bK99mow7HoHKtpDQ3Lrc/TWDjsvIduM5+TXWijUd+a 5ba5EcbA2WWXoNtOVa8cBdHQwUOAOMr8AeIuefo2gQ3/738sF7668xBeuZXdZ/Z4ejHA9IdhY/La I5J+GchQCyt7yXMbM5ivoc/FoBAV18KRh+vxttG9oUSzz/HdXoXHval0BquFiObhHNLuBi7dek8n cBiUZ+sh7mDPl2pr1TtWSKfXl64h1O13B3sD5cTS0Tt+w2jCeUAoBkBvWyS8fGaP9t3ed3y8h5Le H6khBq/5aDijjw5phsDqQA7ygUqVBYu5fpjQukddPes9+VSjq/YJ+qj/8uRizgc80akfaM5K7WUl AoBVVcqmwcaz/xLWigrNNqQczm3sLfQ5U4+j5VheO7mC3JAmpcLWnRpudq6xEWxKrJjUTqEoRCVR XM+rtUebu5tpIh64h7FGhABNPa1MNem+MWPfjXLhbsuFaak+T6wiuDg/28ucXKEZWfwKjrBqU4DX IC1BYdnOG4TI8FePsuCGZpbsHp86oSva4qbyqPaHFGGPOsLfJadwNeoGo4eS+hxTrjSyrUuViirf nRHLIesHlGtnorh6blRSgjKWlZJ+cJ1rWjugieiWN9sfGH84mMdW0f+rBxlZsAZ+qhg5aG8c1coi fpG2ILO4/hT/6jcy3yf9t5MOlGtwN1r8amfIsL7Rr1FEwz7uAGimi9qiFB6BggCN6bNzknqfPFYB wzkQ/ELNqee29F99VkZ+GERFi9U8jpBAkrBD+WnvSTAQqLkjvWpb7AlWR0v6f/WbJd1ryKbmSLvP doopAs6XjrY+07TUHw1Z1ZKo3gy32FNz2ruyP7WhrUKESEsn3uJnByxHtKFL+OngFiVUMSBejDbY QcOIDPl9H1YkW0d47TD/5ZX9e1lZjFURFfWQvY0PitXCghi1aoA4AKf78y0quBWU6aErs+TI20H7 O00sFGmzo99/B0PFBqU8USVRVHXygcjLFFbLHLxSEhOgTDyKNWGfTZ2+RtmPKwDpr3U99XV2EYNS TkXtWf1LzobPXn0CHnBL0Ff2qmp0m0S2mFmEAI/v+ykOF/hx7EubuvpBSvha8Iv5CPTO1eWnuqsS 8nZsNyln0dKXlEcjRBdGJJ6MkqCDLpDuBaHQLoj71v6KeUVEMCXrkI0qEUOwUIzbWQmzSQ++MRo4 WbqWcizDZqg7jcGfpt8RReJdc4xG7UdEZQYm3Y35OB9lW33zeFF1lt8LvxyeEpFn3txGLDubBALK 2fPjMdIq0XYaItt4bpDpZZ3MpPbQTUAmAIWzqa5iOrhFz374FQUg01cLZ2JKLtGKpXoczwD4zzEa DEqy44HcZpNsk7/3qVemL27tY/klvS+OfBODxww6GRdCxIQh4cCufyxFY5e27D07E5uQXw2GJ7Mk C/cQaWswxXWn6tg4OleaHaeZyRXCxuObrn20ENyNHlQgUXZwlYnLshVsqzXIZBndjY3ccJfLTe9p G/Aee95kUonPVRa08RDQ4sKk1w94m45DMjNuV7Bsapr590TMjX7A7k++3naYGc3nTNRIx4bBug2v Sj3YxwPY1q8MPsND0Ik1YZmgICdEWvwvoiq+R77Rs39bHhpY2kLnwUs6aREOtn+AFE6VSrDDKQWw Q8JpDEKaAVtQQP/luWcIoSdjsET1MQLv5EY1IRsqhDrdvwzVc2FuRZagX/VZ1HMeTwsCXCExmZTq 502lEAewmIC0k9LKS12YcXs4fChFnUKwMxmFHExRf27UDIFUTfsEFcC9fOvw0BrrIJ+QxhtCR98m dEImE05yYi9Gs8nTJHaZ2L03xOubtKqoTqTydBr6ZFvODYrJLkpjVfGqmcBMEe3k/KL5OhDDZRNf UOdFFe3I1xEoBK0mhqW+Zx+bywtwVGVyCNPRS2IKJ/GSPz1FGIEU6CI+dYAegQyJsLawIJ1RkJe8 o+lQKto5Z2db897q6cPziFAF0sGNQXpczzWc0L1EjBpxxI001ro1ffvZtxLpaOv64LjZG8rMV5tv 8I/4eR6F/wzMNRtO+icbjlaJ9xG4NlPHvmM7Tb7Puxo5sN7lpKu+jj0kTNfA/ZP0OXA90ep4hmhS P1oXMui15sFxoykThpGch14F56rr6jvxu3NQSIvJp0siAiR9GftpTiDCZjC+yMQ2Y8wBdi+zoMfP si8iACYyJhGHdN72DZ+DFTezZRb9yf4+92gRXQqPWU4Gg+xn4AjUhOk1qGjuqRrfgEbY88hOoXzT ZfM56msYsc+yB0UshOfrYBg2VhKbO6Ic0w2OwQ3Xciu/+G4/jpv2FSrjPx1KzGtVbgH5klIlqWMh xxPWgBvBbUVMSm9DAWfT37kq92GFmTFrsVMrGlenEjbtvSW69PfMREOTGLDMB0871JvKqC0ob+mK zv6eHDU++VzRhX4MC7qzaFjk608WZzhM+iE67WuuNS9n9aLmyqQ40q2ZFvixADuL1B75SrKlxIYz 0YDBjineLKmEG4M36lJumo8aJ9ogovGjEsTQG/2LpwFgzWKAlxryGxab60vkisHQ92hnpNW4Blsv PjXkvPnQ1Jj7zetEiyQtG93nZ6uSF5JYkFUWdxutlkHuqiWmKFDWeGwHILjjAUeJjriBRwhRJSig h+YkJcKPvZEXWwkPHAKYO8Zv/PyALjgRYe0zjYFsnbIqhL+EK9A4NdCrfUXeSkbJSBeOWS2L3zn+ CLWy/9Qkmkd4+HS8uHMJBahD+QWiqNTzoYys1eOgiv7oKXw1BQvBNNi+ZA+yiehIwVRiRWbWfOss 3uo36CFDTVpQpctyUspAFhr2pZ9aRz7fjia13MxNCrrOrnUdWI8gjXMiazrM3s4YpD1wl+BTkRjh QrT5FE8Zlxczj46TqtEbgD657UDvG5gUhzsfCsfkp1rlVR4OCoFpkE9WC5GGo0aF6N/tjbvCDYzR TDpOXPfcvycYNjIUO/6q/bpeoH35EepQ1W1Ii53IuFP0IKZMfV6NQZ3aYLjD/DtK0wQz0igzvzP1 y2r6gXkE+l1ns6iNwU2sniBZLkx2ev6UioH9U4tnOzAtb2EfuTrdk6nCv5WAcv6O/MpnRHNV78Wr 0dho3FEk05TBy20CVritUf05u3Xbn9NRkxPrlrwGb37kdHIsyMUO7HUInYwzu8mtNS5ERDwfsS19 YbqbYk0QbR0me9aNkmbEUoxj9WtfzkiyevhFXVOyYlFUiN9ed+bCidh506ieDG5zBPjRTPlH2aGz K30ik+GKYk6FFOLNFV/zuU9OlzOLKxLpHP8UxhtxVI1WEdDkokUML0L/6eQrfgp7NMh9T/vPTANK DHbHidqASp6uscT/Amb0dHopwWX81rH7pugiCvSQhBvzBP+QiOBuudhgDF6zKahvwEMT8iGwtEfu n/11NFDUnwe7XoWHqN8bhOXdBiqubrKXzSt50L0bkFhqRmZj1ymRbjLb35/R3vEntLANqu4YUCux CPsvAptxj44wrWgYvTC8XOVwutI94Q9I4rc5uyIJM+7naYDLMx1SGDiE64tbT2Gl7lXkfe3Ufqo9 SCns2FaDYhDJkQvqFdVnS1tpt9PUAHlXhf6/8JMkBTpGpKhnFue/sWN82MsZfAv5k/5g+Aax3dS+ oMYCrOaMYFYJ/rp2gCFnXlRttUEbCr6WaE1oHaMkmG4W5YJHqdCDs7vh3VljYEnXaLpb7CSo6ps3 XYwsimZZdh1X0Cgv2/05TFslhHh5rA0lpvKCAXfs9kiWt/3g9w98AY83M9CJ9zVk9t8AM2RBtqLg 9/CS3CxTKLN6fqCUmXcpeXg59etQwMbHCTz6xoZoz1lZOlv0ZcxdCGtn9H1uV0NGJ3cf1JByWMVl ZUqyCcUtCef0w/3P/T/RhOqozjvuJH9FDdcvO0Y2vGHcrpQJKOEwTPSrN+Ee9RPORHor5245Gm2J ZuADzJ7IvSXNca3WMTzF3X66oFGQ6w/d5NuYSZZG8DANQiFvsdHb9MTFUJZly3Ef9myKKzPqWARF VkTynBEUW+U4Qjik5d+BnC4+96ItNVZeKHoblrlzruEIATUvUINmpLUPpkoA+EuAqv/GT64xnnsU 46dkuzM8vORTBrqVqmw6zjvUSjt0PTzmKwlD/GBIul5wyRJ2O4KW5+YpQ1iikFsWzbA4JXjttH8k XJkJzroB7mrLO7p69jDKLCzR+P9Zn8pEi/bEMv8kcc0l3KQCDLRZzEMKDHi4TL/4Dyc9tfWCbkOk N9Rm+Zqa3Te5oJzlMQ6Xn9xEFZSOCoiJJsP/CxZD8lW96Lq8dowo6fDTO128tnh9sAif5wcZMaDQ 4BcFZUf5FOmVoOdvByfgGK8HOxdmYr0jOJSi1llRgpjfQvf8e4f5btIG02RMkBV9HsXHNIhboBGM qcMONKi14JroV/uGKqdqwjCHpyXNxVGE7QaLC7CZk9CEH0BWjQgte36ePMK2LmqUk3MFmFy+kO3K g1ipcc1M1Mw34GpbVxKQKd2cjbLQrgLGAzPcnyGQYvseMXZbjfdygGtGvfTj9jUCMa9fGQSvhhEE QRb/w9G6x+8ZNVQcOZDwg3D1loFbmhMM4nukHKyQqacg6eb9fH1YvlgoA8Yeqr5EZcxIgcMCDDg1 /HfAp9MzPCiC+uxcwVfdxKJoSRh/1kxW2fYzAI9QpZ8PIOmQvcmUckE5sz6b6qxd5q3Q+tsMENh3 88vnv/o7Npma4/pi1OdU0Rxv9gfEhfxvCaWI4nAb2a56kCEfn3EDjEQ/DlKH1B+ZZ0QfRLRsNkVq 2PIhnNDsDreHreW5AhwzO0V0kpKvbw9a4+bnHtJFi4J2X93B0NRp/WNjzRiF9FJWaoiBMdsjK+uX K5q/lRxLpD09PURUla4Vwsp1OVxdFXDn3KTSmZCQzhG6mVGBApsQVOrxPNuYM0xCvgadCGvfH854 JH7dysrkpnfH5M0u7W0CNCV7lIfWzoogsep2OJn9XfU45OFit1fJVp8FaRmPXAViPQd2GqwBHLcg BzDAri1++Ops2Y2ZeCeCdOF8ZU4o/m1IOLG/nIHucrqIA92QJH3oAVG0SR3rqxar4i+rh0oXcu5U c3B5dDKxZ/ieowxUuTbyVgpAHMRRtehJ8Yc9m1J2vWUV3Pq3BzkzySow7L4Qlc85arXcG5mv4ax5 friF2Ksm8khq9oY8mc7v5fK7EGw1/SAJIxx909A/ICtzRBgGVERTVmfj3eZZkzVJJ/vqqetYNjVx TDUFttdnQvfQJhd4cKJGOJMiNGX1go2SZQJWCIsEt6fMK/JYuIaRoa+X1uusSdkJvTJkmTnwbYpc yinX9X3OCWAfGL3GxZunmU7a2rg/iF+DvPcVJYVPd/Y/GZRw0wIcseBwOj3WvM5ABSrBZV75516y S/lKbjaSfna2IRkut0wp6FdcG4ACuDKmUUUQ5pRlT1jSXNW+ZeUfY1LcsKeyHSg3CWcfGQ2JcP/l 6yKMn8Il0PQiXLpKCvlmDY+L6R6QZt9oQLTPNQovOb6ctwijbNOfdqj1Vf/ro2bEbkceNStfVgvE mMkQqLndCI9Y8rQn7GuFa7dQTVr328k85MMQ3aUBxvKhydZlSxxtpyxDkr4HUCU1L6JSownuL0ZN MiRLgKfWFGGvDzK0OHZaHZcw0qGcquXFPCE4oFAEdbGm9EjOkT56OFSPMmeSARLiLx5RtZiJnthh X2mWfuY6P76MVybapRCfQ2ALG/WALPaUE7H+bHqml5N8JcZOCpVHH6x1DUSyeCwf2hklD0cDmtxf A3GAT0lNxZ7The6+Mq+W3sSUTej7SSabi6NMAvCQ6xwc4mDJ5UFGuxgRXQMzKNO9E+vMBPdkyMsZ zch1kiHUCYGKhtrqJpQAeLDk3JZ+C8cHys6K5747LPb5YcTN1aRlyN/VTAEqd+Kb87R/xyprJfR3 ZkMsV96lCLNrneB/1QTHN0DfcFt6/2aW8WlDba2acX0R28SPFJ/zXOgSOaC1cVRyEav3UyBAFMA1 lNVkb4JQh4anzgfYPBNKWuHUwDvH1WcsN6vC1wt0ZkE9ONJ5HzVeePVbfHT67zPXMEbkegNU6Hx3 D80A/4Cv01zccUs+jdS9AGJz2Yja8nGHKobXRVdO47+Vbum0BoKVAckSVDtBJoKQv+hrFzI+BFVd fhHXO4Mt6LvjLbqkhcDcs4Am+B0aM1rVST6aOJ8YF08FEVIm/vhOevaIVOHdcnq541geYw9pnZnT dh14svOOcyHftIT4AVtcsGelgNIfwAyCxyL43maUhTFIHMIeNznbFA08GCQiuM9i776ZML+MxvMK asFXm1UMj/efmzDXRlcpP7nS1Fkox2D66r6+/jxoouBR7MUPsU6DTLlclvHTUswp+Ne6SUX67277 4KbYB6cY70cWUH/t1J9xBW+D0r/B/ZJWaKIGREZAuniFq7tVB4tw9JWeQrhNNbL8AVDHmaSQ5ZKN SLHmtaT3OAoD3UqU6WLrYeroSZenuBE2Xu20SfV6Hr/wbdxUcG0+QGsYqHVQYsXWn7JC4pQMjcGN +YIR5PUs4DZT40bFPmphbmYD7uOHMNF9pYc1Azo9uYOHrLs50pwY4kKPo9zI4tYdqnBAG6E9O0Qu KyY5Ft20Dy5NwmoW/KE7R5HVvjNVHQ+C1ExDP7xX5DQhQJ+bGKvmOUrRozgxvZYdbSBX6bKB3tdm aLIx70l1Ijy4v84ED/YBXwNvNXEUXoTmwOaVQRqJ4VSekN0OkUQkoP40wkXhxV5iQ7XEpTTPQAZ+ 7Ya/YPX6reJmV707zvg6X/Vh4CFTh5KV9jHPWwjLSak3vSbPOgOZty1Gl9Ub6CxFn//iIAW5+S0E THl4xxYSBuXvjaMhIW5RtDyxPU2DO9DlvOfmlxPLtKSPxDMajX5fpUfT/vnEu68bUcmu+ig7wWBJ GIMuoFvvTJcCIpuCBZVFAwYZLJ5DgF04+eh2P46+DdZskMqYZ5XC2Fp6rGZKU9TpUudw7mL4bWju PcN805kmfRhKA9h4f/Afh1ReWwUttPom1yfkGy9naG6WkthqQVnoXeuyEbZ3fgfwCoZyLumVIx5e Fk5qmPFbSnGYS9IjkD7qU6CrC0F3IHKxpgKdtUbG3B/BJwmwO8d7+n1eIQLA+G9m1mVgp8rw5jLP /k22LAyj7NLfuKyJv53EsmMLXnahSWZNf8874vW1rept+fVWfpUPeFqXadDZwX0NzV2PIxEyheqG 4C6+3ljBswEaawNX8GBTqWY5J1JkWOpXjxuwEcg7DWtdC61vVuexBe6Jz8DwLn4zT2vX0cAAQcWG 5MwrNavPnx3LEMxOzXfHV9G2Tk4ExxGwj/GCWIyJLek8es1V64OBaZNFLmzd8ty+6fLT17p0RrEz 0mRGVwIjxbdQ8NMbTRgNvHJyD7ha5NVTB62xpkyuPkmorToftUb8Nhwn4HGL//526Oct6Lp5Crfs yUTKIng+KFHz2SpwUMSDT472h7VA6se8msrX3jJuzO1vIRAqAzAc5JwtAR8jFDiOFt8HuI4MhLkm 3XCT4vePctz8GrU1I61agpQMg+3V+d4ga90sUXDqL6oHLV+WNTDD0S5qoSx+6tmcr5iq2W2XVSxk wia4P5mDGrDYfXomm4Ts7oRPIgrQjunRNDe04y14UTuEchNdw9loL0VZ4Bg6whBgPx0H9wejijwm 4j4/jMwCJtve+zQO3BbwEjRz+J7NRJL21Avj/onMByfTQrb60FccQMcKYC+2ubH76AIN3zvdfJpw 2Jal6t65OcP7SSK8KAZqwwIa7RmmOu0lwubdzPQJjYFWzfHXVYyNxdFr0u7oKBExwSXmUX3MvkN3 03MeQjono/6YzxX5ykXYtlYEfwq9QmtJ/UQNUOgRwjif8Zh+6X4Fes4pjbJRSOTfriT7SEzt90GD CT8wQ+L0I9TmquDm4Qypk7QtpDHe7UArtRijmtb1QIYOBTLpIOgYvmiVfX4OFaiq7ZGuhj82Nt7m Sc/S0lfrulWGBIXWhxK9KzZdOrqTdjREeTiAOUa99bwBpyetEkmdf2gBQeRxmroK9o08keQeTIor tYkXtJlzibDIHsc/Odimz7DnXk2RcR4OFT9IlwOtA/vvbKPRXjCANmKSfOqYk7nOUmSUXqa1cwFy CAotq6sQmHdJARr20e+uaSzBAwezCRdC7NTluungienSoGwd0+RuVXPmOb07Xrm57nGauwA8xUhA YZAVc8PPe7GGLB0VSfSwSeuN+Cj1RJ/3k9SBzFfg0ET6ZT//AGW2h4wrCGWxXc4fkcpHd/1h1nO8 mvROOnbPXvPyC5bz6wEBloGGhE4vZmgL6GnEPn3tuBp5XK7J7gNskMsXHDOj79kjhNvHCTxVwlAq qshuu5ZtUgRUqRCwD26ti3T4piGf+vFvYz5lJtRpnjj1FQG/X6EkdK0a4yK/giEPwgRI2UTUxoSs OBvbKNd2tC0qWmlOvPVtrRi2iDTl3Lll4Uzf1Ieyr+0VNCa/gg1lZ0zhAVfrE0S/4aC/JM0s9Ald qbHz4JA5KrGe7yzRkNFMvhjKb1MN4w12wLLApAkHYi5VzxrY8BYbdsTdEx95fY/0WHIxnwtjpaZ9 q/Gfi9RveMO8kCd2FrWTdkSSAOQO8dhRyeLIPCj3xJZ5sN9gPTDG2XlvuYg+IiXkcfZPa7d/svZa zel/O0cH9C3ZUrcvjhlte9UaEs3ywNJjoLet+ISGNIUjArXTPaZkrR571yFHBRG2AfQuKivnBMHa ChJZ8a0HWQ0CvVus/gKr40gdtf4T6PU0qh+/PlV7B04KmbNfiIcrsCSliTAWrg73Keoc7E+UjBMP i9JhUk9K6EiuUsbzUit3CiS2eDv6YnHOACZx9w7PyMvPRhSXwzhEAe1CWHZYPwFtvbVmvHRH/ZPI zdmuj5Aa/wdzDdj/LYEfG5OcnkPlqDMXbh7qSkTye2WJgyE3C7RDfSJrLyGFFAZijfK6s6/6qUdS gr93pF+rHnS6YrY3qjYhyxE+Bc9Voj3Ok7O9cacEWMH2sP2kjoa3MFMadgMkInf4b6Eoi6lnt5lg fTIDU9DQUWu0Jw/yklIE0h8BO4UYK31nyPoTpqYb+KWHr4PKW8Jf2JwKqozJU73hkyMlIQJ7qdrr 3pejXfMhpnl/jzK3+VvEg/GFWEvZ1jYIm0xYHZSPyNF5NFArZCMihVMI+t7FazoFjeDI1OKLGgXN nCmgGgzbKq2xsotf7GdzA+pRxpQ0Cmgi/mWeK9FNeBXNcVFzG6CR1791BVBrhi6D+hEn7YAQyG4e fzaT5RLjbTB6h1KNE+6gGgPX7tQUGp+7EsVGau8II12rnTQuvtwLI5JFn4JkafkGveTZfWivjhiP sXMDsPIosAWYfBA+n7NG++7mCFSGoW/uK9DDuCqAr4lANtDv/TkTGsJo/t6+F2S9SPkqVSBmbto8 BzvUbK9sN60YFR2jn++Q4huZxTcFq3EOthHh9R0AayV74ycP5kWQ+ZrEXSB2eG89Erqu06PBqG2K Q8S2b8V94RTMjW/AfqQP9Fie957ay/lxYX21AAMS1RlhmfGCBmQfGYDXZLZfq50wf1KRVKewHVTL iqBw9T4md8zXB0nrgki/TVlgXm5bgo5OT1UlQ2kthdQ0pfxyZdCtov3Hx/Pd4ONy6lgJ1Jb9FCR2 j6W5/E+b1F6DOQGKl5nPEwfgjhL+VGgu8PFJOxtNjqXVSi4k0ZWy+WtPin7oOqTswSNFJB5WrRnR 6W8d6QgK+j24cpdbXgKw8t6iTqveB/QiGNUtE24LyDZWCn2T6OQGKLgIxiaaMkIA1uEyAiK8llYC AR/ho6Io5M4G6fMh+VlBdmYrsxKjcH3PjlLhKjQFsz31CirLE7a16LtBNS3SDtuqy1YfL7ZR40KP AqOuXzqFyVOnvPA7AgVPXunnAV9PNxsGQ7JCOQUrxq8j+6uIwDtUwS17i1hApgwilXXk/WBeAfGA yWaY5ndnKnuslfwBMkSNUAMkm2vIooDYRZPT6HBFDYTwoWfot9Io7i/rGPi0wU8cuwlKQn3j86HF i9lmZFXrQ12iiRhDbjtIUBdGLatIUY1ajB5iM6bkQoyzm0oieV5bW8y3ZKliyyH4djr+TUeoKNUY EH9w9NlbNuJwdfStKlWZEbbt9fs4tJIoHAyq+PlA400IFaBuQ8dt9zJvFO/vBCyf4h5WpuIpNHHX 30xFNBr6QWIY60i2jPtB3buOaDQQ6G7NjKV487QBY+wuRVAM/ycmkOnzLoOdCsiIuC6ciwQ+G/hs QQZzftCnjumFoMIcHcoC88N3BHFGYsSNnhb+mfL42/NWVHH1fTMjCqJZyzLp5FCb9cyEYS/iGOjm kv0FxE1s1suYiRE40IXSUzqwnMmOfoVRsP46nI7NHfBHEuxxeybTqmuqM47GNuBUM7ow5TCQdIJ0 bC8QUVjNsTr3HYPW8IkL02M67nZQAzSwzz5ZEzL95OCKOKWA2Ydf6K9QB0FEyWUiCr+OeZQmvRLr FALYsT1JNTky/3gBckPJBafiko5t/I9TnEBwIJsbL5G9bgrLbpBZR7z1greD8YPge5geU35TMpjE uC4VJMG3xONJhBQgybyleAIqZO9pgIlkg7U73+NHEm+KHkFR5L3iPOpkZEs6fsuYdhek5Rsbeh0D dYPMtBUa34rSBLQxPd8Eg/H9egj1ZRxhnID10nJmsk+7TvHghYje+80v0kVNuYCjBrVjWYrZsvGA yCZNry12TUiRhOHM2vuNpXeOBDsPewlaNwdWb41Q6rNzjZ+G/TwO424REXAJBTfTSD3mzgMPTtLA X4YfP3aT744byqk8+uRAHjIscD0jKfbDmhPtgy8cJf6RYss7mPFxzgIZCTE0AgYktumHNb7CpbqE IRAKIPhHNnRpKtmY3pozIljt3ji+yPIWmwyWGaYzSUP2Tu8RSaWjJ7e4bYvAmU854+/icb+zxizV 9kLP9oMeR7vOZdoWBw3D4KXAG1pcz0zkwWqYCR6yjl67EvpJOISh8ufwMB+IijAubal5i1yt74ze rrfNh6ewtB6uC8b7FDc+UhQJ5jKxgb23htgwNIwswRKjF0s9KH3HInHi1PO/9h2PqifLLolceJgg JrVa//oHgezwz2BXHCcxNusj+IeZB+51N4bMgQdw2YHKQdRlfOs6J+tr6KlwNO+yU8HzX/+tMP8s COFvYpt5y4KQ1TinZsBPHSzGK7DZ5jCphFNpQlrhnJKXUJqMUrbcTwYnEchcEpHED+9JAUNRnTy1 xzGivK9sa5dzS8Tob4vQhiEZQs9j38sUP6Nvm7eOWB4owmIesEaGAk/t3fAs5YUEuS1B4H6F5CTD A1IaI9A+Mso3V/dpdbaQo3xr/j4fACH4y0wyfEazCXA6dAtx6YZViZxSxDAk0fviNLlm7aHpVLhm J2d3mtWTohetrjKSIGN+nErrsRtgeDjpvIIrvekUNspZmnWgQTTDWVbf4fK49vnmcQvUxG2ur4Mv 3IUCDP1MgWOcW2orBDlhFqQGYcQClkuknIbv3+9ajzrcsNZ4NSjsicdzk8WIa4s6yG3j5a403Jp0 Is0hStlNF9tVXmQbGkyOl1MEZ0sf03YK3i1Jk0OjLfBoOhLNap7p2psCik/RydUkUETbHSVQDech rbC+TkR7w8cl5iebwO/jPaforsEZ0aAu1RYSDhA7dqja4DbdRJtSLG1QalcuGN7nbIgtJkfFbnQR ZfSi9NsEn3Fxy1CayTupZfhtTHBkSccw4xC3Bk9nwzKIkp00lOYmnu0iEVtcR+6WJI8yelGUXSLa ICJEO3INlxdPxtNwpbt3xHsZnnv2fsH8REHlljd69EJlM5Io751BItvdLQDOjBbJOiWe4rdgIzy+ aRjFxOW/Q6Zr1VBnWK4a8uKv8vUt2w66rBsQT4MlCTfD0E/ZBXufwiyWqcbhIzYPZJOAlD3fFOOi GP3IzbwKBHgaO7sZFCk6wNXMJNyK5ZZsFoJC9I/tOBDP3C/gDTH+was2hblw2E452iXycoxuAHYu AMTI24HPJSYTqGOBEKR51DNh9Z5zd/jc96HsHVlx27HbpkKFAZAMi90Dq0ojgg+MTSMVjn3O1Ip9 AxPOkzWKPt4/ezrePt2b/DYzYLMog01gTpelCL1nVE/dkK+S7lOUmpP2JS1vNU3Y8/l//2TdwvUL onknSHBmqwoZMk10UDFCYhBY4v07fLJm1WbwuMfbOAmW5nHVwpx8S4fHiPpqqWyJxKJZyN6VWssl jnOtjPgjNs8k7tnV7PKdjcgEpSvlRlm2G+08Zu75F8YTTC6Fg9Hj8IYe8pPZPpiPZxCiTE3nzmwv St4iP/D5fOmciXDvLB3Z4oIJx6DrXXgel6f7Y+QkuW0Fw+iwjPKkCakbj7jCGPgS5Uz93Hg6Efr4 qV9PTTxGhe2CFqJ8entUv7KRodpmpaWc7Hw0GNeC8lcA0nMUqtXHUF/FDDkqsSs6IXL6uGRuX16g O1cdif40N7pl6U0rBh/GmEPnUOQll6iKx5rLjxn4wMkaKOjHrw91pf5KpRUNj99kzCg/7ZGCTmFw pfJmn0/R5VH+8P6mP5xTfZ0JxttgGXZdCNj+4cB1bJNtpcQKtAQLN+2C5MLDzRe+MYK5yPWElcEF PYpAiSNXOcSdtqY5eTnENJPOYxk9TB1JiBO+tTtorxSuiqoIDCxFOUQgqCr0HtSggQvIDVMW4siI Cgb+wEJ6iWTZwCbu9Mlx1YEZ8ZqDECYj3gI2f0TCSi4YLGxAPKa0oJhJoM3MDLnte5P4vDvZy0ez +XP1LZZmdz59m8vBxx6hcUaM/6eptmm2fv9s89JmO6KIjCcX4zDW9kfj6faWwG6adSZFfvIS1/Fw pYVQWPtsqNp/fC6SCmla9n5lfQtK+CrNcpM6hk5tqhSOM3Y9TdE+r4nUZ7N7ZWwsHvKK6p509cCw 9UwNYB8eVuuY6PWVHrwoYE5EZNIaEyilL/MilZ48nTPehiueu4/bwmDPzhxHV/cOJ88xKkUAp5yg yEwr0iLvO85062CHjouWBNNqbSpTURGtd3HVxpQKgkzrnVdy8Joe/Cb3V/zySCyDKEtRYO2kJQmx TeK+BCQA1L/1TynxvEzyS8qSO2dV+2c7IeZTMkDpRvwXuz0ZoOFzG6C9jfYlGSW4JZRe59IcuTX+ biaWjEkeYOwNRXZswdalAcLiW4f1ADWovFfmKRpskvMmNpTDQzv8aMipK8I0Pkb7Z5XV1jTX4FzI B9bIHbSY740CAiovgzqPZ0u6E2Faxc7iMP1wfD9wf5bUkcfLm31mZmspK8+sgPmOJyLur9pKbTbL 3znw6wIXi1Wd0a6/eqHEcDhAQl0K2U/JwXyOOGaWaFv57ErxNoDetQ63d9hbt0cKgSmcEghhquZJ KkVaTtALAPj17fhbpL46NGReljOoA03zZFzY1EZjuhmt4M/FBt8ujGydtPaK8hgWKHYvkfUfNpoj vV3oLsm0lbnaNfqUTx3JkPR8cR+zpr8w2ug/GeYyCuLyab4xNV6MVnpBsuvQJ9PH+81+ffj8vzjX 5JTI5dKNRGoI45Haq+ApiMiG3GMZ+vFJNzLR2R1VyQgCyDvUO3jLoiyuL17zu395VqqNE+N+pXXe +wk4MxXZPXdzBeONKSNnfKLgYoDhZ8hnZwB1fPSUb+Ruw5gcuFP/2OpGd/E74z5hUDeyCnKUQqxP c+uSb/7IGgLiXoHGgDYWoqNxU0J5GK9FoBRN/Rulto7lJaSAEbgluVSP5o3hf+FQdr1A34KzZbnm bkFOEAOsHwnP+DB9uOQ99f+++HEEdOZOBxc1YOmbzaiSPQ/goLYEGvHHVSMlyRGUx7amdbSTBW7q TLkYdrdltwBG6NnZerK5V0la6HyB6kO9TjsSCCOtLAj69lsQLardq/PngrNclrXIhyUoJSyhPgkx jBq+4NeWn5jurqD9pmY0pFOPVKwJMaEKOq1ySsJNaapmqDBpnqnyVBQhOtUmXEhhEo/geVMIDLWq E9LNaS2z22FLPdla1wVGlu5IywhcGbB7I6kP5evm/hHAKhF+gq/94SG3azZhtjb3u7KjpG4nwsCY Gt2Dvq9/a2JTXtgzpW8XhRO1xzuAJiAt3vVmlQ2ydDKCKFo1fBocRObySkeCnGPsoUEfEQ0skdxj ufkX62x5Pusjt7CyVV+7TKg5yW04CjnfythVMUa+hKFYkyj6Y8U2RpoZMziiuOolfql11PIBCZy3 h6Vc2EzZizR9mMSzym7SWM6UNywLE7LAOULjbx0VjqltB9yIACE+QOxLkEMNYW4VF0S7yMh+RfJx xhHxSLCEj4subdGeLHQRYQ9W3RZE/Ml3TjEfEhVpnPeUiKSG+YvwqSn0DNkJyI+/eTNnGoCcFG0l CauehXRwe6EzGKduWnCq8PGWaPfHXU7twRKBZrcE8ShyXvhpSkWITP3sYwsSKMxG+I+Truznlcfs odghLLBmz4Hd9hikydJhlfrvIQARvKWKH7R2nNMuxWYu9jV3Gc5mjcQ8SoUanw8BjTpcLITI6GZ8 RzSJ4BKK4yu1f+5YByJRJFD/U3bko/EuRcSlslfC31v5RwBClq9DRX+0VWMYfZf0+iZqo4at8Ota mWmZIEgtOm3aEcuq/oO1pP2lvztnG6qKGo0EcSBWMzGkqPRT0kUEJMkFuuhmAGfPEZuGoQV2HqMx Crc/bgIoZ1zzgx5xOjaAxPF4vB0MCkrGGuOJDGIYvGtmgKh5wPWeyWjJPSGWEAJdRr+wRjCWJ/wi I1FGmHLDxjSdpH+eUA58Bq9lz202H6waWmmlRCaIzi3+x7KejEK5CUcB56h2GOF6xgKPGLc+JJQA OyGU2OsGpFsS3DF9HgCKBZaFunsWSJzXB1L/wJgBlGUBhpwaWyofC2a1r5um9nM7PZYCHi5QVL76 03+yuvaAIXhq1CmqmfUpbiWofxHk0T+5eVuo3e3mXhALG59N8TZErmq0U0BzHiO388obpUtZdWTm kweEMAivLJlR46lWWgsBBcHunNk6KWJUZU+E/XB/a7a7Ako2Vz/l6jCChENoe+1O+JW7gJe4NxB0 b1cun9VcYu8A6LEFb71TnGNpRZmK4EnZq5QJ39un9uaH2yMvxwyvd+kT35MDSNTy4RWqxSX/UsR7 NuG2v9eqHuFxOSWLcN0LBicaTzPqofuP+k8MBaftHjLrkE01HKA24wldnjaL1Co80tSR1kMlYFI4 Pwx2YNPoCH36xYraGbRqioQOaN1BirBymWi7SbYtBYvTRF/ooPmk5gaEYka+e9w7TkYxipnatVwQ eLr461JtHVbsxjjEqthSSw1svUzLMtUbJF8zeg3XK2Ur7yb8+QWTnWiFe/JJX72bFaf8/q0Hp+1q vFY8IYmiLggRxpgjpjilImUd5kItZI2zAQD2hE8VQAO8KO/co91WVw2UP/eozwMHf2EkdhI2R6NK J9HbB7OFSOZoIVsq8CXpdH4U1CJ6EkygVt1eGUkKth9IBvRy/HXc3nVqcjNlzV+j0MCAOcBe4aNY pGdDqipciofSl2MUqbQS/E/5sulhFpLT3rz910QRqyabLsvosFXwbyLk4UzqXONUks9J0Cx0A9BD mTGIZ9U7fx5+ti3noRaAAwOL26fxbLkS8tnbVd5E0N5bdUfyYwp0TZu56/fMayPhfRN9RK1BOS9M bqbbDjRU3MH5KJLwUuqIKrK8r3UziUDeTBX7mpU2SBfa20cStlDpN2mkdUPvpGI8j9z3euiPRqdD QFk9bxDFusznJ2Krk1dhlleardFE5P1WhrYKbnTuHZLrd/2BvRQQyJzfEDcXUxEZRhFEfYF7Niw3 rTngV6ZLbfZqw8S0B9sp044igbBVsjclJ7qOfLDdRpqUa3YiyO6B6KIxoqiCwOP1gJon8EbaB7qX JDtASEJfjNv+uJZjNR/ODBIuj0oR6PCukitXN7Ia5eY1HeqimoYcF5O0LqsHRRaVEH3c9x4eGgd5 pi+yjRsxQ648miTOj4kOClxuI8ioE+YfZdjrAOdAQOWdRDSsFkk6WfmXQB7LjgH1fMe8+MXpKv7i 83tzDLXRnsoLPDxbUjW/x0oVA4D16t5jfJi8RxoNxyeEiGR7VPGzuOwx7WZAlLlLWY85imhapJD1 Pd/wm0enan8yU27BgHKUwC/2DPK97nYnAakcQwbyB/b2sQhGu1cWDXNKVAaIvWQtwtuFoHQ771Qa X9qIWjGGlB1r9hFHott1KfsNNxQd+h9jT1Xf2rQO1M6zB1GsB1MvHs2Yz8FBA26dqMt322ynVKnB 5CtiF63fKhOCPSUpdQBXQY30VKfl5Zlyj+M72ywNRF2lsmTnDs0SYK7wvs+WU3aVQ47TRrE8bjya MemRzwm1vJ/8iWWKPWz5R/RcmEy/17XFHgBEMNJ7yRKdzt7K4Ab1niJUEezsPVPwT6AfSBljDXw5 98WjPcrbj7jwAMRrqZqc9WnWGqIj1crk+BKe5Xw2/nj3hEkP9yaHqN+VbH0S61q6GRUsgkXQrnNj 9Ma5F+95GumHcdiaxSbT+JMnJDozvqKZQO27kbZB3gDE4BCV9wcDAKVnK8TUthkm9/b5M9LVwRAN tpjhnvXxWAGqMAqi1Sl1fIgxU7seBCB/YgJdqSIPvc3pa8csxmF59OuotIuSDoAFGo52fsVBCl83 F755+9CChQyHwkD1EFZXCxZQDlnLJ/7CMMP7NYeGzrJYq5p6GwFJzWatbvnKw7FgJ05s9Ym3Mu8U OHnZaF54OXWid5FIlEyVCbIV+u4wp0iYrae+vZu8Ln5p4AH7EwTa0F8n7wO4C5MGkPhcI6PhXw5/ MFFFe7bI8nejwcPlbl69Q4yyHkuOrguFSv4hOc6sMMvJ3XPGbYFR8qCsW2k/WjIjNeEA+kB4LgWu vdDr2GjUXQ7U1zkXptJIl4Gi9W+vlq/0HWsEzhJlYUN/umEWa1n5BM1tXFY23knq/3htp+DQumhb N7Zt27Zt27ZtZ8XJim3b1opt27adnPOc/fDXrnvqPo5qX83WWh99zppGseFVNtTo63ypiSgRHXvg wpEjuq1A0UVV/SMS9iSjzQB9LpNLBAqyiIyshcdExoYVZ37ZaXX7lq8IHqFKIH0rP+jGJAqZn7pM lhmBdXG7kt4tRLPyxHgPMBSO+0k5hf24Z0HbzCIUwvPdvj0mIua1A1peAnRjcaupCWblsjYzjMdU sZ1/EAbzATBAx/cofQwq+vNtMbHL8/NbP9ytRZ6nmerMdHHgZLvyiHcR8MZWrUivr/NTbn5ASeXg ItTk1idFF9pkmb9L4974LfUEvVtGr0OOnIrml+uRYttz4nNVfCkAvSMvHEa8vrwZAKPD+CfQt1XR Yrz48H46lEtpQak5sP1OK7AGydQHT9DwCukaBs7D+Y57h4vapzASiba7NfJO+4jQ5YMRO5MTnwh5 yP50HvwReATwemB6Vl+BhFvRI8vHyCiz8k7LbegXRDc+K736iqFfSQZnguXs2HpeBW92EYnACW0U /mmuRrgx7C4Zd73d/6eJ+TDIcWFfxk4Bi+rPmM8H/rLlIUJ/BR61Li5I89k9gZXmoL+n0P1W2fwm +TV0+6dU2YJvzh+LmxyinHA9y3YJdQJD/XZIVEcC7kWKIOoLb0jxhxpvoYvLVyeKR7/jnFOXtN1g uaZ2rNnUthaOTczS4T2tEkZEP2WO8N7aizTVMm+16xuLYs3Sxz1OJfjeNdhlb9Zm3C5nbKP9UrHV GMbwxqBTHBQe2nw5eOjZOhmJEMBwerSz65Gdc2q5cK8TF17zg6He5pHkEIEaJR5vmCjIm/n2jQ6t ZfUGFKO8f4X4S83rwjZT+e6ihot18xJvTi4iUC58zk8c8AaQOGxTvbGkvEsLBKy1bwEW2rpECZV9 zAjpihWvSqrrtr51A0tLJa2ehw71i/QDbq21cjOzr9WM7nXfegsUyJS+euM5icoJUq3hISZAAiwH 6g7sJ/HAmZeGcwi8qY//0MoPmfvP8k1zNJ+pbP/xh5cBcf7xlqOd3SoZ62BnojG4It41BfiBMcGJ 9xpqGykbqj8JrVwXXb8bU7oPpX0Ym+etzh55xeS1gyZfg2PltKjEiVkMIqse7Xh7K32B3JZKm/of GIRqqYHeIKoLjt4Pfy85zt6q6z5x0GdbeLTz8XSPkSG+BKK/0qaf71oBx5QBYXVKG1JT2L2kPDCz qwT7Vdv1OGpE2byt7WkxUrq5FSDQYf3V+PcjlkYDsP0hN+Gp+oKR8CG72LGkMpEwYMdc3YRU2K/v 08B24RvqZ2B9gtvmJPoAbJX+lJERnwCs7J+gn4H6bdihZIw1Eb77+nxk0EfKqheYN4XK/p7IJ74F WhInSSPRVhZ+g+PK38nuayviu9ZwPqsgndNviFUQ+vBrkn6GpguqEcF10V6GyZ+n1irfZhgEIXTn +abeSyCg0lOsft5BgS3C0M5G9uV1g20r2WSTPzok5mBEJxDdbpyD9lcJ3U4mEOn0tjuTRi+koNHW us1JUZ9DxiirOHa+U74OpO8n7V6NW8Oy4K+QgA8Iw203sUo5ku/gdSI6UuJHtI3RsFbnR1l6uy7Y rImOQzRdnaLlQDLlEuFM4GTKGVvgP55nBENudBqdTjMynXbpFJRJwm2W5Y+B3QffluNi/PnLgzUc zEITM0HsvrYbxyQWIitZtLvcY976UPmb397C7WFcXla9MYwoipkivrIyVUDhKDNLZuyiJ0f7llN8 ooCe8c6uVzComZXjVTCH6/UKXZquq7iQCloeOsyaA63htONApSFoZW4UUzRrdI/LveRUoOlO40uS ySYF6My+uDGQXuEJYdZH6Kc8dVnDqU5avemqAu2kraVUz68lTOxXDQiK933z5NRko49d/bwbH9jq eXtr63S2Ft56+DQEdQUKJjlSUbaDVRbS7f3sGNFUh183wGUPoB2VFDnQQWUg0YXwhNr9s49P1GhN 47tQrDUPI+beXVADLxbK8bpRwS5JaH/+7DBqJM/me7VK3BHcpTbIZX6kwBcVWxGcq2fSWNth3iBj rl/n2/EA1l5LgPBSXYHI0ofrM+QElTnV41IgoFDPDeseZHEn75XYqmSSYt8uCjXtATmv85o6Zg97 MjrqmiN8F77ME49MGi1hLtxozCRNbyT+BRrKGwOStzVdHA3wJtFQqR2Pe3NHDqIojItk4pIKRNxp b1ZODYwHmNkCdkgTjTrvXhE3zVN5fB87BI3wBVf041FmvghvsSM5bbeSYNcjxpRwWj7hi6N63iJy GVXvK670AaeswGbBA3ll9efJz9yDliPmL9AC3QdvVgQSF+Bu75wRIbuEk5f1v43IHYHdlO+bi6Im LWIYkbippQRHjxUJ4AhzIssi0yhV+Ke5AYxE/950wfq1aFGuE3IaaocdhEokwkWtayaftvGTlaGs a/NV3qfa8QqC8NbAdaxjIzjlgdOrJbJfrhcE/uFAqDVflvDlHaXXOPrJD/4Sh4BBiD5DS3GkTcO2 D3l9+VDWiqSE60KhqVKRoPcdOPmPfj7xWYJw+UbJQkWwHLWYZQtgvVHs7fxN6ITVduvotq8p/Dis EZIHB3X2sxAhIWEjYD4wf3VEmpta7vjcslQv2Ro9BszBd+FGa4rDAKi2+ZotETWPaO8VhG5Eg/X+ w2dPF/tnTN+29dAg0VOBtIiphNKnlDtN9qGoHGy1k/3M1/a1rQ6EU0X0r1AQc5EmlnovX5ev5WpW nAFLbzS6XHqcNr30Ex32hxKQ3Ka1Rn/4TA271J+W78cfCs/854WwojGGEgQ7stZCpEgr4biLBtac MTOm2RiG3vb+vDQ7Z3UMLlKmIT/80/fzxm2LokHY71D00hMV+uojDb0p1GMGAAdQI0uBo2JS/ajO HBBrMxtIKjebd6aAzUkUXkRo/7D0UumUihTBviF7cpyNaHCh4ayjW95gkblOIfrv+ks7hHdOqemg bixtn7LhszKuoL+UIQLryiVP/yj6QGfsG7HKQ9JBk2m1IS7+eIPaffFRwKFrWXGWqpqXsh+UMb13 ppicSSWdTiaqJfGi1OJPbHsXh//rELHeVvSOAkBDpnWCKZsYVVidMj9MaWqt4Ts5obzs0u64ADtL 6QWvQFTXsi24qjOdfy1ogFOVub5I0iIhTg624vKphQ4dZn3iu18TmP15rUzuMLmc4jP18ZoB3vea Df/S5wWiJfY9un+xqSuWz5CnCBIY+ID0O44pN5wJ1niQjH8GzsTejMUrMP+2tQ1uAqLk4+cq7Kvn XIEpg+CVX63Fty2Ef5dntB3JfmbyRLxE2zoV652+cRSVKm05ghoTq5GgoU137dR9eI8fU1I90GI1 7SRU8fTg1jqY8lb1Eig1LOQIFySFjagvvPWK5HuLIemdgrsP359Qbllk73kEC/hY+k7/PIYLiPDI 0JWRIgjVlnnhG5mDLiZlCS+NGxEyqacSS3g9Y1SGdlrLNMLmjmAWsaV60ftm4wuu3F7UFnjoJCNJ smUfcx9VWbOhFFqIinwizoqGhlbxgMW5eIinV6e3EO4D5HdPWRL8OybwPue539+Kg+r89jelkp9u oTTWskWzV9nzrljXY+eO1JIwTrCh3awMd68uUTL1z2BpTKVi3moA/4Hr8xz/fNbPnf/G4ksLpW5w CeZROyrnG6JVjxsLhkyO/OXE1ut00qo4oJesPXHJVl0rCuNNZY7lg3RIno5rrsc+6rg7yXSIxM77 us5eBd+6oOZyUUUHtw785ZhTzDBZRhO9OcYinZXWZysmRAf6wyAEVj+l7hW3PLf1wK69DPzD2wd3 Xi5nYrO/PBw93CMd5dzUxQ0oYx4dwf4EHRgt5HRFqqhTHSTcsRUPyLzWFxKmEpf/XpiF3bjt9CVG hNxFUUc9si6PcE9EHOzIq/azjsT7GVFTYcDUsVkGoklycCMNJ4T0JBsWebGHcm24cQ8cejPQe1ag jK+vaeAHEaUMLnNrlZqMOjq47hEScMoW0R0IKTp6kzFc2GK8utPiCG9er1eWjgiPR1hQhrRrKTlC d0kYmZUHLkcIUvvmNWNMuNZfALB9GvhSW+mIfkVpUWLkolEaautTPprN5XqlOT+J6FBmg+F4PJKm hFlPyDOLoftLZJBgbpr6/K+E18aP3K36jDUBY9i1vdRti7fxLuNb7UXPY8kSVRpyD41d/M29qG1c +ot7WDvSzFFkf57wItXBiD3Hhy8hRhetzfL3LWSAW8ZPJ31wdWh8Mcs7jCK8VCL4AEeiirI6GBQu pWATtOYSp6io21XMBc4AgGmo/VLk5oN1eklukpU2KQUf5xQmAWO8Jl80L4SU/KbDji9dwBqBS64N gGDzQ9hY+3wtCT/TMSWPZ06cCTq1Wq4hzaJMa0HhI4FPr4j5gjxRIeszwAEyRyZWCdem9HWFw9D2 oC2IkNdlkod//YOcQIHUhfYIF3oJ1nrPDEANgMrpP/jVkjzZLzmoqf6bjG9g9rHlUmSrScjRTgTO HowuaOFd6bl2m0s2r/2VqA1/ADhVDySNbo/yfsrK+H7QiY3Cb54dzF9RzaUw1OOjvfufIFmsOvFW J8FSg1RUUqIXB/v2NHn8dv6wMZPY6ztyZANcaCSNxghTwLeP4TBPASIIVUvVo9uujQzA0E6G3fDF Wj/AapxkLhGemiorYMUxfSlR/t/fIT9bgKxvGYmt144d3YtuHSTyoZLSQ/vvqwg2EScK/IzvT2Ap qsihPRFlo0gXNzk8jDE1mwrJBDQaSkcmKNT4JY1Y0Zb0P12MftTiKwAB6R3jCw3NqEo6ValQr6td 5KoGnWEze5HWdA0af1EDHrnLrJJKoA8DDQ2U17x6148NMe0cyg44sRhcdCHPVynrunPs7OJV4H1V Zxqmu9GG+QpP9t//ViSFk5AA/YyDjBNMXRgtpm+B3nxVQu/kBJJejJbC7XdeALaSoqcpIZELzoVG Oqm44hdnv2U8zF8tOC2vV7EEVMQfObgwvrhWAS+A71oGr8Gt+zzHr5TOP8XS9cLoIp7FDx3ugW3o wazs2Fugs5rYZlDPDZQaalDFiC/S9g0Z+78ghbhqdkAOUy0bsr9SGs2aRKPh7LSewDyEhUeg/N7P iZjabPA2TvycESju+zKE5rknziC23A5lRJXokrPJ8f4pVv2jYcDJL/43u+VYTV7Tqfx89jrhN3k2 sTeXxM3xxnatxEFNZUrFIZWvauRjEfCSrUQGKUirf/xroneTj20lszgDtI7BLikmeX9Bi+LXUPsT GqQh/+Yn97EW5OSmMAahFpZCdB0hNUc0YOWTLFrk1InGuxdQCO/2GR/h5tlSCd3HgTYDZN/wQUlr myWW2GDd6v/UZVyjbuRwoyMpEvMiFFk/AYXt6uCYpRiZgxmC+2+axSkCVnJ8NfbCvCiQWlfnDl+g PGC75Myg15ESeIJyt2l+k1o9tm+L2/zl/HmbqgSnNudKHz5feff9OXbEUj87Ym0758cNUi0E5SnR J0WX3drCmqJWmuEAzARCH5NlWkogMJpIb1zdAddsVKk0/XEYb+7JQrjWSq4H3fO+06zjMwCV1/+w CLOr1lSnYPxUCvr25z09o97MwUzRVFHqIsapFqfJUUQlCT3f58VTCiJCe0mP01EwB/nJzkP0OuTb BL+jSinKKnzB2Fy3lxHAWIDgP6WdSsyYYVXGsLIxvECjWL8dBJvyi8sQzxntofDgGmgI/ncjXSzf db1kDHggt1ba4mstEQWyYf5WaSjuXEMrPgFCPeGBcROno2SmqUXJkKzxOufuScFVxd7RKu3UZmHN 3LyjZWfImfYjOxFKJpn7lOLd68zWLKbGxf9Kg+ktY0ddoLSZm7RUFSRHTEPCAkM4pnCV0Xl0YJNE XjP7JLm7RyS7XJTExHea8LpvihteNSLLcXySCvDRaeCAm1rNmNP5wVbRM/anII0kjJYI8KbLmGCW xNNuGreeewKoVABh3M+h1/0qep69e1mB+WvUelYXYJ+xytqq/zWZU+spnB4iNuzuykqnxelqEAXi dVdK8c9AqgxKofD2qI/2ywaPgjlJp8h994BrVRiBmaDiNbB7mZQ6NVhMoluCvHQ0TFOfcHNo5Muj ALzmgPY4gUBdF0OKMcbTvYW6leiubxUw8qKbXncghOkryRM5fNVdln/NSGMD+4UlcaxGUlKcDmdq AD5W3Qlpyy4guNy4EQ0uJqZwAKOaLsnFd5H6xBqU7g6sk5REiM7tku4HFXWkjqUKXyak/grbgXIg dxA1PfIxqiagJlPa9ktBlEq3fQ1TbPD1iX2eX9ciQVD4xBmrzVAYMb60ui2VHCfJakIypRhWQ28F f1TftY3sRO9mn5l/vqnteyOHjxuEZAjCfdPuCdIPEjS3fTGGz3C7RhRy9tzIs1404VZLFhaW1ZCE BtMrRwQHrHdxteCAN17RxU/ldvE3xNEN3ytlZnv430CpVUpI2Rl8TR8n3Q0XJP0e4Srznp4wN2Xf bOhrLK6E97MEKNA+qO/vziJzU/QdDKt3gk+KSqJnS7BVCvptFJYg3yYTfxBI1R2fuuG37Vue9jdP q6eoJSo+MUbXG9qsKaIzlq1J+q1DwvpWrB8CTgkD2ZNKGsjoxXm45s6qrOe8gJVnoQtZxVlq1eTp v4tDexqgkTp9tTL43E6b1uyB5FAcqAie/b3Q2fa/85P3x03TX8bop1olKkotiq246JYa3PTbUnAI K8KIWAaHhHN4+zZxXx4tAFrME3CUd4XXyIa7L9FB+iL/c6jF/tMIUkznGjyaHLLIk1UPr3KhWL4X tiygQwtekged5THyNbqepJCELHV4QyvYfmIgXBnRfkWTU8Zffkn1udS0TE2U1ED9lfJ25q9+0PZi ujBUUnaRgZcPmcCIcf9ZX+5YWAsYnyaPmBOviTPamzXv3hxO/Du/FkX7PB6caXHT6DytwcCnVD15 uz1XRhIySEehW44frNhvPkLHagIpI58BhWKgbO/ZZBEZq0ycudZZA0sut+wzqjqJyNnqGwGGiTC4 bbx0ZU7WO1fOuKxn+ADlx5qF18XtNFv8Pj9Gfo1NDO+hK15Q5VgGNKi1P1mb/MRnso4N+9VTSE0X VrA/HYQFyp7hQ/VJLCM9Qpwz5nHjrcq17DBhXsamfoZrJqIJ4lnWz/m8w01jN60r8IpbRFYpc6Wk 7ZsRZIEAQKZk4fgSYqbqY1so8Kntd/5kKjU8QYaxsnsoEXcq2SpKd/R+0x7fSgljU21IUa0q9t98 hb4UirAyk5S0T96ONigi0nBol4Cyu3v0Fmz0GLFrO2s0neaR+xJzoKt4v0EH1fsiRtSRLK6sBczF 2YIHBj2aA2maItSxQJxDWh7XSV7VSEqAxaGWWgbrHNfa8WQauMV+C7dq+ttzNs+oR58rsAIEMVuP u5vbUqHQvQyNxDcivN/3XWTB385j4dJez/Iq/qnvx3PC1F4H/Y+bP8ahf++Qs0q1nqD7p0ev69+V 51qIu6TC7Y7LhQBbwsYVn1pGTHxOMVjLPrQK6wOpt69Ed4OyuKT+isUDoXxBZfsDcdAv+wEHaG5C 6GkfKGFEhE769HKOIJO43S/ZDRy0OSO4ExSUBx8cQzAw3j6ZnbCQ2+qLRT511JGT95V7rAAWTiW1 9jvHlxolQskVZj5Rwzc4w2aNJLAHuPGQVucHqxT0REWKZxTmIbtOz7IpO2+btWep5oC29MSefi/d K/OD2a4u3gH02sTqakgMm90i/N/ffVaVLWPm33zh+R5Ajx8nZRLrPxZaZswgzJBKj3QWlDI4TDb7 75rgrt+811+cLEp512Uc33CUnyUW1eWmcnSdUhgb4sWnDnNTdu1J/JrlRUrB9BU6U6oMQdiBKZ9W U3otaupqWLsu/IkeuDFlciWgDyoucFEZqx1zUqzfz1/ZTa4rV0ME8D6ePyCyNXodh1sHnPC3pY43 HfdvNwsPmtc3FHII+bMPYuXdguj1qccYjK0LOzPIgbiOTIOEn/ssmtk96cz4iYPyNWX/RIdvGzQv yleIxxV/PrDIrycbZ0HV2sA6h6KuG4yFjPFXtWrJ/1pAr8BFbWltS01r4g1v4jfPVyxzKVQHq2Ee c7s5sYdplgpDp8lr/132PPVOvrIlAvGbP66hmqW8s6gDs8akckaGwQpdvzUSMmi3dHBX3HZp00T7 zatckJL8GYdWW10PnghUOudTONKcy1Uorlp++J7sfGtW/s3nE87sQWMTSQiZ8oDsi5qnbfNZr3Ss 3xVzyc4pcABoMvzmK6RPF+OuiTROxpGdjDRmItNQmuBxNU367hCHg/kVHk1/8/ghXMLUuHOz11hu bUDEx9uTc/Q921NgN3lwjaxAf/JPf/MuYMPsc6kGSCGLrctDUVOPh298mXDL4GE1GWvysaJhC//j +bZYwIf6i650dfqlpRYdCTyiFCjeWITM04I1TzHz1be/efjTgtida2NX8337gWJliFQCit6ioyNB 3/Nkw0F/eaHG33xnVLykBAnzbgwui2w+V4ogu6iijSv1DyWqBWnsLPh54m+e3Qtz/GrdeHz7raLq uwj1Fj29EHjtBso+w8qYwsI+Keo3X/znjAC/eqB21SOZwiEPeaBjM0lbav6YjtSoVI/cqnbjN18e U/yUVtpWB3pNFCA3E/4iEDCklZujSmIESjtuUQrD/5tnJRuRwS7JJMGvy/yEf0+oOKfsbLc1j+96 QL0UAU1AZ/3Nw0W8D1JR6jIn4Kh2Mtj/c1z58Q2VTpV81084FLM9fHdFQ+BVviF+JWs7X4vOARvM h5raRPAh7/Kkg3h8stjwkvdnFVaU3oI231Mi9Rjih/H6zaei1n2+JyhOf2m7WjUe7QG4EKSn2cls pCFoKe3FOqgP/uahG8Wlcq5/mm8xEXpYtByDWD4yLMoFFUXC+y0LD+TkrH/nh7E60ai6Qhfpnu8x K+3XwOtEaEHSIFvU3g1VCbopIWr4zTtMc0iAzxtakcCsh/qwarU9XZKizhWSOlX0uHlLFVLr/eZF rdjPfJmnFvAKn3V0ls28fe+CnS+bfRbQ1QMpDiQYzbs24hq0RQ/bAlMnYLF+kt4Sqaoj9OHCMSfM iJDh5Vg3bo+EhVztYmXZEE+rilD/WdfHAyo0ZWX2OQ377IZ01AGjG1DYjLaKp6JkdOuoYxGhI2os wTw02s8zhk3dR5YunW/jc2/LuKPtYFmKHiUwR2mZCjs+ZLlQbGZ6/vTZOSUJWt37NePZfcohNhT9 ZSxX4FW43BCIXmIegx6hUO9cWegrovcdtaX1bF93AW0UispXFK6enaYJb8vDJxMuoJNTAPsBFtqN /fIvLqikPaW5eCJg2Tuomf05GTAtcIHGM2+6hZ5HaR46M8+x7XnTQfH9Fxq2RCin9NMOi8jqTjI0 KsAn4voc4St19zQtcK4t3FJkTMBN61/j6vGKjy9qfUCtSVYv6u1wdQbmu1FhB8S/xPCSTBUvzwNe cMfJErfHOF4F+mLnBEHOfaWzEZpcIBzeMZ8k9zIJs8sqsUTbfNtOmkczSiX2lbaqwNVESZtacEPS pHrPAucc6UDRsFkrAo/nIIKyJsCcW996u6aGjneoths+Pfd4ju6xQ4hO1TLODDIBPfy225tg7NkX PNFZVM5el3Bw5Ad3oYimEMOL4lMxb9L80ZzL3avOFTjdHliQl3qXAtMruDi83d2NVcs5kLxiTLdP DvdkE1iP7gsKCHLM/iVHuMkPRBmOD8FLJQQyPLBvUx+v15v3nn+uFi4pl+VVT/ZPo2SSWZt1RSRr xWjBliUyBunbKk2sTcRQPOJp5tbue4HRfz6vDy4MOkUfnboWLzESks2CrmUeOyqW0yU1TvCUSuvr 0lkweOHbe389qDvPKzRb4q2q/lnL1oUb5E3NdGyJ4LOHQYzbR1JSqshMauZ9SltKQ+wD6iACfUdi DP6sKbLJnSLILs9dYcMqABEB0W9PwCRH5t2oTFteKx+8dS7pAUy8e0TILcBEN69PHxEsncA4J3BV 4jn+W0nkuBWWbtQJKBTBp7ZxCFERndVg4yCX+E7CCmyGlQOPCyxJvTKITvs7i90tQoj5HPXQuWli aeN2S0IaiGfp+yLjjj7nUYOWh+Naj2ihDJVxzflrNGLheFG2aq6TqPFtlY5vOqT2WSw4puszxlwq THiVzvA7D2jPk79AImPzTL9uQEkngycT7c/+sTHHkoTOTQKv9Me/9VQ+eeELoPZmF2Y5mS6fE3j/ AIOHog8p+GLsN3m+7Mo4iSS5jsgi5zjAjF3isp1Alim2x6f+oIayNJ1PiQMhf8Vljkw3ci1CLi6s CoKJk2kRvToxNjh0xNUOudQ42zyLqfTx3LHpYTD1uaOD5Ilj6nUTQgCmvGWLj5wcVOd/dxvQetEp zAR8cGMQ9OnJrUHRDxQkibVUqlGnrtcUhAm5AUa39gvar6B+A0BSDM68mZd7S7cFPD09Y+nEFjER RAlzuROhApPWcq3hRfFMfqcowKsYxn/SzoZddyehcBz5GNkyd1pgArzAXS0/Deb81DkjPgeeQeit 6eREzVq7mi59ic7lItdacVeOwvHlCijkBc5CJOtV8jFewcsKfzixXQE/tpjCpljPGhQOwq027iXK VaPXAL6OA1Ia1k9QlWVMyKk2u5JbzXwtOaVv02rpp6VsEcGZXv4WlB4yn32YFVWs0k5Gmo/iOx66 flDgXR8BbrF9g70s80Zn6SicquQgJfpTBM/vewQ6zwkkWMuCrhwY8oq3bzB/lv3FvjfTPEfNivXe 52xM8d5ALtjc492IwLYKHbeW5x+qFdf7UqrsYRkQq3KURTW07sUVDFI6xTC8wVuybGYUDsB5Z9QZ lrvoWBOjlQsUqTjDJybbp1H9R/DX1GeAiF/Ss0ftYA8/XGCGF8c9FKBCdt42SWv4LBvgFIeUnOdj E8CYEg7pDZ0qzdTCesFHKrhsoHaRA/zC2pcFRc8bkGz0LUse/3xlm9qG4WRjaFIxBUGfIsQaTjC3 s2fh1ImEl5nAho+c8x4Ik8Wc1+4VetleO0mWOGK3jqKOW4x5+K1X3f+5FOqSa/28QHaD/R+wh0c0 7EeXwd/gqAo534flo4FUtS3R3eVqNVPh6jsBWrvNxCcLR2yFQeNQXuqDJaHlUxqMdTLy/giXvxHL HN+maBa9zEIfeXcneIkAs0mu3zfpVgckuC2WNpb5615KB8RdYZ9l3pLm1QRsjF2dFQljBYrfr/mF nzVR993Rk86AgKR0kGzHzIfd4KnCLSbpaMkbeDTfFSKaERQIKdXApmT9e4+nwM1ralV7o6V6qG9U 5fhs/R78eDLbAQw5GE2zF1UrNRlJKWy2aHgbQTIVfp1BntjgiVOLTcJcvBYMGVml2oFJ5dDl1Vic RKMEhjmIdxAMpgcq1ehSexpBvbEgH0s0MQpj6QMqqsIDd0hW81K5ecZCdGnCgdmTB2i3TvGv0CGn kkOxG8oANi5RONrAyrjBU6tIpSnyCh5on2/5aMgjzPswW416R1qDXMG7ebF3HYRmt6MKWSqT2GVo yZqhzN++EOdXGkOytH8JjhnjZliMYNM4nNCToQBzuylG/hhXXBselNwGTlt6PVN2tu0Q+xZjnCT5 PTG0xCT9RX0iA11KsalhqTZQ4UFfRNqHDJO3z32bGVu3vmPHzYzK0GQseLoR3rkm5yfjECB5yYfT BnlbCvw3Is8jWZd5l60iiV7HjhZEq0S2ZINZkKRoMLXUZQyHa/jScAi2lRVROQGuhB/5su0yGEHg QjKVXi/oE6uZamSkj7/3lXXguxZsIyq+BUOqkoJvK70E8feTA6qVCWH8WjF46AjoZyXJY2XQ6fwY /SNgzx8mD4RTGNw13Yla02g8ANZvx3siU1z9zkLWrFfQHZB2UizD98913vbFGECr/mo0zunnhjnx 92ykD166NDHxCA9Z/9CYUp5XzaLrYXpiTnukuAYU5+c6/u2BfSJ1GuW99ayfM+o4oNZde4iUWskj Lpjz8jtj+4Je390Il6wDjOU1a3eFia1e+HKhvMYaWBrHoMOozPxKjnNglNWxc/TyyTCSTxBdMhUl HnHd7eKootzUsylW+pudSHiamHnJM77wOQUOQkLvTRxJQS3UiNsKf0jtPGQp8rxvkS0r0Ij56S5F d9amS46NeJS568W6Tt09sgAHbsLmjF5n1BDI6Nk5kTg0/yVrtX1taoFhAmJcccZC98CID3V3C2Kv 0nU2GIar1SPzu5j7cR6fiSDLsm7psvMtNa4xo67NUnKNxGpERVtY8giCMXtTGn9MFH5o48mHkkod t1GjUALp/KkhwBo0WApNQ/a22gZspHCQw0MkzSHnEvSiYFKPYdCYwUlx+h1daOv+UwrgaTzATNSx PIa9uXx2ztSRWIdaEyMeZckhPSG0AuS2hTx1wC2seK+pwYr6LrAi/9EgU9V+1ofCweUOIE4vi1TZ 24rRYUaC4ymdOeRnyYQBzxnXk3m/CfbgtwJRz4fR2udVUyC/8pbj4dwov5+07JJ9n8HdTzzRCnzJ e2+W80Z9I2CnjschIPGd3JKdKlJVwgWsdAei/dm1HFpQfiwkGMk7SfZ19L9/p3YJ75P8q3gjGaMn y//y7voW4+hQmFdpaPsINDAN4LUeasfzWQnoJJnxU90V3ksxplGBZU5zlqWobvUn4x+t/8TkP9KC dmfngfmLkSJkFzR14VcYoik3U9ggr+hDgAqb8PY4CKagTgIK5S8685PcJYtTMfgj5hR8XPKS1est ZRA3XY8KJ2vOIhSIo9fzlKz1npalzL8xQGjPZd8kxLOpFlSSvzYokTYeoq6Y02sryGG7mk6KtHy1 PfJX0hb2NPVlNjqDdTh1k/9hpdyl02gw3jByDu1j5SnAQcYO/ktKlOgKO9ArEfYFmYAkfSZkg9Yq FUBHh06Ynn9ZIBeyOvBPhjHPUSmlpJk/ylCPRpp3gyoxc8racy0sgm97w9rudLfQi8jnS4N7nMKF XknDuAxyasIup+cfBaveD7Yqr1KN/VqVUTMEUmGYlQ9kZQp33h68CYAunrMxMd51Jey6M14dmdVZ hcwmWtN56UwLb8TscEyaob0pS3UScoPhjT6qIWn9t3nNFctt0JKLiy58C6sAzRV2h8TrKw4w7sJW CMPepYNdDx8kGYAlQKHWbJgX8Tw7+u3s7D82JdGduafxALUwiONye6OlWGF7SA+L6PPyorcjsQl0 C2jd532MAzWE7wLc2r6gjI46HJril3Pn5rZO2IeQwmQQUC9Jp8a0EE9K/wCvSPGIMfRIxZ6aRYeS XjYQa2NIBtxZrNjlJ4jVKIV2na8irTlVAssPOyRxeI7rjBCAkTa+pBi/rN/zTAj/19c2PoocfckF V77diWMD+pQtM/mxTHba4HxwcK1nqiYM0YlpVIHHqOXKSs0VLdvmRGb/tTU9PGra+NJnwMWo+vqM 5OPf6ry3iQsd3z+NFrIjycDkKePMNlvvlz2p78QI0JGJXGa7uBxDSrWNVJdTZsKRxSWGFEChWXB2 wKLUkYDchJvh+YaRYO5KhbJT7AqV/1jmm4vUXjcL8sJhOawxWnPcaiSZudT7CFXw9gDi93V6OwXe y9xHC7X8FWx4P04jRtOhel4HbcYgfasFOPlVUukuTwUrtO77NEjgJCH0u+/u7jZTd25f1/Nbu6VS 3NL1P0x/+C2mgwG+VmvAnLUjkt98Hk2PP6WHVZ77h6x8XBPrps00aJtg+Ps8mS0uaEaE2Kt4j7Xo muKCZr7YZxRY8YuAEa2C28OoTB3WJ2+pCS9QrJKnoQg6TMNoYYPBLSF0BYI5LzHrRmCFcYjQFmq9 jmyzvKbTP/s0fbfiC4Bs3jMwHBOP8Pfx6QjQ9hIacfwYmdPfeXBgbuUj/UewnisSJQLyvIJ+yJef Iaw0woy24AWYlnOwTWMG1boza5DC8/UA/LoB50LMP09rPsBU8StcbJDA18bLjz2o2Df6QGa96DQo iJ0Xfj9ftDvdz0nqCF6Xm4KJEV5+yLHn4kogVwBJVCmPfOls5oeZEpecvOetDhtKrsc3la35qNc+ KZuSEGpfryhDDUCdoR93dWerlG0YBlnNF9IRkoIlW2fm4Wpxk6M8/ayvU3cBymG1D35HFQHGn+Ln j4fixxm1cezehbglakdpPFYdDeN562sqXiuUBJwhrCPNwN/WA0Xkl/w9xNiN4aM0RMy/Nvl6cNrg BT6XyBw9hMF39XE2pLodtzQBKCZmw1UxM8sfZ2iVz0SnePcn0xCcUAcNCL912Ug5Bbudx1ZUTXxe hJ3v29+6D2FJhO2489y8xg+NdrfO1G9dA7B/T9op3XRgqz8gskvR+7eO+dXSZLWTxK7CoFVZ7g5e /Fv3Haus4sPtebVmu2gIlawW/627ls58HBLrdcAuUEzR3wM7/9anmZqsvntyLaYD55FA+rBWfuuw +zwpIBc05eBTs308XAlNv3VdPiw0fPO++ZEnjQ8dAQql3zrj6+7mYqca2a6R7MEHvZz+b73uAq6X WklLaxz+Ik189vx/9L+y1QGOkVdwgPI4y5RP0m7/rUuwBjyMR9yA3jWzopvGZvD91sHBdCc0u3qv MPX1QBC++FQ7zPzcO5ajr2P14G6q81ZM3+n5U1XKud1zOfLC/UzT+2VbI/M7qO36weKGHjCvi7ea dxnoOwjkmNWM+uAVaiFiCLrT9G+4aBi+bHc2qCZhs4PK1zqEWFicDq0g1H6InZf207DCtmLjzwpO cZ71ffxuSXXkbs+H7QoY3wgKbpM7kB3y2cCHXA8C3NSSj0TB98IcCiCex4WSBeZesQVt8prnwDYV vFBq85encst7EEz6nn7zqAe6e2HV+pjtu2xQOat7q4NOElBgtfSW/lMZfye1FTlcEU/M/hHlX/tN ILmbYyk2Ty69zUMTGFMpEo+2J7QUDSUdV9r+AxKZUd08Xv/D7AX8W2+jPT6m4pLSxv1YHMQKlnrS 32kemGetDNnpMqI+WY22vFilMO3/Xk+N47ekFsYYF00KC5M56lVTPvjTDLzQY/LvPaIYg1m4RnZT GbW8sri21OST0eFnCwGbGpvvRybwWSh4xVFxG7EbeJuaKuzPXHzOlK0PWTVKrseEOT/QQoea6KK5 w0ML2NSL/5gQ6ZSA4HhGRYMmobhoJZSUOripKfEB4fHqPH9krbusu3imTSByzH+dQWNXcKBvhwZU BSsc+9NwgFsjZmSQOYDAdfO6YTctbR/ZIgmxN5Ux//ZOqaYBP2qV2NEMXz2/dAd+iVpo7zCD2wzK zC5BfHe3ov3JigYQ2pyZ7Ge8sBZKe+MpoOIPvu39UcHFitsHObx9ssUG6g8bPPu+QEPUztvhs4f0 WryTRZwkxL7FaEiqSb9LMlmZPFnmfSDAZkiner2iEhOjwvtzD6DI7e2vKEGcgYQRczNQQR8WXuvk kY9Sh98yNeD8nnrI9PvMzzPaS6xaHNn6U1vQ7qGI8V/n0VrjIlEl6IjCauxTxGdt3yKC6+iCqgDD 1RAmYGbAf/mYUcszLmhY7EtSJkuz5wkAD9Dwh6kKIeLZQ3ewRKbkYBD6cFcDePQjbzu1wYy3gcQe /rNuJBK3fX6fWVoe3PF5GAiOnTky/qsGDMDJuKdqPb/51ra0cLsUAcytVLQLYCr86d/673Mg6xBD eQ6Z0zYb+A7Be0hJBzhHsi29Y0kbHuDNjUsSSg8F/0UWyUHr1HkgiCs2u68ASiyCgvIJ+xM1fq5u HJLgqQFh1E67taykS5+GwRjHJdTXcaEb1SFfk2DtssRcsvVFJBvJW2f3lLXlG7ibervsciMTz9lb Nsigootdwre2pgn6OhyM6atP+txjmnpYpl4SEFrfuPZLka6VkxlYv20rzjy12XSov3/JRVkb8HDN ZtIp2KcMas4igrWUamV2Qltjl7xilhtNY8stiB3zgeW2yXEMe4NQ/2WB49PK1VN29NjMkcacuWJK YwCy53JzHiw7h21F/dOy2CY60tt9CAibc+/qFLuNbnCKrqSxwnpoNTQZJhE/93W8PYXtKgBBygv4 Hiz9Qcn1bf1GqpeCPL37RyYKmNjPMKWrjICxsdwNmMhKcCrpTlTsqBybkix+F0ZF3betV8dYU7Eq axg0zLFANhQJ9RR6l/JggWW0qWEHJpO0D3BeN9FtYvbJX/tX+17NpbYGzi7rMPVGDR+TQWutNLeT gPWl4umLPxYRd8wXSFH0oGxwnhKMzFU6RTM3fA3g3I60e9K9Euvvp+dCiHqy9WbJeophW+4U9hRq tqkQTJLVUknuCXy2Kki3RpcVXbzEqyK022Dj0tfLDKsVnP5Uxm5erx883d6e8wmRARtxiHAGorW5 a4/RjrsHiJ9FS+7PzIK40l9EW5xjC60XMqHg/8VDep7Ke4Szfd+CCTOlGHVsLhoLfAF+3Yt/l3q6 q9I68fvsxSd0b1Jofy+LPs7SrMWrO0bxj9xsD490eytEsMIfj326dQe3l6qllKz7lZhNkvA2ZlAr UTnXfCbItyYhz9GF7Cq97kFueN/ll/FeGPkK/NCOm/HVWaOD+QFK/3nagrm9weyvJ1x12b1KChed JaWEk4BkJtNTIOqonJYUBKfWTX2vt/DkYFG9CLeIa6gTjcS4524l0pONHvSFlCYZ3IAwHNdHy6P/ EIEPiNrXgFxSd0ePRZl24k89kU+aNjx+4ROCmoTmvzAWHsY7Bvk7q/tUM4NQ80ckLFY7ULD2Oyn7 EFlhLiVvA8yYtbcDzBRKP/obAB+y7s2fTr5ifs9PkDj8XlHOyj8ksR+BnixGE+AhzZ5uedSUEg2k Dm492HPzqUT/i22bacAEZohEisQccA2gY8Uo1D68D7iGUZb1VvEMTJfuhBJGPIZJAgF3Hh+EwYGA NK6dxC5fzlnAEeq1PRMmTV7lPI7VEGWgYHv9qTm5VUpkdCxlKGq8sTFng1uVAMlAP+TLuGlaHYfj qJth+Cy9y5Er9uImbs319KLVovum3ijuZl63xjpzUvVSyE7GhHpne0xTJrB/4Qln3+FtSAZoV3m0 9F/isb7/GUtO8L+aosj6mGOIBKkSzNFJ82uDCSbCJr5UwobvZdKCSprFmJwSOpf5F92xHypP98mq +RHlRRw0cxa/2oWyw/cVHEb5oFmbpBg9Kx4Me72DQSvawLELXPdUMpF/QBY+05H4kLnre7/z/nAw wA12tvGVPvTr5qlfrP3Oe2qnAGl6ZorkULmGbHd5rPI7rz7fsigrWVbEzd/PTLEdrrvkVIVW/nWt KvRB5FvWP9Q8YnOlmoMkk0JSt15LLj2e1uRxxYd2O4JsnD/MTH/QkAbLg+YpP2jKMZy4CJYIVjr9 58/DVX0IorfisITZeYPC5n/fB+GXsEstYgkVeAsk7HjtpRAJIefM7DBEMGwBKKasTrf3bz8gpGdW LT6xwQydGHQOkT2f/61fwzx64iHK1EPM0OiEPROCyn/d///Xef5XnjvVGI0NrGtFo0BDWkKDJv7/ 6j8AOR5TjDCXpOigXKSCcDv+v+1PXHgtj3aOo8cK9kgMDNet/19+dpR/ZT9wl0YXyPM9rMKKsH/7 2dxq2rlzxodNkeYxxvEEzh4m5LO61WuBpu065SDB6xEqvP754KrWW11+/tgUvErVO5MddK+7uxIj UX0Clh01+kZdJqZAmyTYVAW2Z0RwIoqeC41294zAs3tfQAQVaUC/y1OuBMpQL7WHvZkWjdJrbfxG nhkqm1CHsz3qOwOrpGFAcW1VxBHlk9Jge77/Fs1Vfe+TMgo7A010LFO2h4zrih3KbRhIUizv6m3O U76NJnXYPmBCQb4Co7Vyf+Y1HwWJcE5D4WP8eHgwU0iixLa7J/L1EXgfC0aJkoMjrLzx9n1+2CiY 4w1RlPhEOI1KiVhFYKVMRblNIsrx2+UkklyhjOyLCcfSEL04dCTOOfRBJ+i4FlGvuYOqamjICuom mXryySCje5h/ZXY+1oBeiWW8rJdYjGviOGy3ntvR9YRMpoj6r7y7n0J2Hi7gME0pIgr43ZpK4TcF T4bv4/Rfc6BcuDG0h6qH1I7xpvg8NkL5IMFMqe0Psl76Qd+bAU+hiwBfglyCY3xV16rwljndbYdK E+ZsuMnYcgMRohv/mrTodmTouUt4RgOda1r/xBh9iHCWIBizpDysV3I7Vy43r5cg6Qfn2YKz8uGI n+xfs1wEBjJLjJH9l1/jZiU9QHAxzN9KLGXd/JSv//JjTCcCCHkT4sRLFbakSNnY2/lTndEUZvex KK5JMMsTNf37PjDVt6F2+aTAR7OzgXrDEov/6z5k0tijdW7JxVSm8I2TrDj0N/jtylLnrBof7S5X y8frOX/nkQXKB+2uqftQ5z88iC940vqv/uKfp9KKVBRTke2fOBE8n49eh+Ae+9oZGt+af1X6t9mN /6u/Wt1EKEo1pTJ0lBPuUtOi+//WjwKaaMIeiW0DpH/YTxUH2LXJ12LaIq/mMrHBz6/vsCYdRIox 2Xy13NxFFlvOagmhdeRNpyVM/JrB4w231+F6WWUXGtC70AxHh4lY4pRpbobLmcd59c9ZHghudi37 MDCOTYV9OJryk+t5tq26Q/0MjqsnY8QakcMu7bvYzrR6lGhfbB7bv4otT/EvjYt4PNSx1P/x/awY aDjr95hehJJXz4zjt+v8+4LcFNAlS3+oiZFTzWVIqjGAAHC9qppPz9lYJzwLDn9QJtqbpM6eUTtb 7IUWXeKgG+18BEk/ZE1ZONyVcP7dEts5Q5iMPDdzFYTXzB9HzJHyvPP91RJAHHW1VML53L92c7uC msGGz4Ti3dvgtd+6Iay47Pfydd8OFWikw2+MtxCZL6NyiwON1Rni/mcyhmI5HqeYQHuUCspxa9/b S4G7bwooLwzLzxFEJBjS24QR/Dak+HXtFmbSjrxKljy72e+/eFEO/Be8JQ6ACBvpmKC50+WCSblM nfgBS3KaGNef635t4cYFcc+nRsOXhN3Sj0cYX2mYpCn0zOPQN4Fo538aTnxlF27R9zwOmA/KBkgh JOcG/zWPhQoc0hJCb0kofURHhW0pxP+ah9LkqP1Lnzu+ZAZ/nmSVNq6nDQoEAoNXSR4hwvicKFfG 7/dnaBBdSIIJ1N8nUjEk0ynNtWFrA72c/J3f3MJNRKZKbCFWsvYpF7ylt7jAs+rgU2oPcqhRGdIP XMWaq0kWIkZa/Tbv+uoC1dL3rnoGGN8sNjJlTAEVrf8xoc40U4F8ALLVzuBrU7F0E33V64uoOSud kYX5KRgM8DUxDYD8+GgzQbydzdRvGf/CoYOcDudLZY6FLMnlFH2jkdmyubFhdURi3ebq2qq/bbYW 1G9g5yXpRY9X1Sx8POWG4yuVD5gqFD1MQAQnbU+XXBOO1svwxQlV+vd+0hkIv08iybESkgfFUpOk /CPEdw7822mZvFhXupiYvC2DTBJo1INV5gVevucp4kIrdeQRJglrGOqhKLIQmtDgcIpfndjj4PjJ Dao7j3lqM/4KCkZW9tPwIFbe9W9eQe67I3EOTiGjs2qmJrs8ADYXau53XlOQUznAJE60wZv3tpLV ucLfeX/fB7c365O2eooH3fdaiawtF87vvMXz6Xvocno9I0aivqWdcyC/8/6er88IxbnJcyKF/r7Y Zw9Ox59NP7dqfEkqHFxkFDrrXtEqTKFAFdMK8O954wzW6rGmBto9mCTtgqJy09iCHPePV5wQ13ib MGH27diAWhsnrgv83/PNAcKihrKix4YxsUvtHvwC+p03m3hjFUeihrddtKFFqGP14r/ywlCo0kwW wwAqGZ0aY2mjjv7XPgQfYvJNMRJU07LzMrAfd3nvtJ+fO9jxH1VD3wQVjnPJ/H6fp3R2cjV9aXaQ 2CmxSgEZ5GK83wPyCTLFCxKxeRLAcWdZ8vamLk1AOy3NnpyNbsE2IxpAgvcuRLifqzF7sG4Qo1dH 831g/4UbAMh8at+DQn8yJ0hJxMTluJQIoql2HoBILxbGaPh4XpeBrHZz7yBW6C1o3TilC5qfC8PP UeUSC04vBwpTmbNU1ErCWVSFHMOsj1ICw4hVJMFzRwV1B2qHZoC0X68CIXMbVwfhSC6OvkoRHMY2 N7x/vtdVeeVTbrMkKwLcot0qBevejpXK7EtIO/3eH4Ji+onjZYJF1E48E8TdD2ed0ODERAVdZcUA HGHbNfW7q6ryY74qMTTCXFaIZPRQ/oqv0HiF2ENoK/v6sSKbfqci6UQzuMrMAvThPo+qjDayczRQ HwCdK3qNY7jx2ExRh8uoMkAJuEPe2hhbNgi47dU0KiP00gEd2cwIrAV9/UGZzHn4ie43qq+d9owa OHQ5tP/i4ZSiml/b/SEUFggo/SNz91xhdlgtwjdG7M0RRWDM/u4NgTkeQJdjDl4Dpd5JjUfY7Xrd cSzyy+tGqH7euxNgtu49BaaUhQkmN3TQUBuhfL/T5MaG6vGpcDRKlcTfyIVxObYT6uJyo/mMGx6P pxdvnVHgtcbvdTfvjxIkEX+Ci1BL/wuYYhc3lxBzGyF36fw4/j3Pms8HBAHLCzuv0CFuS/sbfgTj cSVeZOR2DCYqtHaXxH9GSP4J5qQdT3P9ciQnxODx/5XXJI+t6PuR5xGCyvRxFcHnHZrKRqJhyTg3 AiQ+CsxznivVlJk7J80r7GTAL82+8+oL7Wbp7YtLn5KBvvmyPymPD6cvy7/lRN0b0CGKP1QLIybF xg1SITV0odRyNZJ4bZx7phHYAau/ZIgvGy4GlXyE9clPdO+6wdbfKM6C4NW1MC1PHVsSqTvM1rgj 0OXacKt0DIcgeE2quOLTX+JO8b24Y9sJEXtxMHCtBPNN02Vvqvi334DcSBqNQNCCQw4mrmw/Y8b/ 1i//yWTjIQXXpnQwkq/zTtAzJH6IaV+9EBnSFOzqoPfQ8//1PH/nGdBmAPlLTclTNh5skbNBrYtt aeOQCYkgeYH5BLGnGw73X/0T1lvbJ6/jvbmvbEQgLCwY/qv/bz9Nz47AQnB0/GAFUHX8O6WT//LL Hfw2+cDTSJUzrMByQZkRIkFh0vOUPQYIeo0QOQj4Mvh/vd9spNHl6U7TSP4lIc3MB8GHv/NkGaoO Bf/saRAa3eDmrrOB/Fd/atXezqI1aDCh1VSigSsi8rGRzWmZmZgVh6/EApmsauH/6u9BY7/vE5P7 t1kd7XCE3Pjuf+v3DJNw6zy1Pl3Lz0aGiL+N+v/3vo03ruPNXIi11IfGDRI61sasZsdQ0T5rpVj7 LEl4Fiyv/1f/PV5K4xjXiECjGdJQslix/5z3b78I4VDBpM0ON+9woqfoTsu7//ILih5xH1x7Cbfv 6Bm5KicD/71vKLRol5WNFFC3X+DZQ4N6h6dLNJl5r5LB5pMsudhQMYkMhW5HCi8De46qDcgZEBbm dLSiZl/Z0n7LfQ7Q5WMD91/1AZajcPSoJvJEE3h8aLbylB2chipkYzXJGWL93UGED7l43Ttsk3hT LjM2PJhGDzSa7lk1vZUISOGwe2NjQPOpo6srx3HtmIj3UG2AzWkBlcupFwKTTakVLp2S4MDPlgU7 0RDj/RBrfdiL9h0pSKukEGuf6F8BVodaJmDnWCgV8JRRUDNXCTMNNrCItO/xQOZfZ8CXFOIcU67r ywZk6hQwkbaDFAiGJBnqzCti2+WFLZRMRKffvEzB3TGa14DfXKkIAEUjuWI/lvcUJyLDLDctu+U2 SOxWRuQni36tmpOI/6VLDPMIAlQkMMB3IyF1oiWmwlq/Owg+rCNCM9NETqPhnCYTuTmXkjXyF1+J Nas2WpSIuUwDb4OS6dI84ty4OV0jsVaSlxH1S0in+VoISa/wR4/eDb5hK+aDZlduMbpBUmCP1B24 cqCeUK6zFW4YX4I56kluIr4oU4nL2At4K/mUgbPAYYh1l0SIEgA13Sy3Sj+diOH0gRtxZ/U9o56m YPRc/s4DGtdIbOckykGJkK1di7k56QnvG/q4FpM5H1ApHudP1W4OtDEOT3ffjoIwIA9Ms8G5zwo0 2yg8AVp442E/smnEpr0E0c4ZXE6+F8tuFnkavkY313jFeJEF7sodc23tEk0Zn/HevRc19wRuCRWz 806TEh9E7TloqgseteAtPRGSkc2E0RoLG0GSw67WNUGSG9jKTgG8ELRneauGKRfCTGjvM2XbXYlr MLHFmjosgSYp3t6KD07kSK4dPR5mm76z0BvCZCP+hAGfowTpd+0eSp+uKAGYb+92OKuWyHur+3Zj /cJF2IZFNDa49O3O1XrhXgGR9Pj4f8i6x+4wu6YNwLEa27Zt22jMxmhs23bSWI1t27ZtNW5jvPf7 9Xr+wcyHOdfMOtZem9F8z3T04AalZHIi8h7+YxW1IEPWD410hW0Q782PwMH34mLO7NQyaTzY5c+2 vvE5hUtBpPuXPl6X02QRrjLfV5uz/AX263YBxI69yWmIgOqW/ksmmMQtlW76nJCvqlH1MOJj8eXX lijxbnSudizeDElJ6sDlnvvaq6WddKIpcvpPU/e96N+hxLSrZM6IkwyFwWyRv/GGfuP4gXOUba/x 4BkVvhXuoxQJGGlF+PMcGhgWemjxBYfU5fs93UG93vwJv4e5i3TlQJ8ZYj5UFmyZgLwdEv1NjzKn tdzsQD9MrRpsr5KXlsPpdXXlgMG3Ldq7POapb6pCSbOOXzbpwOeNCyaceoPiy35oEiGIV5ARu+1U SxEr9HzLlslGQa64uNRbq+3Aq3+3PIkft86a0gAWQiQ9l1tK+ZgzrSpnwZDYotwe6rjTtaMXIKVA ZKDjoZwrDKkx/mZ4fbR81NUXj6ETGMmY/VlavDEjaRSkCnk63R0ctMVePTLJMH/7Foyl/0teHqY/ 1/E2jopV729p/XpjN0GaOjMKCQddsWyV/qUQBfH5pab0V5OLKq3xsvPOGB2kA3KHT2dMswNRmiUj Ce8d87EMT9fmZSltFGMvQ3Xrue+8H96JVBRCeza4OVQdNPoxdXQIcnmixNkfLNnipcwWfyqLZk1p U7RuBlo/Z0rHA2C/WZhdHFQiELD8zvCQqLkZ5MF3SjjJbHh3+KO6L+HTnKTAfhGLa0fNy49ma2IH wKKPXjKGntPqqLDyrNn2YPhYLj67fQoeDuwItUSivsEGW+qRL+P5Ip/MIUL0gZc3wMxve9YiV3DD 1+g+y6Mzzas8vquSkJ9Ya81qEjs3Z6AfhAehK5Xk27mXOrN5Wblhe1YV87a1FjjW8y7vGW3Khu65 O4vdzNPwR8iOR8bKIFHif9zHaXlxk4qqFLGwEehzXeNvE9RkUvu6utmF67duY5x8Qa5uouuFBvx3 Daylfo8kYhs3uDu211AqavyvxtVI1vPHREeDGEF9yrlQZG+LUnxqrTcR6tVhZ5UTh1F6iz7zy8TX GCeCqTyfrVxQosLYf+SRQltZmtyEDns4qhnt4prEGwxDv/4U2zWAZDuabMfwcESO1QWQsWmw45uS xASj2JNlV35FISOGeethp7zyy4w6hpIr7/DDFj4O5i3+8XtItaRaiez0Vk7e0Hwxl8E222/pQN3h Zx9QfKhig2X47DESS0cot44RgLwP5l91M4VoMEydjWypSYSztQ9iK2cIqziULSQ+OESAnRZvLv0g rDdMTzbS337mPIC7A4lgF1Kkha13Flmg+YnZ4ptfRmKOTtSW9GjxXcdB9GiWixrlGA23mw5NrlWX bDN4TYXTRKhT9ebQsUOkLkdwJ4Ad+6ulk6wMv6l5Bg+JP6XoPAQHp8j6QJi0ETePi/2BwVPc0DaM 3b6QfUnJKXWFPuuapuP3FSEsle/2DT2yMeqE8KVHI3FIuzBCzVQFF6UFKIsnqaLXu8bRMpc7NWfF 837yhxeNt8XPaeYZ+AbTlMLAazUEONX6kjq6kbagxVYVjVrJmRnmhPFC5P5fJSPN+NfIOMbY+STu dFIymRBIzkpoGfE/K/W01lGHL/C3gn4/hv8g1nGhll/MSO1OjChVsEKogcyQ6Y2Ldbl3j4P/PmLP KyP7MJR+Iy7Bujzpe8I4ZD0MT7WKLVSa8MPurB9Sd2rKiuNfHmnxx0Tw3+RDFPqWe3NSMvjj61Q+ PcQ5n1OOhICPKy9Igqp0PcZ81OHySUhKCjd5hfS26dkQlCWIhwM6WpAP3Rx8zkrqPzlDsV2WZUIF mGt+NuU0DrKzhKgK+1qVebTgPYb17K5YTzUWiiY0Q5D+h5yormn9TAdblzg2rpu83QMc/T+hvmGy wMzh2+a/LPpXGwy1hBtnJKbvE9ESzodeWaHOmhjDpF1WOax3M4Ec27fSXFOS6G4SnEUnNma3gpPG kirWe0vNhP8d8drRqWj5d0yMhfFFMa1mrfg2qnE4mShuDCFZHUdtPky2kk1duve3J6PSw9z7KKWg NngSNrb6q2LAKCsbkv669rbLoFyiXxL69avO0pPUy37Kd/lXWrVlS+g1dCrFlJO/cLf4qxdGatY9 9MQnm5GRkoZTwPJ06j9jhd8V6i9jO7uOl4HFaVDW2G2Ss3/iW2aFKU3PnN1umkYsJWobzmogWJPj bURDjqonDHMmek+x/Hznbf7asgsd7osWYAzVqPNgBD3nah16GhI5Vjj8+af5VZtbbmD4F9S1igBB KCHegmz+W2hugRoKCdkWOggn6IcDRXJ1lv3gREAC4S+R3J9dOEJz+KRGz2J2MUKBNUEKKxFZx7tI smX0THwvOhxNrpcEkq53Oo2ccHlm7W87dz7MpW60rB6oSkgtn2i6NafSlc0jGElcaONhunNV9hHE fe/T1L+rUMh59/wsjlvDpfEsmPUwlvxmoQigfbAVpMMSNAODmRv+gLDlO66Gd7aP6H8yCDbVdCn/ 3d3tRrQsbOfa+CyPiveDTIeicfb9QYb88WTG+/kD0S8zpT5/BUELjN2SJDbmlaDRNcP7HX6zUL7L ax2Yz0iZEMdXe5EHmNF9YNL6/qvrcPuEwXHkG+M5fOSKFKIwwHw2lvS+9BCufwBF5PzVaY8+PAN5 T0vN+tiNPtJos63rvz1HlJf71GJZ3IEdiVo5hJ7Ko9fOotFlm//nXxCobAJ1F5N7P62PpjD3yFXb 16APWVkxHVTT17V6p60uAUQzMWmAo0uGs4Calf/+7/ivqblFggVXvsCF+lZ4xWYe8RrbNv6RETcP 2fOw25YykRefMzIHDfUEZ//uw6f4t4mXY4Ega3K8ZxzKzmZdRmH5qTYVkVarlL+FCt6H14Ig/dCD f8+2DUcCc7QPxsocDXMPCRyGAiZiS5mGMZXk+sG6z38SUOm+B/EULx1jyBipZavO69jj9rtHBsnz rYlHhf8kDCnoZqLWkVt4yFvtBBczKReHNfkgGkEPIiAjNre2cJO8G0a+0os/vLM9GxTJWKXZZYzo A61gZf/1i8gVY89/ES2ynL2a6RTfDUQ9P9EoGKrkbQkkGuNtqrhy36ae4XI4O466Gd0mkUNnstZY ZuvH653+QXAEsXEBeeXYZOZ1jv5u+F+vc5cQjOOjxCtfWadY2fgykcQMSC5yLGGhwdwjTtYtZVmY AIEZWTlrNhYn9w4/WHXisiNpbqopRMZCLINZCufd6bq8/O4ml9Wp13SBRbrQZPlQJTVYid8ikKT7 4LK1haPpKRqNpBBNklpve/JZHj0+hFKqKML+LGAiYOl7hOpVpiD8YUP5coZXEl6H4NiN7CPRZ/O9 WnuGzqVfXT7wqM6pvwwb66I8nm2vlh9UtRUCQubnquY56aWvUXxN8zu66shNpjznP+D4Y1N7fjGA 3iN9wgavsIk6Qnr8e2BKFNQZI3bn7ilOwmcCH9EOsqhbf9nQ3wKJq6SIZxWJDAQZo15jJIFpt0H7 cvbnsTz3+5tUX5BxD+my1V/vxqd1c0YP5bQPI8JruEZnYhWeq0UU9HRmSSw/RoK6gdOZYli237PT d7hdvTC/+GPy0kt4m7T5u54P9kTEv8YPYeNfa6sml6VMf3ZvTpNB4IoFIstsWOIqaq6NtIIyBRcl dKmcwGIEFVZab10IFJWiyIcktRt4HFl1tsc9z0yILisyc8vHhKusaDIv1ONBTkrqPmXcn/eiyWiE OXtkmFifdoU2AsirhhjxpskPvRoU5UiHPSucwvr043VEz6C51/1U92AmJxHndqTZZtvAeiBjCluL FsNOB7ck7MvaQIjVArKaonec4XTbAwdjjkjOEmvkl/T8zbbGqvBFFSjOXojTnnixJvzohwxy3mrm W9o8lCTzkkM1MakckMn70umNpxSeHK9yiAq3rqBwEWZQceAfDO6tIbbo8gt39ZhDURq8pCl/X4bQ xRuuhaF7Tq5nB+ak/it/gDNNMnz8GzaGwxhLFCDzRLv/KXNa04DmuX+brnL3r4C+ILLxLuNLyFHa PUoCcrsoml51BEWAXwbjsshMB6PvW9b8GPr+UY1AnNKzq2DtRRBIDbuUDWE4Kzyd9fCE/3lNd0S1 fkGKwJ946szKbWLuraReLTP7+uR9af1jXyT4VX/yPhD2pjcOVszOAvtdbJJ5GuHcMQ15vYXTpvAh sdksWTGua6TpmIX6s2iUcpALxVhiJqYgqPwy9benw2VH0BPHMOmUuQer+/RzV9Bh0dT5FDqRZ/+T 647av+cokRhMupi8pITCGrUseIya8Y8XJIaYWy31k2edrAR48VG6iVljuQt/qt2Wr7TZUGnLBUMU CARLSaY9/SCRm4/RP8/5l/PKhnYKnChxhIOQ4ROuv6fI94T2/nNUIN3jRSya7CAZzyuUOVpCwewn fBuOalqcCWJ27fzSHZco9rpg1uUbedDAdUHvlS242heOPpMgsQdJkhyMzQ3r3y+x0Ay/z5TLzMaf 0q3d9FsNI1TDrl9/Cnwh8/m8U5IEt6xjhpGpRrBgOdKDIUMDdYMZefB8ZNrwx3RGG8vD5yF5dB+f 8vYeLE7ExnGRf4FcEg1TTg+8LKYTrinISHzVpsfpml2KMKSBE35prsqvt7VO1j0lWeMYcKpRb8Ac r/2JbMzzfbf3p/HbVe8/XeH1OrXDNkWFkwp2ozaxQz0MOVw540wldOyKXH9juvQrFFIIOtwHQ9Zc CrgIZ1xi1vkrPIwgCF8dizBq1J1YFAydEIWf+GUoPnvH6tvunceGlO3w6CqbEDvRVUE9cTBW4QsN 7CK4yaLdywPtKULKLy5y3Pm1dlrg61uDgo0p/p5atzWNlVxpcd9MHerMA+Co2KhGyBgCfPXimZyd CicodLZrsoriMAk1lhf012v3QCbFmiYSMNt9hda01a/xvG33MyNE0EpxJbVCZnXFjdEoCBqbY25f b1IK4iZZPSo87E/KOEOad+t3BAP7qvOfw+VCSYgLd9CeSPZRRCPSGWv0RqcE1DrKZeK4eS58UN3F RHM1Nkbha+xAGlMIt+274nuGWLtWXGsT3lB3aDvNzilBDDzDGxRisBe3bu7mrMZNyGTMjiJJ+DkD 93xzeAkUWJIdc7B86MyZhSzfGgZZs/ZLTSXsIejv4dKPzdnjs1yZtgtvCnTuJ1keVMh/tPCnzz90 bOm+KKQkVHviByLMMW6SeKljTdqkHObayp5UWC4Pll1ZD8kdmRrJwStGt3RzKFug6SJl5+RsF7ii xV95wj8KfCJ6hzOWLF7bFFNt5Yp7K0XkwcC9rfd600sj2LbpBrYWoJqSdGOzHH3qkrATE5PB0TDf mZ5vFGOvRMKcrSk0HeJZQq/uhUndslBpCZbj7l1uMvDiwSKeNta1lO5lwb3+9e7edtgmsKop0oFk Qvxn3EwFESveIC9ZqMblhBOBvURh5wuGK5z+/JHzYUNycIt/a1xK6ZgUow0bKR91cv1nYeECNYyT wTBGvV3tQrBW6FZN5DElnWL2NfMioE8u4aJgQX4vOePmoZaf98uww6EhWAaxfYvEhZppUOYiKzl2 Mc78WOoVNB4vVFdK1xJD+IEZ3GPCtmlU+Fily2SsPXq/aDgdrJ8Oz6cpIqsRRXL6t/c8JsH+yhOB GG2Vn2iC5WEb1IRcqK5bc2SjqkPbd3qhHbY7nrIs/oRCh1/n2t7T9DKaFSc9YnLsvx+0tvo9uqY6 ZCWF3SI2HTmRNzub26uO+ZpXEL1Bd/zQ2MTmwWb05aipa3MgV2Oez2lmYh4sqL79PK8rPU5TZTe8 EQy/JiQ5x9sav1NB89Jm31Ga+y0W2S3M63wY69Zw/EgPs+SGFfVJUozsaamudUHzbY/LJu77goOB BHmR/t942V8ioqGYrmpeWjZN/RTB/mTv1eMwZZaOUdVwrMFSH+5V6m05ynYiJBpZsHFcvH5q08d/ vbrRSPM5z02bQiflvdy+0MT/CImYFBQVWN0ScMyk/qJYUBjzHtWHemXGCvE3kFVTDdO9lBH/UzCn VHMetd8xLn/zQQn9riklnRvEll0PrlrwAqa8jrWR7dH3TZb2Ck60bb+5O3Dz9/XwNqXc7yiBwBcY XfLk9K9JRoylLJLfN764oQdlR8ZWh7nyguEL8QYqZlul1650sLZoC95NkwQrEGWP25oZ1iGqHGuE xRXxRrlLE9Ihwsh/e1h+SMPltDte1raXTqo10hQ9KbM3R7byeCBhhBigJv7uP4Y67Zn78aw/8H70 RfaQ1lHBp0g/aWoIo0mX9Q/JiDnFqtq+l7H7zzd1VoKIV0TIVNP3NFq3HA19SOfz736wCOhyAbrT Nood9uwmVYbOeHuhbSOX6TX1VG1eiWJYlEG/it8qxQvLZcT0Gxhd1s5+lkRRWGaztZJlaw5JjZIV C0tvqipylTyvoRtSlVZmoMHNEpmXKTahuq4KUKhfwhR+r/CpjIbFYJEkYRhLu2TAEJvX/FxQ7vjB L5tsGsYGkmjwuSKWMLUtwG2CmkvE8BQTKLHSJBTMhIcJS8jQIyhoYBf0wFLVVzdkrpdpasa5vfH3 5xxBUeJxx4Z9WWgbER18EvQTnHxKryM7pA0mDMG42pAwJvdyqTqyA86mE9GKZeJ1Nx7kE+0OvpXv cyK8DhqiAx8blu2t8L/mIHWhtPXItsm0xPr4FH+sitqbVxWQqD9Hp5Zt5k4xik4ld4QuS2b7r7bo tUFQk2Czdu8HB8nGsLygII/fZ3mhy2VeBsLIPDl+bZpz3CrcaeHMTol7Gauzzr/hLKMmBncoiAko ah37bLlgdq16CIVBa0BELRA33bZN+DI+GjKswVCQSoElj4aqIBDxuFQ4XfIL04zPQahVgfzCnPeV 6bJNmPwhv+IjjQUSjahUX0U5wIT6Cv1fqKH4moczTbznVmcMM8hTO7gPxBoXtTOTYsGWIvAYOOb6 k47SMiZNggzilJd/NGjzoOzqQMQH/OSnJi8e+DOfZDl+yNfs/A8p7x6bggt9aLfFwL9dncln+hPm u27j8i5jniKSepN4WHlgllaTLbs7ePB3KFJ/TiPP4X89/dOZYlmdSL7stpNPIvqrAmtHUgM2S0W1 98TU2YJLO5hly1X3GuO6KWf809uToMQtpl/gCrKb0J9b/WLGEZgFhqtxHHcyUDa0E9kfjG7fSa15 Ywyq/90d6cle3+PtsBwj2DsM4OX3NUxMyElhB2vdNyALuz2t6J8EmaZrtAsHwGhXbUxmYZTfaK9q eEjDodK9O7vfipxOM50EfsWsdjOtKDZxQ0O6ePtmZBOoYSsQCDnM99+TeF774KfepvQI37EgBeW+ gogL9wZ9f3pHqsfy++2iM5Yrshtk8YRPsyfLOzCHjIyBwGHlEUhyithX+ipocHh6iVJul7QZExPc mMy7dMNLWTotbwse3nz7G+I7B0bHm4wcobFavVx7EifKxw+G37FyxaDSAngeKNv4oBRBbhJFHx+V W8Yi4sa7Zl9K8yKbE62drYz9qbtfKmADeE8OY4ft7cI79GBuNn0It/oghpmvkgFtunQv0eZhWKoG KlceHlUnvokJzIvfdER/83xNF+kg1rcn+x2N4QblGKMNya0PnrTiRPY8loQIIwhqWHb5G1WnsaQj 9eqYu+0SEzdRz/9ODiDejt0na1BtcOftevAAhcBbEIV4XhqtfwrcRkdFH+wKg06AU7afb8McH8/x y1/F+hYjyftcNQX5eHrJ9XHBQMifxRtj2ejVQS0c/c2VRgtUxfIX3cU5HWg9LutfmOVCkxxsnY+B MZIwHVJ+jj2pAdZfmDrQD/XccRduf/O0Dm6F6cvAoFIr1M5X1BzN/cguSG710OWDEZ/+MuFHPsH4 P9U/W6T9froB8f2ZvNFZ/uN7oUyLcIGSsYrwh5srD8Pi9OJAK7KnlHb8g+/Z8Z6zQVlcZorgy94E ASsfUXb9T4uKrqTjr4qYd3Jw/yG2q9On9ie+emqdZWoj5k/FpID9ocGcp/vF7qwsHFX3DcaAdXjF DJJ/H/yD5yGzJXighUOeygzWB8TIO90CcZE7kKVnFZesiw2XN+grtKtqJKq8gWPCOJzoik3W1E37 exzD598TVSgFQR9kc+vjUPzxysVamOJQ1TB/GEETqzKAip9VldPuazwFf6iJOCNyOOEaWWm6Rqkm V0QdS3zgCroLfsv2c82ggPUmGB4d1j4TNDiNMSdTGtPujkuULpwE26AhvFw7zvggmoDp3fIa8qYi 1brz+f74NGHzlziq+3cSORcslgcF07PKZCmnpH1g53IsjGd1RqHj6fH84M+F8lgFc6pgr29XqZWd ZasSJZ1N+L7ggQ06Km1lvq0Dzdwt5pYe/cKGTmDEfyD1+EZOzYi+ZeOIpPkEJeQliI8Iw5Tc/WA5 mJFBMijm6MNwq4O3tMpzVrjhTc2mLRINHB2+y/rO2NLsQq/0TXjCceTV6seP7D8QJUE7YL+3tc9T UEHGmXoMHCWg8BJavt2zhvDV1t7I74pIYkufEjEL3l6XeRXflEXx9Xw/7Ftt3JoXNyhX2j15FZw3 7so3dlYVAN+WF2LZCSnvEDb/+n7pXerlPfhIhMcFx4UTYePbs45Sgi9UDYJGK7RALMTRv3073ciU +ndomm3XCiaS0IQ6DTt/ynkzuNS4oV4j++vL/HkMpWHc4PVdQ5neUP2iUJj3jj/Rg5gYtn2C4fSb rTEdntzWaOxp6c5cWObUdB/c1Q6St6pSRnS2nlDiVN9RqBBmj/G74ZPdA2IFb2FndzAVJP2zALby pfxf43DuxhFGGtKXtE2OUZxshXfEY7zuxdT7y+UgUuW6VgwS6F0iqWU9qLEwOD4pHRbFDVrfSZn1 6iN6t4iltYlBlaoF23f+OAsCS2H04J/GJDgdeeelqHA3WYJfkhfUq5djCvJkz3h69npMCQ+UuCCY QxU78QeJhC+IaB1jQzuNyUHQkhdL57BxcfATmV5CbdVDn7qZeibYxC3VM5gcz/6jEVJR+asycvUf 96kPs5B8Af3GCKQ770ROsO21V1sVnOH5bISldsMv2gJ6SqUdThS1xpMqj/mesJ0aFhJ3qRKI8VcS SOMrNFLcy0u1FBFmI+00kYP7h4GKkdxjnbHKY9+q908z6cgTv+QaUf9565yVTfYrVWoqeUImWML9 BZ29byIQKiFUUUyUn6nhsSDAblsKzZy4h0gvtoLN6illWSB4Y3rduu69Y0G2gwmt0WbKtmyUYxpa kj2FuVl/5DwoISW888YneX6DKIvHmkN2YjqDNrH+clG2zYDY0hL7qQl2iND+A5WfggYCVFZeirli q+zroiV00cx7HeOMOq/mJzjscRdyTgY88VSfJ1btRaEOHoXlkklsN6Ledi5hMiiZhmMJ9E16TVvu pEFBnrTeKvjPqDC+RQOP+vAGGpK6vImf/ogpTlsyuqVK6k8o94O+wVkWp1n7YgU2V9Td+mStqqvJ kAnyXDVOwzn1rYwuZWdksty+z4IbSdCIFAeQV6vctllLelHzUBAYXQwx/9ZTH4TImwzQU15Fir0l yweC/NjcKkbSIioZiSs6Ws81K/BIWoqqt8Sj379itPCLbS07pEPjpEV1KWyV6tChTQ6UuZ0b0N15 /C3JetNTtxIeN+oumGX9ZexO2ETI1hd1D13RI0O+qZWLUrEHk0jO+n/7iNYw6lnIngjyU6UbpFi6 i4zTsqnUTCOTTplA2FnNrR+OTn0dLwnn1o/4O7JeI6xGtYqSzIBwM0grr+X2et/Mc6CZEmAr3V5h U/Eb7AhmLn/2hpFMsEMoZmK3D31My85cFJN29doMk5CKsLFID1OK5FK1TBJUXGrVGUnH4qv4ongY lKRC9d5aNJeUbiQSdzdeJ5APV+RHwe9ANctpdD7FDFCGK85BFLr+QM1gAoYjvDW7E3P39U1Pkaws b4HObjyaAuGIPKyfQfxYVPpnORnkvN+tSyW/MsgVdJjEiwl4LHjIvmmh6/GXaWujFKrn9uo1/FZY 9NXlmbb2uvRG7OZ7ttkJ6lepyDwuPQ1uLiuF6ldDlwonNI0jiB/ybYzAOO5t+zYl6xZY9JU6p9ql iXGGOT5BwzA4jomEle7YUp/4aGuAWJTO7oD3iaQUOx2FtuYJITZt0UKdbm7grhpGBTIFwamUyv56 k6ALGuiMBPJ8aPcLsrOg8NXkS1BAxIuecZR3qi14X39vKMWs6Ya6zmAq7DErr5QLvCz5Y93Sglpa QqxyLoEV4/hf3bnqIdI7CZTLtWpacGedjVdp6dO2Ja4IbBLJ2g+BjI9hZZ/7Ad+ywE5ieWnSsWW3 F1WIpw7HGZH7SHDWu2vMcz5y84XCl3kEOz/LqVSDLMiHtZBle2YYSRvmPGY2ZvpZr3mlEzM1jl4f z3QaV5J6kSVXNChzofWrX4Rvx0zXRto1e10j2k66SSsd+bdej6Cqtdsp64WC5qGgcT39++Fudmb8 ViVQFK10LMPNsPFEPi5qq2BBXj/WcO4l6a2383mzawReqFoSrRK/d4vPSHmtX51yvcNCk3ZkfUEG fmoG0A/IhaqK4k+3YKX5zGpjJC23lqzpF3nYjFKaRTQ6l9Bj/5OaV28OCcwOrtUIm9g+oKBzzjc+ u9FJjwtRw00eSTdtM147ZX/AWFbUB9sOKFCOsH/14h9Bt1nomWekLBx76b422fBg5pbT6Od7SIiD OuhouQgxfrqiW68+q6P1MqeNgJw1QfWh8vD6KZHAypoH5g3ukDakLARWo9oC6bd99sG9N9PbMNU1 4906xTUVrljluM0LZhyo6/S2AIPju5Nuj5s+qDP5yeCs1msJqn0mhAlyc2S02JWXpixUuxnUtZPw 3nPJ076lQtAQ+VXYv+EXxx72RyhDLFnW+RdHtHaa71ZV/VgP9j+bCOncdmpwwTO4HpxOCf+RSRwd bA30voqrvdHEszQWNxJT6FCUIHhftGR+sy/vH59KK4sL0b1ybWBdMDaDqq2WipxmMvc8Tgelh+aD Vx/ilELFtgasJyKlvqP6rwRlv+Pr7tylFrmqHmmZjYMHL3lRGYuD5cbd+54LGObufGsw1OGfST1B MG+MbRrXftJ/zWgsCviSQQtaFcTSyAWyd1Wo0xbAMCh18yeSI9UbQND0okeGfZZjcDS0scZXm6Za rFRV2uIpTsTSIL6QgXmJmqX1Grwz6GvZM7ua2CLwLHHa57TYIRsieD9YhLbWmavbGkbfaDTGjM14 QqhEE5GbB/9x4vY9gixLedgxNwm5nVFy1phZwtz9+kak9WF/QhDl44MhSdTiosRhqmA8GWrJ3T/i PmjfdWhj3Dr1pYcayyclMjrdV0RC2BVYzdjbTo87idLWcDn/5dLtV42L+dR0J41v1SsY4rnwiyav RvSdbuF+WOxVWZsd6g/JxSXQU6i1HznrXi1hP2Yg6d5ldlKuX7LNt79HQEzhpIx67W75AD3ls2Gm 95RBUnb2hIJXizZ7aNCq0cOXOT7ey66MFvpz7B3oKRn7mUFmJWIsOReZOGW09xZq9Sx/G3LjG2rV neIGCxZBgZ6yDdWDX7g4SqjJ3zWOKd4ysBKURG02mzNzue/YHnPaEgv0lCPv1E+NX2BC3wQasBgk KUNl+vyiO/9miy0H+QT4+JOUAD3FeU981feG+EAaWZOZ7Hf3mlxbTS71uD6q7twuuQeHCjTQUwpu ItHQlCLlW9/1nu6yO77XKUYi0aXhy87EjaIg+YgZAz3Fc7Nc/cP7sixOMTcUZz0Wvqr+tH6AhbIq iYqQOg43PhnoKWhyVCyrHxTNvyOGTAPIW4aGlCsv4lqI9Ivk/El9NnEVgJ6yrnbe/ChxqXil2B5F 3j2RA8+wVqz/I00xjGBObXD+nxLQU9Q8qvxlf+qU09Fs4fUrC1DKHzvJ7B1S1htyaxbY0ntHAj3l 2m5BFNelOwmUIDPHtPe/pXa84/ms/SQQRCkE0TqKoR/oKW8bcaRG+Rng3EOKPa+/Y2D2V+W+nfF0 KIILV3jfRcTIAz0l175YhdWm4yHC2mpEsb8zCTedfXhmWTRZCJVnU43Mqg3oKcT7Md/I1kTcYaHg 55mFf6qoI7/KXaTBeQljW5JOg8oVAj2FIkLUVEjPGxn8/sQjJvGPianj+ehcgXqqWbyfA4HgqBvQ U2o9KTKXI8DOCXfrHuHDYM7UnrGUsxImt4VWqW1GMFp5gJ5Cly8b7/bn1ban+Iz8Ts/ySOmEB+mG fhvOy92EN5JNuQnoKSdMY3RV72OC0fgt7kWQU+Qfd9pVCmziTt6kFHnORyeqQE/RkzPJsdeEKWPa /whidD48wUK+r/W31upiu14n1G9pQAV6ige8RqQyQ1uW8BybkSnaIoPVMXjVS6Aq3pDs6PR+kLIg 0FMMNdNxppnDw9Ht35lkdong6fB4At/vcBPsBB7/EeiCowA9ZTGLX6IqIg+kavxm0+67tv1opEMJ VkjEem4BvlqBb7cg0FNy7ITqgicFN7KDs7X/BZiHG2Nbr4EKZQhyVs8LGVc/+QE9JUNw7XhNNZ6a uWHXGK2DDstDfDTaHZWf9giSQFpm7Mce0FNCyjx/hwrpfF/PJ1PgwvsUlaHDHXPUJ2+TdObIwaHt UgN6iqYgZTGYtvokq0acP+pUkYna5FwG3lMEOTHhwN5Ogd0X0FOaroq2dyh8bhFIDAccOO84kSyR DXwJJ/hiwqhmU1zAd4CesnvhaQKS1S3BtO/SYPJtVLL2+keyVCgr5PshvD76TFUZ0FMmEHtIO4+d QUnZTRmbDQ1Fvty0ZH3yumlHDiPxwGgOp4CeokJEJliA1TBALisAgqnSYhwjDgbjnut35xHTf+AX ev8E9JT4uaemrCCLk+npXI25atI/Q9HMn0d/HJJEWE/8JnR6zICe8jCG/e8rSEl+7ofzL2JclSil mX0Pdct09NdEP2e8dpp3oKcYu5xU2wbT6j13oPxY1cYjX18rwsVhxrlM0XsoXCGGwwV6ChebwQU3 KUGn9Adz5fxyCKUCN2PcdhxcGL0RqUleXdI/oKdQ3cpwkCayaBkMi/zy86nuSqBuC3iqxo3xKxEZ O6eIXgN6isRUN/mo5id1NJn7BH1k2aRzl6TVESe5xzQ+6ahzLwM70FOoYgSVw0gSEffUvifmYIP3 ThB+Ql1vR10QL0Y/05KTOQE9pdUtsayBQe4HyO71dxTQRC0PrylcsRx9dwO1PASWiuh7oKfw37C8 V+TgRsJAcM4Nw/niRUd413XmJ3SxqU57/HUphAR6yh4t3tly+jdH9tS+v/E7hw/Bl8Lpt//6Mec8 KpRxmm9agJ6yrGlf2Kzl9VmPTdQHJchTWmXm1W4hnUiTzeZFDKEraQ/0lJF4veN2pKAgeUkO1hzM smHR7Ql7dusJv6QQ0QIdLCUVoKesNMi1dzbT5u15X+fR+BVwvFtneob7QlYMmcUKbKrXMgA9BWe+ lBQjhHGHIjimoFsinL+HsmrOjGYYanPP4So+4u//eEoqxwT2O+fi9UvrUi4kKqtP9R8nrPl39LFv SyIQhbsaKEBPYc5dXU8hvlSS2uiy7GspWhzRlFr4b7Ou36VtcawvtpsDesoLHIJIu7eem0yezEuG 8idPjR147BxbJNw9aGbUHMKuI9BTdLuFIpn6kdPX5A9lJKpXQ3xqMhHgraIv5DP6eyjRgvGAntIB mmPBkgA5G731E/5PWHbGDHyMTzN1Dj9X9hVVeQ3dLtBTYvkvPPybY0ED0+vU5yGcNJClbrofb1/c p7CN15LZKlCBnkI48XPhnj/Ont2KtAEvtx1HU2y9GorvkTOb8/1m/Ce1P9BTWIykwNxreVM4Od6z kfl4iAxrptm/GMzeswUUkG8rol6BnhL/y0JORCKHuu2zZc10xKsv5kVf/s+dTYj71SIvVE93BNBT 6HWMi1YvMnxKy882lYrxJQKqr9HrHOFQ0zDtLUKpcHiAnsIw3m8SFxby+v442W+6ubxSEdIgbzaH KDRx8Mde1Tb3DOgpyc5hVPtNqWOw5Fc98EfHNP5MT5bIKoV57YSgBYxXWY5ATwmJXtln15gieb8r OBEfVBiR3IiGAs8NjHDtF0fjVezQAXoKMtGIFp2p7UTQZGtkizpFFgXpxnV9DJN36f7lFW2vsznQ U6A4dCXOqv72xpyDaVHbrsEcDaVxF5c4LK4h9l0VhnozAT2FawwGI/I7cy7YkEnx0xaGlj1qxB1f U6JayedEFA7GlQrQU8azdodoghosO3xWcVSauOtXjUULCgMTCPzlLWVWxZyigZ6ioE/E0oQUSMZH EPCNMr95RPavyORGXAxIs5cyfjvMeBfQUwQIJ60jxcsMZomstDQUhwXAngo+Gb2zrcJSPokE0adS gZ7im7debPkIV8KF+O88qPJ0B9fajEuIV8qxIWvqLCB6rwXoKUOl+oZjhkIw/V8ej9oFJvqrVZZv fdo/O2AESvk4Y6L+AT2FFMwts3lyl1TtaeYdBSyEiiFnwBXcEOSdKq0+9xfd3jrQU3DAT44lV0oT HCccl2TalsI6JYKcYeeX7Dr3FwdGPyBegZ5SZ1Zndd/b/8yJ4BXEGAuiQoiaof9ctYAyo3ii2QJJ 2QT0lF4bi81fUMPtjWE9kjjr4vwg6CzV5iM3jQqDxrA7he4pQE9pqzSjuw+6oj2gZfGy4+NRFVCU eFd1/Qsj2BJSXMllpgP0lMjAprmYXSW5KbJB/22SJz47HGX6ZZ46O1FHVXlouaIooKdYlU8NX/Wu YMLC9h9Pr5YgwspppUMnxziUQw+ERa+acQE9pT7KqQaa6xMnuVpCxF3+9ZyzbcGggp72egR+m9RF DyUJ6CklspG3o1RJQZRD3PmOAtt9oRh9+VGCVLcxR6Mg0llE3UBPsandVE1VGUDBTxuseZZakMbi eaTraFGwYSDP5DNFVlEGesqOMacdZIg48RsVP5c+urdJf1W8skd6Ak7U7mY+OlIIJtBT7LCNloRo r6xAh6m5TEc4KmFRrmOC8ltK+aXep0TE0DaAnjKmzJPhnYRxSBoA8ePS+RmpHcOW5q2IiYPbkJNn o9cfAugp5/F2+4sm4u4/6Qj4Mnz7Ms5KqG4YyZ65kNkLypkTWyGAntJjemBnckfT+I/xxEZHXXfu x0A7pmFkXUMyutVVwL+eUKCnuJFxf/Aa8L8W5kKM16jejCsL4ms8T8fjzskyLfUnOMgCPQXLILxY j8AlOCcLcULJW2CK4DruM3h65RmSBLzovFHnAegpG7R2BZEIH191g0ZPuYVr8cdB4rd3iAI7hl1F dUfc+u5AT9nXhbVh9zHE85YBkVYx8dypJ4ixS4ZScA+43dyJspg8B3rKwEa4p4aCnZUv7uVbnt7o UgPJ+6V6s8iUtccsyjQstTXQUxx5CSO6pRUDWGryRuPwR8KUNyh3uyvzdGOinsbIhf8yAz2lz1YB o9T+CCvj+4Q94fVeTed3SraqZviRZoIurXwtFHagpyT+i4G5RAsInmoe+GlZDH1Ih6ImnxlFkn6x stCU87hrDPSUlxDmd/GrmfozUaq/hQKr9XQRw/8MMf9GK/mAxM07b+0APaWti98y8utbBF0iNLVd UlmQBh1vDdu45zf0YKoU8SLiO6CnoGP9zB8Nbjb/G4mtdl5RNdTAy8H5bQike/vCXwq6yDoU6Clk nUh5WMsPqMFQYOcbxJ3DiF5rPwi9Xbom2OFRl2gbsYGe4rgOO+m63b0vrLq5rKg995YpR2O9YxEy GWpbHFzM8aMB6CnlXkeFLObl6yOjEvziifnkNbbTvpvynfheQkgV72BikUBPmedV8gui/lYhKGeT 8RQdcJxgNpKTHPa9Y1XC8dVZpeJ/PGVeMsrBgk7btCYUfDVfugU+PFkDzzer62lqhj5CMeRTGegp ZS5IRMzfs0/seAWoigad8In37wTpoFXkLHLK+t71Ny+AnhK39htxGpwOMrvBR6fcigYhSFIRdP6h cC+x8PcH/GePENBTGNR8YuvzzjBbpnQiRhH4b0+dSNcVsNr1MCKXzzKrA8GAnvJnUupa5yo0sbSQ TC01aWk6imLOHIUk65sQ5/Y6loFPMdBTuG0HO3wcukSswKUl45zGFw3ERr8Hf4t7dr/+iDSw5SoB eor1Y6tqOgjPkik+IXz818GKQPrTQGG2iRO313sshlJ4BtBTii/3Ob9/1Ka9D29RaIEyMKc6azWw fWheXyHhqf2KMIEFekqEr+U3UM0RDBx/ztjo05DUumBPQzQNJz5hYZxYmr1iB6CnTDDT/NntIUge 4Gzz8MGAAzkg496drZQYke/aTcNjqu0Geoqhi8TxJDUi/pX7x3NOczsLEUPpnZCYSGmhBFgj29mo ENBTfsrWVjO6GY3rmaf6rpjeOugmVMxU/J1E2niwkrd6MvIGeopHpQKfGK1Y1NHPk14E1qjjs8IX Bhk+ja4erL5smie1DqCnLE611elaRuw2QK1he1L1wpGIPW8yBfRHKxhWxlAE9C8APUWvTmdi7wHr pTKp34WPosy74wm2ivqUubJHXmsjqcaxBegpKH7Ta1Z8L9Q50/glIpgjzelGfzf4ZrZflk49L6uP 8/4CPaXkuo03S7BBDR651zQxs9s4ISjYxxSk169pNa17NnjVGOgp1OGHp18uTTd/XUD/kB73X9o2 ORvtcv74kJzdFpOurOYFekqtIcyUVt3NETcE/u6f56ppeRQiCkho72sbV+mukJFUCqCnYO83qquQ f6ZPytzxsa1YiFGBpjnSJsNvHPbiDhN3D5AAPSVtMxehIFHFMsxXKfYNa3n8GpW7oyw8uqx5weHp QR1xBugpbP//JxQXIb2x/dPW3sWTIWF16Ea1m6xiq6298j2ngQDQU0Am2We/5hdKZRTxCmI0qH27 vrCdcJa6pHZBq/e8KTS4gZ4SsXRhODqPp22uR+KCdiljRY+dIwlW9/bnEit6SEH/hyPQU8h+/fbx tlh2oOPnJk8LqMm12OVcBfnT3PJqp0S9ulN5CvSUQwINX8JIA3YIn5w1UNaH/Cb6jjXigyISfxPN awYbxBOgp9gKSn/nakG6FiTeM4hVfgpmxu7fw3mb1Jxv39VvrlSLAXoK3QLuev5mrne83EoRHoQ9 gyZJWd95SV64OLcbhLE2IifQU+SvBHLjaLee3Q9bCw04Dg8s+2hGmaFXQ76k7lYnNYs/gJ4ycu3T E52Xu3XMODOwzM9lNxEoO9wfnM5FXlFaqXyNHgX0FPlAC1n82ol0zp3ZfRXupJ64+jP2qTXI2AG4 M+qeH3GUQE/h+MkuBv5mhJnT7ht5vtoJ1tRriboS8WN7tevNoxnMOB7oKTjh19tUeWWtoD5dJLeC 9oUHwk0U8RIMDP/eJiD0B+ZlgJ5i/Atno6MoaQaBq4pUcIBja0TwiVCabHS3YS2t3DvxhQToKSwJ rnrQVHiiuC9lPMN8y35UzlxllGJ2WpH4vgkJkXhUQE+pt8h19rv031T9kn3awBVvt2IpWySdokKM pktzkWLbOwd6Cpq9eZxR5rxPzVYomvXLPj2+FIv/LdlkR1mvVUdgKig20FNe9ztlN2xGf2KhSLlV eoLK4zzsWuVMpPHPbdWC50KlGgE9per9pzV7bjz3xu3Z9ILhtJRdqgYI73GL/zZE8K28OgEJ0FNE pa+b1P1/ZVO4KAVNGatZ9p9KZ9rCdwgVS2XSL/fozQE9BWaNS96e0ax3RB3kL7FUKzl/2hROpHY8 ndMwJjWVJ48w0FM6DOkvY+iVw6MSo/K1Rn6YkrMEKD27DYPcdmrfKpFJBAI9pXrE2+bsUWtbYp4x 0ycPBsVuVpYMvDvCnPKY9geDdmcV0FMIUEited3GlX3z4NnyiRHscbIO0t/L45KSoNpzXgURCYCe oiRZLv+eCx2KO61LAd0w1wb+Tl5Zrf7fFZHwX9IXNd4CPSVWjxaiXZWSFIJ+E8aX9euF5bskOiIP 1PS5Aeb+S5jOKdBTII65mI7cDCfuSmRfwcS6eRyj2Y4GMlSNULKWh/e6aKuBnlIlZ2W+fHEqdlt2 EQiCx/FXHOt5kYSKb4gN0SY4taENA+gpzDgsMylfyZR4KJOyvPe7SxqwlJ7Tei+kOhk/LfaIZYf+ x1OQjK1H3dPnxDzOOseiiqoNF22T9B1C2lhtiU4V7BsTgZ5CN5kEze7m7bXCNBVf0/HT80NOpris h239syypy+XMiAnoKSqJd9DQLpfUNU1UEFQXhL8K3fUKBFclpuqc8DWTrvKTgJ6CIP04dA1blvDc RjKMLC1LP9V/pMD9Bgre3Ain2/zNCB7oKVfzzS3c12m/7MHTy+kxO7ik+34Hyergv5z/8nN1Hdfn BnoKfPMngjja3apv+aMrwWUZOKEfMxJenVLspFgRqnCzqBbQU9xsHu89BccUUjd0WN/+vTvSDzob OA4ehW19LGc4RRDrAj3lg1iFMig1AAqFrkrFVv+bkNIRwtP3Xwz5nlBP2SFizs1AT2mUal33e6KO 82W6VbFG5CxMOSot2D8fsArpQV/K+UWNCPSU/N+yEtSgs96QwWzsfQ64iM5GCVvqmXpfmjC3VL3O gYtATwH3iM+zbnq33KnD8T9MukCtanmzmCFuAXdsGkgB47TaAHrKAVEb08269iNq0Gbjt1VVJHUP nvzw7jyKaz53Z2ZFNySgpxit67u6vC10xhzDBNo1JaKDbnxj6kbeFMmfDEbSZT3hBnoKpiHnpMYO ro8nWaCRgCKIHSqxFi4+vg1aBLmi0ZHjtSLQUwgWk604V14fJ1CDpKHR0Npdjj6QQXxRW15XOGuE e2t1gJ4ClZDizGqnBFJIyDHTtb0nTzlic86weF4fdTYtmuLmig30lNKp7MhAz1mbeS1an9E5yn8r mF6NsFUGB0ul0D2sdASlQE/xL+k6gH/q4Pzuv2FIPju9NCRzvqNz4M9CeUgNo6ERWAP0lPwBBsar VotzNueJm1gizXgxiXph0C9ymJ6ac2MoWtNyoKe0YDcV3eRLaW0U9ZUUs/Gd7n1ViY3vsY8WllDK QmUm5gI9hct57TXHxyOcYtmHQsJsV1Kv3P4jVnOVwiIhy67WHBID6CnsumOuq1kDkOTNK1M0fweQ lQKLoj8Rqw0grd+dyu2DBoGe4uUmhl4+0TK/kbYWDdeChZ16Ek7+CLJy+N6BRG7o2ZgC9JRBLS0s Ckh23lXESgZrLFcejPat3UD9I5CUOirZG0ryA6CnWDd8s4dmb3kcQHoFuYe63CrnGkytieDcK0D/ Ob6kc8QG9JS9k3hwxWBrV9+FWfoTTVBbDlf/1CgYpbZA1XssXjhJNKCnLCr2R5q2jMXLF08kVfdC PiJMQz6hipMR6v4OTlL0GJgAeorh40TJS6tVdtaoMWOZ2SMLYvqOax77+N5WZ9sPwZ83u0BPyX70 eEVcxHMEH2GYM8VP9AWLK8UcXJ0zeIOTWc+FTjsHekr9hHnlyV6ET9xCSiJ81YQAYgpu+BYDbc6y 1LrOnjS2A9BToECsf6TW7XhAYe2Pvuam2r15TvUygS5zLLb3LRhYGa0BPeXGZ1DlxQmHS8BUsyC5 4dpzIo8m9mKMJbqSpSDKs+jBG+gpoz3pm4ZSXAf3ILkMbomOWzE/0nKIrmvoKWZZ+29XWPSBntJM 9WfhIKP5xQI5f5nIUtQCmsltns3bzv52ZASdQblbFegpE5x6TAdidRmH/tEZnylaRPcjgcSDqjP0 v59zEHwRvCKBnpKIza4JyVWUFtR69cUUh3EkvF/4Jxnml2VtttiMoqb1/7xPubYLzMhyG5QSAJMb U+1FNPz9uxqM0DpZqE14Dvs+i00e6Cmvag6hGs0iL04fp2/xm0SLuNv4a1bpTVHbUSw3DT35dEBP ETKrQGbwXOp/teJO0fdT6+oYVUpP2GLB+N206acUvd8C9JTRjzAkG2W4QRGUEOiLFFnHi8F9iZHQ Z7WACDjOtF4RXKCnwDCW+4h6e0QpkcwuKXZrwhKKi25fR6/+CL5vI6il5KgHeordjK4RijURcp/r ijLt3cvwxPfyC7o96mocgybPdT07b6CnhOTRyFeEUYOAVzcX7BtiGU1eIbwXQTGE84e+zZGWYsUB PQWu6JktPHj2r6G+Z2jbTl2Ipy1s/6IqdCWF4SybNhXmO9BTFOcMJmSlmQQFMt81RDGuOYecGKUD Wy2SHhdsgr4mcyGAnlIdebfrXJA74u2LoFWNhBdfwWTNR4iW1D0Z26HDq9qhA/QUX5RJe69AioTZ sP7Or/BLzFBDWvJD9JL2yFsfaD/7tjOgpxCBRCWOqUKGwGPXwPez5Tb9mtbb/8cYruxqOaFiPval CvSU/RqK0pkfl1UUxfvRb0IKjjtkzxTvmuGuhpmsKluZxDRAT6FpyTB+vYmLgpeNTjcg1cUxqVUo l51vTrpASRhdA6k/BHqKws6IEPX88xQ2+d3vj/yZ66WsIn72LpAFuaoQDOwLKnCgp9AnshZwIv9d IrdGOIzVKG9IfDgxfN1WtInOi0N69LXjAHqKlOFnm4M5n+95L95umP+g79KhRhnDHri8nJKce31g ngbQUyZJWJFZBjYpoh9wLElzYKAPr7H39mgfo0AGRaGJNiDtgZ5S6a8NxXD/IPR4QRmqCEtyf1Ik 432XM9zJN19dqM9pNAv0FIqRNWx5pDCKYpSsBgJhlMc7MBhcy/EZ3FQfbOKh+Q5ioKdAFAn8uyl3 DDO2Nf/XNNVvBCP9XQ5UuKSSDYdYfqBsNB/oKZks7D1jZn9gSGXFxzgs93UgvHcrk7ZSyxETFjGV vel8gJ4yMw/THF409FWMBP8u4e90kBLWwilPExrQsNiO9Ym20gH0FHJGxXvDd049ODDw5iIJ/Qo7 df5qHqF/Bbt2F3aPdh9IQE+5jEwKQFC1KaLAeW17jzf8QtxaNsxCV7Vx67LSjCR0UQN6SmbE7UZJ juKkpExUGIyLXF0WkclpvZ7ZQqvhRQyIzHcooKcwCifEXN1MGA5QXOMOtfXnLfwrr+lvwCSXy2x5 16uEEgV6SiKVkgu+3Q12DIOJ7rTWHJQLtJFivcij7kRRVkNZmtUi0FMEOJmTvDpg7JBPKTm/KxXm GGB1ERluG2uKHHCSWebLtwE9RQs7biZ9tfj0mKJzS5PTr/mm56Uax4TtXbQrcZjbO14Q6Cnc8qCI 12DU0xG+I+J17AO/6gqYu9hLtX+17CDD9sazMgM9pT/3iNLHKEN26DiK+qAcDhQfAxT65RRzAapg pc+t/h8G0FNQY5xMz9YvT5rf0yan1M6nzMxVcl8mHpGQbiUcK2k2O4CeAs9VrSfY/lriCT+EHV2g FLVjyVMwQ6vZ1TZEhTDc4PEO9JRhy+3lO3MXTAVSms/f9u1kLKgdWQRRX9BU94pG1pL9SkBPcR5z Je1lBr2XeU4l9HJmm0j2zOgyuKtZUjWm00fbWpIHeor4uWpmifAeFapAzCxnfZ8iTi/uGdJtpT1e pYVrC/OsM9BTik9c1y4szrH3aiHpO2xq4HlSnGsTOE1PoKQERUjeuK2BntIbBJn0w0RB/E8fmm4Z ooCpcgIGB2IhBKKZ5p916j+kEEBPScBAh6HH+N2Pp2lsYNZJDJc/rsBpwP/cM9n8yeZP6skI9JTZ oPiUnNktuo+J8vzdn0ExZYHk/HtQoj8tVjtlt/uOpICe4lhgHyDXtI6WF20u3GOIYy/QT+mRexLS G+xhmNRKvNUF9BQuBMU/X4H6vDe4qdLCAy5ajXC8HDn1euSBywW97rvmPEBPubO4s7HNwq3TmCOe M6qImuLcTd0V5T47jD2oqNekZkMBeop5aWV3YQ8GK6HKPdFiWVjWanl8euQkRuBzDwaCUBbqFNBT aIdF55XomF2D/b9dFCPsCPGeKa5rR3m+yunDHgh7BW4BPeVHpp2+tMOvoiq0vmRpIbhIH5WRu4oF X0TifYnbXm+HXKCnnL3kyMwrjMJL1hHiNZjin1954Ic5McD+YV1xSU953ksFegrEFlpKXHHtFrS5 kTYlVgzBeU8cT7P/Z0K/ia2fuB76MtBTFp+GVHfk/4zIOiy0xNRsKIdgxPPoI0tfDkMwK43Ubs0B PWU6i/KKE9m+rwHPY1gtRRMOi0HNPst/Pg9RZoaV/3fgMtBTWIZLUgl917g23qh1lFVmd+Hc+BG9 3cfgtaeH22PTs78BPaUcD/9op7WrPPkfuzFJEJQSusurVUTHXuvwujy028NuPdBTnCW3/7r3Do2h X8JVieINeXevlEXuQ9mgZlzD+1gTkToDPYWfdEqL1apkbWk7KflGJRwjCDP2j4dqdLDadBrdU3QR DtBT/hudLiOClrZtM5uklVcCULPaWeqV9BUGenVwquYqu19AT8HMfv1mZSn+RYmiIYFAs+SZ9qjS hYGqeaimPCg83wLiAPQU/8rk1/iM8EbQn0jkq2+lfKIwNo2v5aRJ/6yDLzVPLg+AnoLcBVOr43JJ rj3HWZ/GOidGygQLtr+swNZ2JrtWuHmIBPQU9yLdFH5qSo6EsaqXtnTiXHuML+L/I+se/8LguzCA 13KrZS+by1i2bS9zuWUt227Ztm0uL6xla5mrnuf17/4HzstzXnw/17mapwmuR2hg1Edc99BBTzna pagv5V+tmEBk7OxyfCxyHznTE3NMLcdnSOkzHUAfBj2ldpVYujhRfQOZCpVG+lbF4Grl6mYFfUnB S+k3BC/HrDPoKTy4k48E9fEMT/4UGnHMk/jYgq+i1LcMGgVayO+7+1u3QE+pXg1ZvqFTq5Z7TPUZ Dc6oiEz5zbe3JKhfLMc0k/HNgRD0lE1C50UaemW0Bd0Xo4KEQtvQ4qnRk9kPllqusBsYXUS1oKfI Lp9OaG2Gf7GNcn5mPBFTgmejutPxxUgYg2gnUqiW2wQ9hX0Hp8uwQRpTMf+G8F2ME1XOv2ulngIa lCVzWHhyqjUS0FPgLCedA+y52Xe0oPEMErnHXl1qNstIN6LOIivH49NIGUFPWcY4CaN0mRE7cRcr X+Vka/wm8If+YuyF0PqIGfeGGRoW9BTI70JDOoIbq3Z4UB7FLXEU5Hh+ZiQ/LGaajEm6Al7YREFP Ib1XfQpoQfnWHMqLlr+jZhhSReSb8krNLBdf0DZu7roOekpx/bRA0nPW0fykXMY+Yr33dNaTNLaP FILI9cFsQ6FqB+gp6vJ/aqO2LDG5uCqnhelDRogWNHi0ftKK/IlSLoU3w7QAPQUrY7tv0H3h+UG1 P4+tMfhlsHdNzXJJbA+96T4uZ1LdHfQU6qGN7xQVceh3iZdbIQGdGM5se3o70v+w+QdMv7A5QsCC nqLODY+TaXqgQ0/dqsfcxTGTCEnhMJ0uOqZC5NKqsKv1E/SUiHyhkuTH9Z7+sDCir3Xvk5KospDj Pp+zjOvz++n1tGiDnnL3a+mbnLPqoHGdZNIPvdJOOYM/R/cCqRUE3RWljpGvTaCnDOa8znXGtihm 13MovIvLU72BM44qO0B63ZAfqq+uI6QEPaVEhCDd++r+T5nCpt/V9rO/SgoCC7PIV9buHcrjI9q3 ANBTPsrvray8NdVCI2jF6cvdjG+69enIMopsfUv0VQ78wSUFekq5oeCeDb/t6DkPfd14A+8+b4FZ 2bEQ/IzjqtWv7ZREHdBTqHc8k2IM3krqpdpOUmHMMywNZ2e5boZPQ5BYIerSGkJBT5nk0yxjhRly UdbRbgilEK64H0ZEbpb2Lc6EzYqjYNJIBT2F9fn1lt1WKcEYv0tiv8VhdnblZTfUkGnP2u5B1xV9 wQ30FG4I+c6wIY9sqw70jBKzDK/UupM5dBY+LZ0aQhvr5fp60FO+dPXIUad0xCKTvz01ZskSI3l3 XDO6Hx0r4PRree5lfwY9RXTHGF7P9uAhVV5tmwii5DFqN+HDs39qlEerZ1IoagMG6CmyxgdSpHKH YwRiYodWsIVxGr6GMr0aOE4nEPuCSI+mlaCnqPhYJH58cEKdZufBxarKDEd3nykdkNonUnQ5hAuu 4WoBPSUODkOxB98SSkT1LUvq16ELW7FKAK68n2XWv2zhLxxnF6Cn2HwZnNy0GosQv+Ct5Bisrr38 aLh0/kich95oIzBt1mcOespUxdtBz8OQRpX9nAEzsxDpdQOe32v3o6lJw4IRt3nJOugpGgQxioHP 1oM3PTpPTm7lOe0c+tac7Wq2v435BWVMQ4f+k0/ZFUxj+klDcTiAmpvXRRP1jZJzkL+23MxgLxWf Llu1AvSUaVQphq2+sfuG+bWVPvWaxzyZmu1H1qA96wKRlfIdugrQU+izMLAlRDdx/uJUV9nEs+jJ YEMj7IefGfw+9oel0biwAz3loOg+dYVEf6FwdDYRAcGKd3Rqmi3aMt1iTfm88cfdMA3oKZcbMzxG xS39TiJpCpY2VjWMihpRWWIJ/6QEks5rRjqmQE/R+efWbU59xHdvxo6h405d2Or7gIlJ8zq3rErz sZk33Bz0FMFfeIqDsuO137pxTJUbgmP68uvsKWx7JO/kuWzD3N5cQU/J+MwuEV6aGi36q3o/orp0 ixxFgO8j1O+jegQEs7n5+FjQU7KK2+fx8WlEmH301uPpt9jZo/VzldsTet2VNjDvjT/AgJ4SwLLp d7+5qKX8G7+QkeVwn1TWev0Inftc6hKjKmOxuhT0lAGiSs/Op/ehdKhVJjYTS+WeDDBlHCW0wStt mUuUV6qGoKcIRm9XWtprhH3LP8g08b+NLBSkYicf+GIKjfrF+eZC/gvoKVB7LXQJjV0SEg9PkgMf rTTPn/2Of/lcipyiCnT1V74jBD2FP4aqRJKYxvdfYAOyxo/QaSQiMZKplZdFmWxkcWXk0CvQUw7n oAcpp9VjBqSzoKOQ0cmouEQSvrtwl+/Xs6ehLT63gZ5S/QF13uY8/OKx9AACZwa+lPKAsE0Q4jmK +8UV7t2hW+t/8inexL+GNIKz6T1fEBa++/PXDcQnWUZcUSAtqjavo5f7g54C/UDSNR9G8F5ZUAuJ THFKtkYHJtUhracCwuFbDTPZcBDoKXjB+nm4rTec5rUarI3TZow5PFNWW9nVsnVy8r7wobo4oKdE 1V2kGxRk4+kjXUuGlKyyGZ8VGDhUt4X50JnS8Zmd0IGe4rbKQ2NHXCl1bWB3WdFHOoCkzsuPL6hP 6otzZtOUpP8b9JRq1p3td8e2ioVD+c0rJzW+LlSqun9p9le5bHPoCYa2oUBPwXBumNI0zZ7QW2t4 qcARUpm7myGTe1Fo165f/C46by8Gesr9UhTSBq1n3Mpegn+XicJNOjU/k8+811Wbfo6nt4+dL+gp c0On5nV/KT89rh6zMxdsGJfJr60s8UAJDk2HED8Pn5eBnvL4T2jdShrqO2cV/Z+FUvOv3WfmQ27R xWyDIaTITsGdv0BPEQ1irHbLosSUJ0od7BzWO637dNuoprOqWEzlcqpyqecBeso6V0hTOibu8PsZ n1xUlLRWtH/qR90CmE6NdZBrdIKU16Cn1GQKpFtZ7DF5tGxwevJizH1V1Xnq2cQUQMy8/dICoWEG esozYg8sgc588Dmfdd2tKNE/tCycvWlGkkDvgWkBKiPrV9BTZB0eDg+q6dyvLu07XuhEvcd5Hu7G hdSgg1vIokOCjvD+8+8Lo9Yvm6/dJ2wvU0e1CmFxwp3f/kgzuixbm0Erwdx0FfQU0kwR3nkpevz3 aURu79PPxTXnWteW+ZNnfwvm4J380N8HPeUezn2z70C2HOODYufSSE54NRq9IM6Y28wofFpGLpLi T9BTNFHVy/Wupzgx/1rfdZKj953r5x4NQuy3aEd3SGbIxtmCnsKMks9ak+GdlYSYEZwYyEq2W5kf pkxxPvXZZey7isx+AOgpiTB7EkELtwFcNirDl+wY8lLCEGzzGjex66a7eEdfWypAT0Hy/oVddUA5 8T419oQ6AulOPXQ+Vy/VFloB5gN//L/4FdBTnHQOTQgrqiyc15Kn0aMwTH59l716OBSbGuM/y3BH SzADPaUGNuplELMGh6y33TJGBF+19c/Imc7S9rzSSwvXlcv2Jugp75pa7VeEY2rzNsaCXnU3sFgF 0vdHZuF90voTT2ZxNr1AT4H2ZDA1xIPqIKpMucFT5afXznYwOr2zIZqcPhj6RaCqD3rKRhRbFgOr mVjUixj2Pl9wWqsVNrXTecb71vHxZCfXygTQUwj9K1w/76f4LGMvRcR+FZWtqOeJI0hwmHbT8aoW 0Ut3Aj2l3uDeiQth8a/21/azKhgxFqJxHURjH/a//icGIfRsWGWgp/BBix6cnpHFzh4/u9se2ma2 VEW6plscJtScU6J/Q6YuBj1FoWCi5pur1eSY1WbsQLYd0sqBlOF31NuZ11KSsOR0GkrQUyB4ibwK HO/CovGDthll/P2suMvYiRvQNKsYhn7Ep1JLgZ6SjZaUPe2xhKYhSWiDHUVWkfOUYTyVqBw79ru2 Q7REDAf0lGDvVQ4dxpENFZOaCbtB6A6yIybOOXpqxh6nBl2mEv4c0FN2rle8doxHBFUwDvICB+qC uoPRymb5XJ7tmXLd7lKnTkBP+S7tDiVwdzOS9I7zG+LqHMSlm+i/DYaVTYET2dnWfVIB0FOo20dU 06ffdOrrPy9T7Zb0f4/Mq9o5YTSuKiJ3H9etwQI9pf5WZrmQDbKiKy9B2JySV3pII5NqMs4oNGzk aylRpNF/PMVWcEXnFirp/cMXUlTP+NX0MZlHSbS/VnGpE13174/gEUFPkZweEVyVl2XCIivNK2Ra sSKya17Hp3rM472DrMXk8aoDPaXb18D400TSP/zJ1Xx6BqfUrFGRjmE3t8+3roMfCAeFCEBPyfmV bVLrdZjwg/3wbd22kqVlnzSxB8JL5RJN6H0kFpIN6CnbU1HWRy7vc7If/5jXiP/chyB9eZXEw9Po YprBlnLPmwE9JRVp6acQ1pDpd2hGw8jeBSVe1ODeQGqrmmLEs+wrPuo10FOkBkh/b3lBOSszoz+O DrqwzlSQGc5S4Z+ZJ5UHyxvLSoGewpfEQ/Kp3dZiDG/6kcYVlv41nmRUAZbeDjmXUFSOC/0Y9BSJ 7KHT04YgXzZ6f3iCVZwarS2uKrRMZpzKn+WEEE7uYqCnPD+yEPV03rFVy6fODBtmz/g1QulT0tGZ zyWLvmjM6q6CnhLAWLpTFb4m3O7xO94j7Ap/vLwCmk9pRL4KLpUtwLidCfSUMse/Ue0aKKudizuq D34rltB/4C6GZN/HTYynQI2bUd6CniJplS5kqf7+W4+uTbAdbBgXbbGg90oJNC50ifnYOV0FPugp +QiPVXvIRjEjP7dyGQYFBiF+2Z5FQvD9Wrf5u0W57XEKekq/uRDVTfrfsbGlEkhBO6ffI8FGfyVy ekqmBYnacy/E4UBP0b74cTIOW0eLZYXC1rDfe5wWqVNxH3bDEnEWU6CV+9sQ9BRkhQ/EXoPa8Lvj nMaOuGofu1vYDEx/R+ayf4Boyoo8dQA9hVQ8Cv/U5zARanLNdGdT+wM9N5mKQGBfOPFwr6RqGVcA 6Cm0kIutzziUInHYHx4eY8NY+w+oGYQ8h20/D7J+Yg753Ad6iiiX9hSedPuaBk8up1pfipMLa43R biEhBW43rLAuaZQu6CnqyPh/GllshO6W/g5eCr9Wfbs/jlp1KglnGvGYWcLZ1AQ9xaR/RaJhLe3v uyjVXAkxynQa7YqN5AXH/R8tyy7FhTN7oKckB3xV3QmcjJ9L4Bit5/ijQvDG4xGfUpPBe5lgkWy0 fAp6ypT97+gvuuZni+0/PYPMEq8V2NhXdWx+uYWfCAeeqqVNg55yfR5UFQ6x7kxju4V2BU1v32GX O2ukeDkuWmlol33ZQwp6igIJovjTK8Xq1+xEcVM0jHgLWflNzosjK1g1HBm4B6Er0FMg8TL6JYMu jiTl1Xpg01EXUBzOpOzqzoy+0UJTJCzAIYGekhSklI35Wz3vz550Kimln+K8h7QsP2eNdXK7Rve6 v5Yn6CnHSX93T68cRJcXGTRa2wkWeMaqfcNT3ZEUfyGfyT180AY9BauS23ySUdfvkxNP12FmiLqF 28/lOkMPJFTrgCDEECKn/3jKGIksk0AGdYtkZdbHBex51DDh0smHkdATvz9O/N6lYaCnGN89myDT Obwf0//F+PciwXLGrM1XtLu6yMucg/XaUF0P9JQpnDVorHdH1O/XCa/dOqg7yewMyJsMRBa6fKS+ dA1XKYGeYjmoBUkTe2i5bGcgtvmJkJeidjEufCVH/HeLZIveyrsw0FMwS6sW5ZOtBP80WCmSDRil r6yoODFj2UKru4vrDDRblIOeIqPhufnUqWtf1HUyG/2lqg4VKs6QrCKWXAZtIVdeRuIW9JS61SqX 5gqS26GHma/6pXC//ev14a4MqrFmDCToxXfGBUFPkfS4qDeW64aPEjlzbmDdle/64rcjaGzl66x0 sZsscx8Eesoyen5SywaZyTe/3J/qW2/E81bZlfIblV+XNAwe9YaOOEFPSSiqQAj0FUP4Oo+5XF50 Mnl9JLCKkt4mlSu733qyEsABekqrUmCGSeHRMKpd3YsKoRCp8s6p9JBT/RFyRcaw1belXNBTbPJM SRrkbc5D95zM6zlG//z5/SQed/G5DV/tHF/fTbAE9JRcn9QCU9w3uSLiCUmxzo0cIypIMflRBSIr vM43jINvi6CnLKGJJ4f5h9yJJnGqNRrg+iz4Ge4Y5Lo6zr5iCQ/j0ReCnnLGcQaj1qh4TB/nETO6 fy/jrNlxfmqH9bM7SqAYOpffHfQUGf6kh4XkOn++nuM5pzk7EWTD6O1qYZKEEi/efEW5eF3QU+AP iWIphJH7rW71BZrezdAYcQqmKN/KOmoq5WWZK5KagZ7yhEkhhZ0WYl/f7qaCwOQvYCq4vNRaOS9h zPGeGb+3nAH0FFyqwyiWMEtuA7dSlwBjmDcBH4OjE24IlA52+hTTf7aIoKdYKDrVoP6c/UrJdBet +9ukVku7EQVZK4XmqXjuaDaA0R/0FNGsj7bxFAOvZrGF3KEjrukJphPiRVq4D7oKjs2tKq4DoKd0 FLC11VfodRqQqb9xokTMDAXa+dkW2NEgPrNtCVfKcYCewmRLd9GUx0zxV8jB7Dz/oJpPdPhHkFny LxwU/nAYI6RG0FO2H/sCaPWse0ajtgxkaKffuIq9HZFqm3NdvhWufI4Q+AN6yg66T+yHuJbfwR0c fsRbMFAR26glKSOTa4w1v5hRWndtQU/Jq+v3pEw4W0nxatE5uRze9xK2+LBKZW/h6PpBcNmXrBr0 FI6hKeyCZjZTQ4QoV4u4YUj9aXLG4/FWr4MfJj/nesfoQU8x7yhf3tog/it0rade3VWeVU2Uhks0 Pf07ippTGR55sRn0FGTcWCfyRNl7+tj5XaqXdv0CmbbpO1WLcn+7W9xvE6HZoKdcWZtCckyJElTM OSJlRVb6B/V8h3Twld5t9xAt7OOqFQE9RTDMt7a3g+X4VicDqx33kZzM4qY72sNYLRSKRIyKMesS 9BStTGYqzyhK/8mTtXbdJn0d1RVFfMfi60YZRh+xM3YuQ9BTXte/8VdEaGsQMz2pq41xfVII0aLz rXZ/a9Ch/8HuyPoGeopZBXmj0XENwUL0p8gxlkbKoTsnTQsjUwy83iSh0Z4qKtBTErmdc0Vx5gKN P2DiPG7E6jkrNos38YTfZomwQkhcHA2AnjK+vufKlK8vlrDIOIaDfIXIFKgfzMyEuvs5KDISal9u DPSUlcfxj1FSmw/vSZXC41eyrMo8PxpWkk6HhO/tLdFS2VKBntLgerbQxUAmF6vvMonDR0yfCrNO K7e+W+edLWFjjC8fAHqKsvQFDBuOkOd18rvtoyWXn9JBGWJZIlOZfgVLuKV/Bh5AT0H03aM6rbwi CiBA5cw2SYi4fsuGoDR4zMqBeWrQVvkmD3pKwogsdJ7iqk/zM7oHM5GBQdYYuoDFAizseZafFJ/8 pRToKe56SW6fcWgqTS3y7pBbsdugpUnzFO18i72u2tHnd0ICQE+RbzTJb1O7w8C1EV5VifxniFFs yuMwyYht+lTvw398iQN6im1/WGQKhfMvhN3Lg5B/XaGvdE8C31rhexHh/kXu2WmTgJ7ixWk1J8Ha bmlUkm0doPM7foPw0xBCWUezFrNphm2GaALoKW0/MmL188MTmEuy2UkuJpSwcv27ki4idca1Ygos YZ//gZ7icvWwhSrsyu8m88UVzoNnbD10zX8qKelWs4Ko63TAeRP0lI5Ujr9/RScRFJndE230KDLz Vo3Z/CImfo+nY2JLBOD3gZ6ChNDZsOIHmy1yYKqmu5GpByF8nDSUteNBoa0mYW4yXgl6Spg81P6L G0wjuTbisehQCY6Jkv5sWYWYv9v1DH+jcagR6ClxuXLcTsF33QoSXDIBPm865qv4Egk2dJhox0yk D80fM0BPqbfJ5YBw8VM1lzfzceFsQFGXvpnryjBFkmOcnkEQ2FoGPcXoT+vnHY9FvLZWm2DVFsgp z7hoEwuCIh3JN2QCz2OSO9BTMldlvavUdiS53Xx4s2Y6cyp/zSM2nDzV/rTGS9o3f/oEegq8IkKB MvnJ3wosqp/GBfRzmJ/7FtihUARiVWFocb7rdv+nP8VDJp6u61OEEF0oTHvNjnFjREqAwhrO895C yOXu1zoS0FNwGpodK1pJhYdzGkonIS3pl0asYSAy2nMCqHmbYbRJsEFPqUspDUlFG481Vu4UQjSH w4biz7SzHnb7HLeEl3kPo2YFegokanT5tLmVmhCV22DO8tIltgadmTH5x6Ob7X25p1KHUdBT4G3E vj26iPBka6wh8fbSqouxruNhku1U4Va7wizs4z+BnsJDyqI8FSl5BbUweJ5ARt7cD4MyuAetOrbN 4yDX/aVlE/SU+kT/9cGiBAPDj9aKZlTeVz++vaFCE8Ee69DlwuI2Z+qCnoJ//oA4/pxlFRs21Mn4 N0TsHrGY1WD8C47Z6ulvFwp8JNBTtripjqE1kUwe5OpJXJfZB6vokR7S0UsU47xtj0K+2AeAnuI/ cOMukb1CNsH4M1M5VgNlGUnWA8E84qcrM67q2k4SLegpIe9dL8nfVv2hBGm3/j2LUP5s88ZikY7n CadSRLWpokEAPYUYE+ZvHKdh9kxFzvcAf19qNO9yFpZuVKqMoubwdhP3fdBT/tA3KVhGK6jORAto WWzI8SLfn0ZvQJlZuFxaKQ5CHQWDnkIZ/aRq6KOcrUOY4DOwclguD5F6Iv9CnyHh7GgfnjN4DnqK zAWToeagFe3EpRfmgpIT5fN65cDig5oVqdcGO9uVwyroKawmPq52mlcGQg80cEdeXfCssCI5yaF6 bvXwrHrNERseoKdohwr384zuWvd4m1WtPyfCrZpspgypp0mcwT/3x2gsSYCeMmlX6GY5lBE/IZn+ 7obYl8Gkq5fPgUevkaT45S4ivt8Z9JTp4RWUWlybXxYHK7jjpj+MxW+yGvUOFgJl3eeLeNdW50FP QcHAnTv2rb9VbhUesyT8FB6R5lAUt2EsolIudhy3+5sZ9BQp1zCJnQESLTxp6IXEHPqeP8yhu1Yp GhXF+6kbRTbiNqCnLCUa/BTqs5vfGLoN0YyWVulxdRT+jC9EyqfR33v05RwF9BTh1m3Rz7g1fXtu nEzp9+Fj8+7PtgieiWJ2HSH0q5xFn0BPKePWysNDRjvrFnNVzv6R+YZHHf7tFLJNPOOFZ5ApBEMb 9BQEqBixV0ORrR/kglfnmaPPNxIMy7XOIpYWqWGLhGMaQaCn8MynSGSwaKLiLmt1nccYkVMnd20+ r+x/27gvCcSDxnoBPeXUnFJhDB9a/mOjEeL0ztiR6W6+CPsJ2uGhZanfurLPJ9BTdt07UU8YkL6T O+vYprs3NU+KdMAkaKvwrOUWzQjq9/GAnkJingSTQ241JzJJxEexj2brHap++WecnkQ5RC2UWDpH APSU65tLX8S2G2es7JNTeoXAGHsaJ9Oycml1kreT+dYccWPQU67cktoK1KeQxGnYk98fQ1Qr2hpf UxoFH9sj/gp2hKXBBD0FO4yzFA77Q4J5RW066/BwVAB9EFOW8afeYCQYOmRPqy7QU6p9PhV+1ucs nlWIgZhBrrpgxqyR+uVpaKCXLhPejGrADnoKrdW13iRkyyhzLqOmx4QUkgjLxx2HP83u1yOi4U1Q 04ugp5RCnJdE1NtIicTUKqDqhlcVQI0URQUwczPeDqhKQr/c/cdTmnK7bV/rAryGmtD2rLktB/Az vWiGOp6uFP/oio4MrYGeEq6MaLRy3eNbmpdwAzeFaPDe/R91HXFZS1xKWYjyUk4E6Cnirmdxs7Vf pEJwMBPl9OMwd0a26t6WaHdfNxWwOEJDD0FPUaqmqCtDRewiVSt62j4cMxr+coChvGU0ZX5NGye9 HaMKespVB4QS8tnaAEPDrt8fx4ETZrdJP9+uzOSWf4gkn+KS2EBP8WI1TyTaPIUrUcs0uDqaeNfT IdZRe0JVTlMQB8UeY6sJegoXJ1bzk2z+rsn/b5DPUkSjR1ysKhab4iUdREbZsKtVFOgpivu4+Rw9 +6x/6oTNcQ1T5cq4bsg9+JRC2kxvBN+Jfp8GPSVGjCkNhtVmMsfyJ7euLquVLi9lxd634bR0HTQn EXGlG9BTFE4lYJJ0bAjDHhSgqtcsZ8VZGV9gV7O2rNE2VoiL5RZBT0HSo4vzkTQeogjgYW5slHf7 UOto/FR5O6XQYcrGgyQ+B3pKu83m13d6oUI7yNADZ4dcGtd6EwcqTg3mURIf3kd/WY4FPWV7savF SNWAW3jFqFMw3JWXRX5d4ms/Xzn0TlolMhbEJOgp9iKiHz/a/EQbIlpfSn0ssI0Z3rMaE1Biu+qh pEeasK0GPYViqxsG1Yrk6SfqdJl4w69PrzfBf6HR/akh/vadv2Lz5IKeUi5tXQ2D0T/ux8dUhSZf 5K217nEecXSp94Dpj7eREvYZ9BSb8MiD4Q6MCgGYT3SMWy58vN1FTGt7fLIBqZYO2CJOaqCnKAZP wsLsUX9pPzx4WrAu/1u2HbeliVz8WwjipKa9UtAW9JSBz5IV0cHN0ctersos81uYvHMeX39F/3a/ ZvqEuZ56YQ96itFxxyw3eyWxmr10krDh9x/I3DUyfOmorjD5tSkk/ek5oKeIV+96Ft0aSc513DXP /YRqONw+tEu0KC1i2Em/aiWu/wN6Stt0JgwZCQHNjHlRqXXQwkgX3zs/VCWu6RVG9xs02lci0FM+ S9z/Y6MIkIXcPCnknpmmtB42R9czfp1qNa3AEe7alQc95agzSDotXTY50RSDUNrrElL1qwljRPIL ou5KlgzDkFkR6ClKgX8MazWWS9gXZlfrrjVi0o1CPjqlPx9qcrT2dR9QOoCeguXhonElcA/5hG2C /VHuAmthQE8y3Qwm+UNxzs42gRQ+6CmhY2laSfSCNQcjrwJtVTUsvVftTw42ePlF2ZO8owjVmqCn TKTqIgwiNwYNXv3cOPJi+oDGWVSwLD9xfLa+wkIPETgOespkbLxUxUd9LQeqMziDLAvJbu5u3h+9 eVwrTT2DCmZPG6CneOshBjOYzI+s2/DyErxYe0/RnGP9c9PDmnwSZLKJLIsDPWWw+TIAJSZ3Ob9k 1jx+VufXYyLzh+4KM29O4790jYeT/8mnkP9RGCKryDkNp6xorL/G5cmx/UdHLuia+Z5LUENxAnER 9BSajLaSTstu8Zm6Sl2+Yc/U/NouiUYDXeRzP1ILcyjdMdBTZJOx1mRl2h1DhPHfpXw6qyT96eOl iCKBcsPIkFQINfkKekr7UBJ85QP7P+06+1pH1QV5sqIrcVnWOU6JXjNaux8xkaCnGLJx9NCyZvxu cqBXTH1igKlGW96l32mC0LNshv63pyADesplRIcx52Ma4V+j6R7hSvaWlipZAXqYMB/LTHQPrMYp FdBT5KS9UGVS22oVTIsJwpAy7+GJuEO7jmgoTwTE3JlDeOdBT9Fx+oWBlpLFqGe+98yJX95nz9Ix GD/2ZxjD9r2JAlrTB9BTfC8SpQiIHzOtLtngSBK6NBnwsROuR/MCoHjvLIvDV/ZATylVGfV/GZp6 GZ9er/jYGYOuk/9dhtXkOuHgkDx3kE1YA/SUy2LinGiZrhCLCLm8so/KmUMDsLFa8pYi3SMtiKE9 Fbigp/QGX/XVlaIjKFsaI6mET/9IGCB0d9S1EHP/N3S8fPDtBfQU12mlUqPUdNSkTpwj3BaJkfYP MvvNiZ18UPmVHYKDcI+gp3z4LHbc3cXdiB0qxM/vydrt9JU+dHbiQeZ7R8ipxJXjNOgpK84s7KGO AXEBcFKi8vgoX+bP0zOwrObpbvKYuBLqy0lBT2nRRSfA01T2Zv6RfnY9YNTbfgTfvEag4BM37Wld eZPVDHoKw6LT6LqGf5brDc21M4qCWX5PI0Iv0nU9ar0GBxIrQiroKdcnf9XRMT0UP1W9FuB6pld7 K5L8ItM2qexFDGUnmxnUAT3lTVVHldF165rXwWcxXLheZ1GYR4zmIl6/kEz8q6scJg/oKdIhzPB6 Xf3cXllh7zBcWrzRKp2G8/PTg5yYvct2RbzFQU/Z4dT2fv9wb0s3Wrw6lwF9r8QSxMiA+4hvIL9U Ptt3swl6yrSjm/aCST+kytnY8VefH1ev8pmh6bg3o1rH100pXagHoKdgWF8b//BiE6MhNfS5klF5 ijZ/Wt+LYslB0/saoqDOdA56irsxKrbn5BKS/exQRoMmhhS5tWYM1sG12FLtfYe0f9cD6CmFpDEb OrY+g5GCk1KDl19KHa2pzEuNo5V7r7kXLw+0rUBPack0CJ4KitIlEIZ8l41fnClvgCuamf3JJvIM sjBnmNgW9BSNvakKZwQttuFExPqJadz6Mxa3PcSYyHFVda1Yn43gb6Cn4LChtD38psp0W5AIUYmV 82W7DHc1mkSqWKP1Y/mCZbAMeooM9euVJP324VHwLLWl7sg8xwON1DfKwwhPyIYXD1+uCNBTWjHT eb4YMfALYxk4XRHbt8HVr2PVmHX51PrVNv6wXnIHPeUyFX7PXRBJEWdCrJSfe9eTHkcq6ODk++Fq NZY/pfs9EugpbVdTp53Dh32bNnod0h52RpyEeeMi0n/qUeIpK+W1RFVBTxkUIMs6mINzObHztKSf TpyErI8U6k2uFLGu9N3a6Uf/AXrKNxzTx5rm+5HV9W/NavJCI5DrX6Fg2Zr0I3FH920K+QhBTzHm JmRXSFy39nN6jPkhJh4ZjWZiJUK4sFTWSgdn/J21F/QUzty4jC+dkrAVT/wf4Kxb4zk+s0QySaKx 4bFolAh6uUeAnpLLHkt/8w0TieGT9HLHjMmvEwLo6boYSIJEda9BVg0vEdBT3hNo5rDA1EA/5O1+ Un7JE2rsQCt/u3o7gKkqSmHPW+ICPSVgZ7wy1VLAZtDAj16HlA1yvxNtKBkB7k9QtPk07Gzpf/59 cdgTUCUNKF7Iwd6UhuU8oPv7HW/KWRv4weTloNgQiu6BnuJs0f6rxEvLjmgK5gZhOd1TarzjVBwN Il7feYfX4jJuEfSUV0yaQTV6ijMXTt8nN3tC91/wXiZ0/MGUu4EtxXvD+lGgp8DmtlDvFFIvcciz B/oyM6g6kMxu/RyI+0c+rXyzVeBVAXrKiY9bLGzX9mLBexb4SWU3tgaPvgDvw+RLzHSNdlsEi0DQ U3qVZ2iN1XQnOiImT/EaW2PJ8QxQ0aeWE+oVdz3fAklPQE8RgPhNYsbevgHNpTRQ97JvKqLh8cNQ igCaIGZQCD1cIwP0FJjUMcO4qxteGbSAAVIWgVFBCn09XEYcObW01o8HlxlhoKcEvGCPfZHxo1Ag 90XEuljYSKLxN/C1Cfcmlm1QGP3/XNBTdFBExI3C5yfi16FMmzStOsd6dT7SZ7F0LrzLxjAlT3EA PcUB4trvITsMVurs8SV4IEUjagNqlvpf9d55R2Hx7ECZC+gptSO6MxYwfeqlFl0bSmlBN8WWRyJQ /rY1gYf1o82mpkygpwS9Q/n4oJzk7aZBpPblaN5SKL3wov8NrqNW7T2OC8XbCegpjTMciDm9Lvp0 gZDGwT6afSo7J5TN31H9IMzhFtksSXtBT4k2OsvVYdO9ZTvsfBVTFNIOCoOttsOZTeUenb6tQIm+ Az1l/MjJt9Sam8y4ItQORYW2VYbScFBlDPvvaq/dBz54rjPQUwpHFfANTeHHJQVrOPrGcL+wMmTG a/oYhJfvQEFVMDZ3g55ShjX2xJ+BH/HOKI5+8kGXB4Uoj43fZ2Xjhv9v0eMK1hfQU2QEvdRlkfK9 Y6Vmwk9w2cPwzP98GMSd3nH5zKPwhcb+E+gpnckxUsJUjlTKuuwqF6sq2VVwBjnBF37mEqhpdro1 9TOgp6x+FJ5yVOafzZuWTrIKHKMsoD94FWobMrTkUPHF+bfPC3rKFAFDnxCL/QOzA6mrZRuRw0kf j70ZRrJ5QtbPdXMnRSXQUzAIfNZl1FwIEtS7JO9u++Wd2NvetZfyXEoQpmHO6KYfg54iMcr1D5mU y9reKCa19oedaASXnZHXhDoqfdZY++k3eVHQU6J/P+98Rp8otn7APvoo3fn++h2C9xU3siGd36y+ vr8wMegpT2UHspbD5sSPNX1oM/OvowVzhwJ7saoFuhmwp38zzL6BnqLeguOj0kNn7M0rzbhaXenx rgPn66eAX/p48F8V6tnJcEFP0XhaDtuBw+A0ypE50oxnxShXKjoJZA4XDmi3DGjTvSwFPYURH3nu TZ/HdOO6YUaoWqQRaRwZbzHFZ3BfJt4Df8JQHfSUvlnoZ4cjYrU9vkrCLHGTaF451fKMBoy3Kjhj 8VRraX3QU5ZZRGr2k4qZWq6SL2+YPTgITHP8GzU66WqPSPMMLir6QU8xdg3sPDT7m/kxet2jpShX IDhb+aDZ8yRM7zyHKZ1/Tx30FAaEYg7td33f99ePfvKjOOXejLstiM8/GFG8p+6b1tFIAz3FPqIn wb/EZPZPWOMiJT13AAb/kH3H2XpwSIpq01BP+HfQUwI57k6uldCkDZ+ptHO88nZsAzuKDc/HDZzl hxuyxIV2QE+5ycksRAq47TptneR/sYTsSa1oMTG2O3LLq5EtO8uWNAI9xfiMBb6RuInxS//Hy+rq uUqkDz+/B7WYpxelHR1GfEU3Aj1FPUNmpRaz6cSM8CSv1yxu5XPL1Eyjsn+huj5PWZkSzDXoKerm s4LpLoUpP9NhbiXyF3zydsVPM3xsCDUyht3j7AY8QE9xJaio6XKSr1uiT+wZ20qQ9zMpUC3flxuH gX3d8nNh7QU9ZZ/+JuvywNbRzW1+ZTjF1gdjfeBDH1fFgXTKSgNtfN0d6CnKG+7sMAaDTT4q8pXE lBicstrVfFz8Q9bvBbISN8nfG4Oe0g2PoTisIGCHeR8kTKDMI8MzkeE70ySAwJSFKv/Tuz4R9BSC F2qK4sPDQHJax0ErZufYSAWYObi5kB2GZjeeBPikj6CnKBnjhGAt6GmfX2VSTyZjTf+iLeQPMnk5 JszpV2m9XdQDPeWCGJMnjA020cWD63v9ArPFKwOZwHOXqmbaP13dhky5E9BT+J+Uvrn1PNhND1lA 0B1z3jpYPI/U+CdFzOm3oOTc1U2AnrIEKVOwoPv7cvTxeiw0C4U6oeVeGMMxrquoyYhhKXR/D/SU LzGZuRuvE8OJcvX/9qUosLj7g+I/KXY/wCDsc1xVs0uCnrLL+HvX6oBqQhpyBv/rB3T7Sc+I5ejg kaCb5WhT2R01GtBTkihYIj3xdunTuev7A5FSaqOFPqSUenqMmOBl1X1N10AHPWXyOEVnNdenwnhD eSjog9WEI6q3bVpCfOiKsE9aG0zXFugp8AItik6tf8lJZ/ndOV4dK26OrhV4uQ+0Wr2YGL7d+YuC nuJN0O1ULg2Dq709RTmq4NNb/GyC6ShCi0UsQzDHmo4RD3oK9u0hBGI+Ma8vPq/+iW98vXbofpwj wSJrUvRaRpv2e0nQU7qRlakyXvXTF+wr1M6N/kFywFzHqQ5pIJez2efnDLrUgZ4CI1A82ehsHbSV Wl5/VMSsun0uXpqadVYl29+RYkMBrwB6imUEgebn+JYUsqvDWfRPdZy1976Blp1Ih7MVnVRam2ww oKcIfS1OqZn7Km+3LzO5uW3TLPzp5TORVjmFixpBuo1DujHoKRefsxu5eMRsl8ycaf/u6xyYHb2/ rOG7YxazJa06XVT8C3pKcsRxedKX74LxKQbWnjiVbgilh0nqYgnJBBdjYj+Y+WdAT/n8bwOJmmT2 /eA2owHRF6tHMXsToS+qilXzJMZ0Jgdr6aCnGMwf8leZOL1GIuxOqNQLnq6R0VOm1h0rD2BF3mMw 6XGAnpKlCPUmAmf/En/oeXKU1/SBeVu/dLCnU3xA0MjI2/vzOugp9RQkFvjoRgqEcBLuMhUpGeWB J4XKW1Hncu5rBgRchlSgp+hK8IsN4UB+8JW8SuVyI9qKDCX1xzQ3VTv558OkYunyCHoKw+ujq8gZ fogHvNcn45cOZ00P2KpPV5aQBWlNHzGwF/6TTzGTUMWfCL+Dj2je+Ks44lG9rc1PZqUmSQvnTe7e 6+kqBnrK6TLPL59YMbMrSuU6fTM+VUWD0aa4n9Kh1oF5bfPpjNqgpyRHfKFgq6wjknSpbywkZw+5 TsquCUaGHS4gloLlHQh3BT1FUir7QbVj+6XREuErScn3GuIg2Gf0Iz7tIYLiu6iTHVrQUzIECRHR sb6l7UhtKE9/4XL0F7G0N6lzvseY03Qea6RRBz3l5zhv/bAE+9QByg9SLi6/8IJhRig+lLTQ3hKN iOcehnXQU+g28JM8pb4M/6Dq/elg+g4jHYLHCcl1tLcZJWuDtvjDK+gpLH6tVZ2FBDnCckkE5xqE jaidB92T2Lf94VUxaTWPRVigp8QxyPKRHKxz/PTjyUTxS2j0lf8uokqUtKTKQM4f/Kq5AHoKS0+t HaXPGqWYP2f1Gc3SHmpYybyHI9HAp8fOw7l3yzCgp8zAfzfLVPz9L/99GpOfjlORPkWPek/5QUqF +OpXN3zKsv/0p2TIu1RfPxg6p4Y8erJk9PtxocVQEkLPliyfuL7VhSGCnlJWKruGPH9sfPKPyTQH vaDj7e2N4xnP9S6bZMrQRF2BHPSUQT9J04BY7eCKPRdRZLQRVf2BW5MjTioJzbuIswupUErQU1Qq vpLwdk2R3BwqFZLfZYxDQwTLYr37ZPLlsueRWsrtP/0ptOwm/iPSyLgISGdQddjX7VxY+3GnbmpJ gtTU2Kg5HrGgp4SRjI9qeYa8i8wwhfMNqTd8eJItVchS0H2G5P4autnJD3rKR58i2vZuZYfWof1w zPyzjHA1HkdnRxl1s8jCPPcmTBTQU2x+b8vz2zhDJKsvtipDdeAq/4ElWT9oLxj3XGitU+cIAz2F AI1ulFdp25kXwoovpTZnr1RewuP9x0jT0WmRa8h2IU/QU1L+zEFPfXK2bRIqbYabNsr/RA438tFu rv1Bcy7Q84nAHPQUmqSE5/1cyQt6zJq/6niLAsc3pUyCvG2FEdfLBM3+irWgp/wh1BFIwc39SjOj RK7HBd9OM/dXFV2Baz+WF+PnRs1HTdBTdl10t0sjn22y9z7Hos5nBwePR9a20wphFbR52K9yCXaB nuK6c0fdJJKe2QshWE9gNYiOMqA4J6x1cs1kdUyXdcasB3oKmdPuU32QBVOIWT9lKx9xlpeK7v3p pRsp3kFDVJox3x3oKXtRBtuk7h7hwYm/aN6zdCeR1/A3H0LP8EDKMw3M/XLiBD1FtaymbAJJk3ls nUDdfh5tZJzbN4UobspiraCSXo1Nqw/0lIVn7zr4o+HFPO7iwQIDeX24joijIrpaLNQXWWU1HOwn 0FPYW5VWnsYjn4qWiAxlXwNsh2vtJ2muknwuA3cIXb8YboCekhUl4vxNNilF/nYbojG0qOEmRaVe SxqJQ2bVNdSuXKAZ9JTN5P7nz9xz51y6UV2MNfdh/xQ5SzeDlFZOscRwA5JO4EFPWU8syDXeUy2t jHhQ1OAXIifAY/LVC3RWKO3IGD5k8iL+Tz6ljlasO9/FOZkiAjNzlD9ktQ9aX3TuD/+wycVX2dBi VNBTmNIK1OYqA2/7tD+Mq7lSts6bcMwIC9juWC6wMRNRkr6CnjKfYUorfhPnWkbudfvwaLRTyBqv 22HFkKBm0n2jxYdAD3rK8XP2KGzLNG3i2MSKsgQWlq93bhW03JwYy3DTCd76+2bQU44VEiYQSVjd 89pucy1lyceaLESMVVc6dFNJjwqcZrxRQE/5yUJSh2yTkWHfAXM6bhjtd6Tw1u3PsP4IebyYz2Sv ngB6CkEo4USyyxUaIq7ffuJS2ru2XmLoH3HH9R++k9qFju7RgJ4CV+E5hPaZztEyiplH+gLWZ5pt iIX++z+2pTlPSYLI52bQU3rE/hpQHFIPJPzGEkoY34qtfRmeWuUTQnmr9pOb6Zw4AT1ljUYCjW4R m47xHq0mW+g9SQJ5GsPnEmjnFUQxsTJ7V17QU/w+R+0PhWZz6pzgj5yWmnrvxtThPK5Vv9nLElGQ d/rjgp4S/4+XLGztWzC0QmpnhH1E+m/kb+5MkYj91+K64gTfTutAT6Elcmn7UGZbxAZLqyc9CUnY 9PL0XSLnbPAgV1nmcdA/A/SU2x0CDvPRAg+enKmSj2RMl02iSewi9kE9kP39Yjb462Ogp9gsib8P uahsrNxbdutbPeBtXdirRjPcrOdb17eDm6/+C3oKWe9kxY2dR4AVrxVsA+7P28k2HHrtfd7JBfsC ArKio0zQU0zi+fg0FJ/VDopcm7Q2dCpDyZ0NhishYF5QVH3LfCD+gJ6iKOSQ7d5ogMHsTVnr1IOo mo7p0vxPv5l969SVZuEITh70lAs0mzU/uM31T2JqrbaRXsKf40M7FedkBCYizwUhZgTHQE+R8G4b YmnT0BOjzHRPt0uwCVVFVytzTewXSVWznJRAoAU95c8F1IQFpIkhvbJ1AP93/E93kt+JdRcvJbUG 9eHIq38egZ6SH5QSu0TywySGWC1iG5KnVurdKKKeoK1mVYs9c1eg5QToKb6fJYieq+n23QuLotai 3S+2Q8XbnBV2zKqhWJj7kjDI/tOfYq82bQo/lhLl8EftonpH6EFTr0nIFTXigfwgXzUhFwn0lIM4 ilumASruCW78HBSi8KHgJqXdaflRg8aaqa1CTG400FNiPWFSTsyvFyGrR5w0I+KYlmgvq8zQOytS qLnU9sPUNkFPsRWmeTUvW223ETg4cjT5E7eDQ3UMw7gQ85s7ykFxndkR9BTX73G7xr7uXz8nZp3W +JPRYfTJO6uaTLyzgKhU4aiZYwY9RTzOtQoPK1lyb1EwBJOblEqTW0sm6ymtzcYyOS05RzUf9BQN Ll4yB1qFJ4Vr0o8COgcZeRNmU4UctmljSsmVFuymOqCnLNpfn31XmV5tyDZB54bQ2FpFfMu4DCcJ L7//JTL3uJwGeko0nb26hYTEsH2TiZ8IFpYKThCvIn93u2UXe2i60Yy2GOgpa7q0/ZG9MhkthMQF 9lr0myJ1w6FfvRtNtldiqIXgjKdATzEruLjXk/6zHj/gW9btLDNRkiSCbxUNXd5WDbOtSeLAA3pK fPPkakjxrCyVW32LFlNERsk2cfQo3QKMfajUT7wDilPQUxx3PWeYWke6viVAG2aSpFo5t85BDk7l T7ufQbO0tEiQgJ4S6emjJwPFN2sxKiJKnhxeXvJxIuD5Kuykv5N7mnJuGgb0FHtroX6eLv2bTiF/ rKfkqlsFjMkIK16B2SxaQ4xa8kQ00FN4ClNubXDsKIOFM2ETpw6aibfDRESFBAu145lOvf3QvoKe srl22TQ5nDtRV9DpQ2l8+pYZtjP0pUXcQ4LQC8IeTfod6CleH61Kx5wzXA/Z5fnl/Kp5ZI3ObAL7 X64llR6iETKr70BPmf1lID2otsX4/zXO9Zrq33sopikvoG49NyJ9N4ZUGcQCeopwsGBDaHg6rnqd +89SDygE6kCqev5r6pl5q6LT3biHCNBTyJy5UB4O+L9X01DSjbMjGH6OJTYuYOT68SDOF/7DIioN 9JT1miXpm5j97f6VWpUZ8Xg9Z9uGWSEqeBzDpkVjbKcHftBTzOEL0x/+Zc+z7HSU1vOq9Nk+Gs+i M6Jbj0YZcIoZjf7HU4i2RR35N1nrsOVRlGKi5V9+mUVu11Lcx7qgqdCW67jggZ4yHPHPp6ptLUC/ zylq9y2pMWiAigMS+ytD5u8plAKFwCTQU1rFsmxUCGuv286DNK8DkdWFcmM7ku1uhpqc9Y3x2lgz QU95F3fbnbaqhl0ZRx7vV/GvT3riO1bRnSaNUuFfcSkWtjrQU/oEp9Qfqn/WvEj8NIKD3i3ax99p cieeviozjBwJ9r9FBT1lJuPX2d9W7N7lwtbd/sW/ZWfSJiEFbPNv68z+sdTfIsdBT6Hcl+H+d1+x maVVJ2sFDWU7vLJMxql9n/X0AnG3DBP2AHrKzXyjW/E4CtoY5afTwvYEPpbHCCws4o0RpB2VD9cP xpigp9wISjw7PghSJiqUcalk5rtpkU4bueD/jiThlpmm8ntDAD2Foc/FBP+AoOfHj7+6jHQYRGI5 vuUZWCE7VU450OLC6Eegp3zN+8tDz4OIInBhGt5X3ii4x3kZreC9J/EuxNmq2ytIG/QUHUmbtMqT uTWbWTwS7zvJ0poL53AOhOvKQ6zPwZGrv/VATxGQX6ZneIp9ac8a20v2HOjdd3Uv1LkziJbVqah8 9u6AAz2lDCZh+B1KUd8WcfmHyvAR+Xc/EKk0ZMNYXVuxyGADVzdBT8lUFBptFZecprJhgHO1tIed 2l21o2QjfOc2IpfZsKr9B/QUzfnI3GX6QTEiY7Zid9yTNm5Gnn23obp/PR1OfrTtskygpxgYMX7u ZfZ7sbGLnNadN9SO+SIjFGewiy+JfkAhZTjCBXqKlufHHrKpalqpvCyu4mwURDfEA9Tqf1v2gQeo +gdOpT6gpzw+6d187uRYPJXznxoauSmSRlxkEX0pt/p8pPWxaMgTG/QUU4KStE2s2X3fvHvb1QeX L6LLAUz6G57aXw/Mg0dY/BhBT8mjUXce3cbmGK02Qw6sdZjIH6Nsgdj6d0/l0QgtpG75DvSU9SyE X0XGIZP9ThuBHZQftJCv6SO32mhK798sVOu+ci2AnjJxWfDPpqdYpO7rMNoPUgS15SVasvDle5yy 4O9C81EQWaCnNKANLSRqbCst6jNG2VIV+NIXkn7XX6rXLBlBaoHjMoUGPcVu7h0+276LtM43WYF5 1omb2+s/4at02YwE0YNrH9WnqkBPafEfLUIasUSmKxSmu1s36yGGR7h1USuaDPlhwZ5BdEAAespF 5RKSQY1z6jGzYQaZRgsLenOPlvojYWJMMYuRguobBugp+FSZ7geVLLwZa+sCC0/aqdNEVdzI3aYW txaxPcVz9degpwhxGZET1++Ku1VPzdbxL6IZb1PSmdv+fTdmOkILbV+cCHqK9NiwsDxOGOe5Z8xv 62GWYXgfk9xh1eM2nVgOs8TvZ/Cgp0jpfRf+xrH8yarMxgw54+PF1q4kLQ+zcQGZZWoR4m9aD9BT ErF2Z4R6OwTLnsntX9FUtFHITlJWRE0fZqx2ta6IKJpAT6mA2HsLmBW/MUbcEva5tnbXidFcI8Xw //QyLN9HHyfEBXpKYCXDBASe5GU9Q5qqKCFrxfK+4axp+1dW4Xu436zoziSgp3Rw9fWJhH8iY+Fo VvgkSkVCOVryaWOGRh0OBe6ifki9EvQU5ZktDJ8R9h/vSIjQV6W8c6KWy/s8DEWcrqNMz0t3fX1A T9HgXM/vNWixSa7UyCNPPw6DR9hXH0S0u7Z6KF25j5g7AD3l8wWst5H6OeR5dBJ02Bh/SLaDq/jW ZaCBmFxV9vms8wfQU56NizGWO9uFcXiaSl0/pldxYL6NiOM4swzLL+URWNgMgp7yxPiN0GSN3J9t KajLo4PGDlN54wMNZeYJ0RGvex6b1hzoKUrQx71lKfyh4cwtPiW8EdA6SH4nBncjqM4UZI76bSSr oKe4I76RDDM1EEak0vwy8bNyjaVpHfen3QiIpz1Bnda68Ac9ZYdAu+zxGGMwLmO8CgurAe1a1JBe cWxNzp7/PY4UpHwu6Cksxtar212QECrwLDemjwuWLsfQjgjwnptX9wxi0ClwTKCnfO0dDKMX/qte 3tJH4cGWvdGwJ3H/tXHKqfzWvPdN1n0N9BSap2cEf5Uz7wgq4yV0dtoUvyOr4Pacfq/ApOKN+3WE OtBTjG03eOYIYHFSU/68y79h+3AljF9FrKhQ0KvKWyQsjDsPegozIuvv/o2Odx6Ha5adQSveVuss st+k/1wzRjfWcvhzfAQ9JbNCUmBkzdhg9UfRnZsGXA2f8l6JezKi4/Ib56ZlgDUO6Cn0HV4aEQ31 diU1nmZzsAiGPxqXEC14296UJvYYYZ57F0BPqcZQ+pUZPUbkBj3BUwWxsqD6XQfjWbjrT19qQf4G DuMT6Cn707VaLfx4AkWoZ7PeptpVf6JdxZHIVMmJHYgalnQr1kFPkedXV/MpnSy8DHov5povSR/L ysIgeWr9ZeA9N1lRTmQU6Cn05LSBeTbwMwXRnXWpmoO+Qd1O6SEW6ucQNzZJp/vV/0BPqcxoid4k xAwW9q/tJCCFm7NZNKLW8apb86z0M1VSqCsCPQXNYRRdX7TaLjkh9QPakjWCeYTcd/uxRCH/dk3l GMIvtKCn9CHbNgrT9ItJCMX9hfo0LN6gQyzh29ptRl4cssZY3R8MespdxNBg44dmyyzfnMDFyp9W 5HemdrnMcwQEj2V08+Mml6CnxEfXMg2cHAsvtIdibz/C7Ll1Lwylq2I4nsfUxBWulBuDnrJJeU7Y UcuHEFR3xFXZjXf1Ff4nXzBnzAcifBPKDyd0fKCndF660QnBEjmVq8qWhTfeZjHAY8c+7SHKJjdP D3scfX8FPYX/srN76pCpxvtQF+JA5HyCMKpdB9+BsUh8xpX8aZvLAvQUiZiXhOSrqqPUPIpg+1dM Jf2PbyETzmtPSLm+PyMwlh5AT8GlPOhiW+yx+ZRNovioQYL40BjbBCfiT89h977vccTbFPQU6Vnf Wtp81OatefX9knCTrja5y7Zil3y5wtyE9zQFyGygp/SYSjT1dProy27ZOZCsbOIj6kkSeNBhjQQi WvUic3sUg55C0sw3LPPFxgPqQ9Mc3V2ympt//NCB1JLDvdS2+tFMhx/oKUuQ79Ccc+HF7u3FTqua lOrYJjsQqhsPr30xlqvgUKe2QE+BvTeTPbOqFp29RgtXYdF88HDU0iS4Tl1DyrulUWQ0/o+nrGM7 5W/Db68yzVfW1JRENrujcQTEdTrerPziofGzN5sEPSXxBzwURwnrUZ9Q9fe+icL0xM7PIgP38uXu 74yUhDk6h0FPKWmgHq5DZGVnlNjVFWXVUM923Fp4QmlYFiOKx0o55IEAPaW8wHSpJp9d5rPTDtxs KclQPOs1s4vOz+7/kXWPf2FwfRzHa2kZW66lhYW17GXbbtl2y7ZtY9m2vWyvZWO57vvxuf6Jcx68 X9/fp4Gh2X6/XucB9BQEn+WK2gh27ixkCqJjw77+w9ToqNKAz8j+KA4nkfR+R6Cn3I20IQ5XWmK5 LrnWr9xrVlBGQXwwJlA4enZYgqFVSl4FPQVPI1Nlz8JZ029+mf9Swz/9nJ/y01hSfFPn94FXpgl7 G9BTQoiKONeFmGS2faldf7Rt69rEJ4tpuUbQPHzWu78qZMMEPUXtRmFwVPpHqJLyIGTGByImRN/S LMorPoPZN0SnP/bpcaCnkCcnBcOQiXH2u4w40F7rUuIy/3znX3W9qM+Swj/yd5YY9JSjywNV4vbq ObbgyQmRvitYo+oGSlZ+IZEv0e+sTqopBEFPKYeHscrsMhPYFqqscdDWFmld8wgxY/JcuyyOteZZ OXIHPaWAtkdj6hQpwfUyeXhJLdJSBe74x3PZpUDNjKjs2FdzJtBTvJzCX2OuHSHHBBnw0T2DJvJx NQjGxIxK6FMYzGcIPWVBT7EpbMzFOWcvOJCZFKunhAwdzgnKk2ck4ZzPFbq9Xv0xCXrKsadt9ylh 8nDB8D9WJPjQ0hrqSNytsH9jPVwQ5OFM6wSgp4yU9Kd31yq3Cd0ZiDw8HDd7wW6+uhvNvRf3DsCf /xH8FfQUOpgViKAuXPGAYO/kdBXTreURXPPEbx+cuVt+nMHY/DYCPYV9Ud8u1jXOlWftmCJwWVPM rkXhgshL5JEtawK2tFK+DfSUKot+b+XbcyQBoQradnW/OTS/pB822PeETVaYBJJdXXWgp9A89Fj3 sP5t0B7JhnYRhZY0Lvl2+Xg6My+BOkxTvd65B3rKrheC1Y6ud7YuaehNFumemcx3EgTvdhwct+Vv h9HMKiKgp5gNOnRUs7YW8tOwqNyInd0z/qjYTDOezYXyuTAqQvrFA3rKC1ICvuoSU3WNf/1Co0d5 IxvBz9EG/R6sq3DRLX68VgXQU9ASWdKHOCHCq2OS6okk48S1PAkSDNcYAsVCw25rJm1rQE8xoOYQ +PBXKjlCHu4LKZeDF9W/oLGB9H8rqNGRT7aWBSj/6afw/7SilRiS/o4tYdavqIb5R2Xm8Rp2VJc6 quTiO7NbE+gpyh5WtCMV6duUsjTxV1fi68sr9jZPUi3EH9OnMjFJoJBATyFsu4ElyD8VLN7JDYmR UvNzCdhxusWz54Do6k67rETxBj0ln2bVYG+4Nn1I8eAk0CxHh89IZivv+/7ierTmjvOn5FPQU3Yp KDojvCemLVBreboZv3iSpdfwCE72ffTsZc8S9oBRBD2lrgMmO0CFofx81oOXkP0FjRMmzf83ut7t eIgAUcPV7jroKUHFuUSxZjXdJQabU9crAdeOjgKNbsqyE3Ot32rRe8TSQU/5FoFyHfCKDUWTD5OV 0EAX1ed8L37194kL72iT21sCrQD0FGlIpgmaxRY3QoL6E8zsQkFOGES1Mj87rlCCTPZAlCUI0FO0 DlZOuI6FtQgEbdCbXFKenvn326SvikoXyDBntBfMLUBPWZPjgb2NkhxxPVh0C13yunDDSyM7/Vt1 N7dWsP8Pa70T9JRPPgjRdHN+71/pDfUKnmOhDPiUhWkCityGfonISFWcUoKekvyxodVXO1UJil57 HPPWSsshhiFy+ZPtOVZoww6LSW046ClFQSpjO9gat5btxC77wvrtflWwytAdmawOwdNPD1Uu/9mn TMHwPfVC0vfIWUQ7L2r3oLpYpEczJJHyIDXJNZB/zTUBPeUKJ6Tps1f7c72dUIzbmfnfbp3ml67T kRPdjay7+sKDK9BTUrFoz0WNYdi+Nh0NRcb5BteMkbrq9B6Z7/2zTPn18use9JRIIaVagxwK3DMp ocQNjaaJrPj5Ub2ADz3vehaDfThenUFPOR7oNZmIMX2LG9gyFtJRLJeS+b4czEOZ31xx5jaiVQwL ekqSKp6OLvygIm9mVSrVYmvDd5X8gPoIz7yv6l31g2YZpv/pp/DgEMfnhKQkJ/KpLUOiLphvtA/0 jonCfvt3mtpbu20OeopN8NyccOe5OFrhJcFodZHXxD9U6sGdXJWt0TXOC/5xI9BTCoV4WCh7ycfe 1Gg/KXM44cEpHZPEEc34E87hfBuIO1kGPaWhh+/ZvujR1AG/bgXnx/ZKdh5NkSbsdYUY1B+bLFtT CdBToMyGytdp/T4uMW/ypCZvWVjM2H398+nCC0llnN8W5/cs6CkzuCnYF6oPzzJGcGUs5yswdl4t gzPFL7oKHw42ZboY10BPwefxc9roySYqLcbUmD35m62AWnBdtdR3dZ+59hO36kkD9BS0OKqLbJoy ZEOaOKPIAWiouYuuff44kezXwvrq/fez1aCnHCOqNeEgVkAuqlhBL4hi0h2m62oc5kUQHu8arFkU 962CnjIemarYuMTvPxhfQrtN5vhvKxMrL+wXrxlWxzF/xr0+A+gpYYwkGDGo/3BORhbeeWnTQ5As /ThSfsYyVTkQhDEhQ20HPcUgHE6bWdplajSoGUpGJZuhu4OMlQ7Dmdsv0DO3VqDJEvSUzGNvX15d jvfVMYQRFHLfxWmCvmU5SH8zh/67KOp2w/qffgqzLuQ7a2M9HsF/1OsULLe2pl+U9spko3WGHTYD Zp6tdUBP+f5sV5Nn00rA0DACC9/zQi/GPbXEYf/QToxFr/vUgYIPeso9FimkX0ENY3EkM1vBB/Ea llWcBOSkSr1z3JNxz4YpKdBT5o9VtpXurnzWSyKCX1j6HinW2hVLPT9MZSB/rBQyPfhPP0Xvb5kS BLNg3R+69DIcESfK8U+zER8fpTTvSGNLP4ckh4OeQlaIW9919aGaYU91iZYb7zBmx9DA4Lj0EnOq GsmmKykO9BTr+8HmfaafdN4OynhjidGpkA7kG/wd00tbTPBtVuQPe6Cn2KeLCiWOj6Xp4Y9O2UNk ZoxHT2jP7Eu40XnEf3WGitMBPcUxTveRcqAi94UIhvz37lRWzMa7ohAe6KV2pSfUc63VXNBTViIE CGARxOFY4DzNkrATT3N/7Di0NhpGjmDL/1V6MagAPWVcrzKMrWAzkcUIApuYAb5HPOx37fuu+QAB 7LCi224WFdBTGIUhP6metgUkTNesMUW6QPo/y/zBhl/JJJCxd82QnH4GPWVpfRO9f9RgxK95t+3a ZQaDUAkSG7K5TMJ3Zi++T/fLR9BTlkR9jl4T1EWG/3xntfdw3KC/K9ZX5+BNj0wziNhc0msDPeVM vQr/lZt4hzVXYUIwSbEHze4sDbb5OTGNsyoN79Y8B/SU2IK/qu1isRw71hGNCeHueBWlnknNTuyp 9Kp+vT4CfH2gpwS1uTdHsDJahuHYmdIgDVCLZslAbSCqc/lwXsbSMiUZgp5SASNe4LTUQjKCnng0 +SdDVz0385offknmfavcjbMgqi7oKd9iPqKW2FaUsFib/X6dECZXw+Dj2WJSfpcF32LQbK/xDHqK u2QBOisk1CG54OTcob+z5ccJMpeZfXOzbMhqPElkxR7QU5oK80ivCKm6P9BvYTWMYTLDp8NKDyEs RVNxvaQYvx//DnoKcyiqPhPnCVSh6BfuTOQOg7k5fnWHKtRSdm6pI5tKES7QU86M+WICMdc/+HkI OaXY9w//zUyeIBdVXWLz75bnyve0AD1F80VRbV/dv86F/7NKnkvX0mbTwlK7AiEOo+HHu8b4Q1HQ U2Q0oJCdfbcW1DTJAu4a/s1OvluPehTxyCBLvT/7YFZkBXpKFA8LY+tCRIc0GdtxUc9tK0/haS/y ktfOTnN0KJyEzy/QU9p9PM6lqXDK5iD/Shzh/Fx2Wt49zrAi/oyyIC9yPD0uB3oKXYWmKAwdjY70 9iI0XEnaldAtsU3o5+yQHkxvg2Rq+RXQU9Suw4rhIqlJ3tfCb3lVsR0RkOATdl6wUIl5x4c2+S78 AD0F+7eqJb6PyJpilqRaYLfQpxsyNwOywJ6N5nu2n4ZJnI2gp8wq9+CENtlpJ9I8vsB4x8xllmRI ZYuu+V/v+cg9s+WlgZ5CW+UfwhSawz+JvHig59f0gV2LBG3QXAUHmnlnsuJkBxH0lLng2zrxc128 I4XS+Ja+xu+p7Nw5KBp30QF+g4bnRisYoKfAkjJLL+i2NGm2SRI6fXVy1tvOkNrBlO2rFpeLkit7 OgM9xadKgk6Dq5r8q4LOEMOEpmg8bHtdTzvOeNWhguL5qaEi6CnefIOst16xTrY1t2m/+mhndivH 1ZDipRPt0I24oRZYKUBPkdD9LPPIMmjvl8Q8u6ebnMoIvftg2jCQUSxezGERUp4Aegojoo+uG0mo sgwfOvWCu3ADy8Ed392iC4lOjzinq/EXK9BTshR+OCFcciXHGLDNwjFeJccs87nsP7y7rudS9qWw fCwFPYVZC3mIF462eWRu9pKI+Y54znVTVsfeIevuC8dPd7tv56Cn5P1e5+nm/cSKcFhcMOWPS9oX 50mN3mvh8P1P154Byb4k6Cklm4iCK+g2qI5M1cuN9FX0tXmMYnVi3LH6ti1PZkpFS6CnVJYgaHK4 59XMEB7cbAmK6kGeK/1u+vrFrawRuV1pTlwD9BSmGvmMGpVTgkk6xOkVyqKjZptZ924HoZ+iCGKW DrkQ1KCnvOCOe28K4fzsH+gw4pA+Sk8JouzGH8rnTtNzE5+f64AEPYWFSZQHoi7C9m238IBmvSak y1r4+4fQYt335wqL9roRqqCnoLXy7HoTeBNWROW7Uu/IlKkOtHVxOHHqc2JzpSypuMeDniIDocW/ 3N1mZrdF8OOsg1ijmxo+MK3kw3vmNfbNd7GIsaCnCHQrXXCJwaQZduKe8k2SGS0Ovb4IC88iryBr Ni5PFe2DnmLFQCldp435B34JVoO9sU21PWntJDuLkGGsu5fXJ/TTCugpbErQ2WIySxMX8E19pGsc XcPStix/9n9EFv3jw/Q8XbYGPQV5IB2CyVb/puDi3CLxJmd1iP6joQqXTHEi5vnKdWjnNOgpNt1b vC4fTPeQKn5xoyZP/W7Gx1bEm6+HRjCJbHZNFTYCPaWBqLWHJkYdacF9pRaaNVtBUNPHn5YuRQdP lAonzPFHJugpoSLMYfIdKjrhTlMp9G/59zo2LAuLPUlxVEXQsr5DIZigpwQ7fBWPz7qiHzfHiO1o Itn8BcNMvJmF79z0V7pRwOOU8z+egjDSlSg4y8+k00D/LKNLhYlpnHzcQIVAFUk9VTpxgAV6ysPN hfP9xO9iWyl/G8rWXaRUB2gTfsZl3qnHbCmbOcsF0FPkfp+lwI3gVDhnLvnO0DLCuIgu8DugZBBE /YMjtGX8KAR6ynT/PPuU26g5TT9GqeCn3r5iJqHxj0ztTqbVhs3ihS3UoKe4N3usdHU0OE2F1ke3 /pwZVKe79LIkm1MvtEx1T6rcNQU95cO/FkviTozb974nd+Ti39nyG15uJw7LrKH1zbAkBXL+008Z oMqSNbTL7IE1MSXAk/4oLv0gYZGtlKWCXR6cEaiNUAp6yoe2cSN2l5H790kBmIwUzKOj0IjIk38l nRO2vhoIImYhg56SUUU7Wii+e/HDSDesldn9ia3IsPSOzWaCKol/LgAFvgj0lNtVmY4LYiVWVnkv hojuapaxQctSiIshKvXbyAziQjlv0FMQmwaoorO/Jz/gHLTM6MJqUKDMMC4Z0Fqci7j/c4xThfrP va8Vcpkv/LtBASMlKi5R3oFYibWC0JcQ56Ft3II/wzzYQU/RWfDXvPK/jo1fe+co4SrcsjjSCvdW 0Uzh5x99cPxnPx30FOGU+8ztTGZjJbwMojtqI9y9PY90F9cVRc9jacc69s4n0FOCIHH2ktnVJuKV 7kZEA1x/bhV9/M3Cjllzrmq4/y6OUQf0lE89NukyouYfO6OnTgps9371VKGiTsy8KMes8P+xmeyJ BT3lpPl7R6G+1W/D5pccfjp8zxYUbVT8Mh5cgTixBJKIZxnQU5p73eYZzr9o4riwriZ7M7m93esM 8IiRR9sVhG9vuY3yg56SlXw9L/0QnNcPdenuuUC4jzg6/Zq+xbkW24CQuhxIagR6CvH8vfXHBEM8 nrsMmB0eC2i7iU6PvJpyrVXP3IcYEu9e0FOuWukIOD6Vn++0hOcN+O0707W5QXrntfqm5cSZzUw/ fAE9RVduS0Y6YabuL6fGcbohxrCMD4UIxyTzhU5iI9IPobhD0FMw0xq7JVsjqle3/Fv/Vngi65gp pvUStn05+eHOdvjeAgX0lFTZcu1dFopufNaDVgLPYwUlR6GAY6bwcmLyv1em5tcToKfM7RkYQ2Nv amt0ZI0e7DuZektmDBxoWfJl+zt8TEG+5AM9ZXu/vGvqhR6HiQIdA5ax/pbn68QKARbvDKIL1xNy JJQV6ClzNyFnJdhhF/Idzkd/SauR6UWS+T62vfjXcD5rHLST9oKeosnaXvx7Be1GedDIa/XhSuiZ UwylYcMUNXUgYjmwrCoY9BTOW7W/U69/6h3CrZ8rxko6BeHlOp8qddZGR64UK8f6ekBPyVVgkh/G VjaKGBU3XX7jnEHvHY+iTj2Ml5uhSOpPUOcAPcUsCmN1xSe2d34ogJyg3iQLdWdF86MwuUpwevHe y89fGKCn0BeTov7j5rsf7N+yXja4l57W9zuASiMqd9EojuBTx70GPYVr9S3ww3rpbwWb9w1N/R0o q9ufkVAyKakjf6RSfsQwrQA9xQJtRrLK4E5glLMN00BYbknhyRoDpyyLyVaGDb+t5gAP9JQ52ch7 s2lrAm0M7FleA9l+flyxvHjc85/fn2tQvu7DP4Ke0mEielwRJrZRHa8oYC0gPIMvnDSjQ+PntEQE T8pld2wLekq/3Hc9y5oKBxPXZd3dYLcNcmrbp4qkfDN6wyAOWt5bbNBTRtMbx3xFH5J3Ri1m6+8c hchLzgapzPcX8YqX6hzK2OxBTyHKfD7FyowvDeUSv5pduRSmkyD+cKKzUysmoD0Z/118APQUA7vM pa6F8zOuFku66Rps7rijWGeox6hvp/H5NkcPhpCgp3ARGLjPVLloZi7LzIwP53yOq/z0zagaXr3U ENMIVT+jH/SUINn3HqaZPU4sMasf+e9NYxq5UDWP0G6QVLOyV2NhCBxBT+n82cZGqGEex5Bm4SB9 mv3ekHJW8RQ2XNOfT8y8/vsyEugpdYdobrEzR+FXXBgNlyR+sIWCXdDPvvk+GF9jvxIrdR2AnrLF jnhclxmQa4TLaq2GcdBX6jh9cyHbYoTbrfXhIBILA/SUjdyRtZVS1Tkmwn6e998ERx+Obv5YwQdr LUtRX7DE/nkGPUVk4F33uFogFZ1WV/Ho5U8WxeDXu5t0tcfmCPSr+jwTcdBTSNdKhsj3f91iR+jW jXWqrT3kmW1jocPKUAc3izRUbiyBntJnh9x/uHe+OZm/mjk8OsZNQBUeS6WD2JfO6+0S9etXOugp 8iZGaGTnnjo3JA1BTAVeYWqmfLnc3f0fhpxMAxdereBAT5HFsmJHXbKdIio0v2G2rbsZ8k007NQV vXk6GbVLFxZcBj3FBY8H1jWlt1ps6SGgzaSXf/IPDkHH6Gh5+hgc7ujBiDnoKemlV5vXYbtPrO7x 13QCX4rOxQ13+znRY5cD/+U4RE+RgJ6S/m3q6jSC/517f62nXsWjZ5rBt3+bmKXRYb1ITlpkxdKg p0TSJW/to5YRcCwrW92+/cDcz9VVsP+Q8/hwrGcXOlTeA3pK9n0vZzfmvxsZ5+ftpRIFVc3a12cV AW1EfrvJFG0y1HXQU74Spw0WSwYiUvgMOGh6DXcQBODqSRJ+7DTmhEQKSHvEBj3lsOC910SAS+hD l3u4UzpUBu7tAqcqlI4XdEjFllrR4hPoKeNvSsLHAgGzchxHBg0yFgmJiE1XLViUK1I9b6djYxM7 oKdcnnRBTOn3xYWLllXfshykHSklEBqFVxgxpX5ZTM/e5Ac95QKtrangOF4lbDjDVHXPp+9ykDOn GMI2PiOiDM080fAW9BQP2CThHrKOtC9VhiL8JKjUHp17AzD2o2gEcXoOi2SwuaCnvMhjFFzWMZE6 mXZwIhnAJ71qxfvZr4zJqnCVoM/aHzKCnjL/hXhQ84ovDvde17Zagd/0HcNYkjbBGZa1laU1kUhF KegpSbd1M5FS2xa45Ys7XmRJMfH5JefYwSu8hKHkaqXG1mWgp0CK8BxLGc9BeMS7dAXSji5kxndK eRUnq5It0bqYZb8ag56S38OqfNlR8vv5LFImN1qK6E7+hVXg0FulYeGjq4tbbSboKZEfMwVMGGcK 1zMJbw3aHhq8v6XHDbR6o3k50LrYXcNggZ4CxYb8ZPJu6MzQW0Ui9NWN6w4NhiOysST8fa+j1nay FjXoKVSDlQQGcHjTIWnFi0WdfCq2+RL4F/dNaifdSOV7nXc9oKekUTUOc5IjVSJADSCqMna7MRzr JQhtGu3idvMGfKSSLQI9xU8sbqRg42rhOtKK/ssk2ztTKbVp6+OPOnZfK+odVIv7QU8hmzeUm+wx 2PWOZydOWuDFpaV1cSAN4doK1a6qSI+//QV6ikNnqOrqxA/mpyVzH3mkG3I3nIoPgjumwlkcTbQP nYueoKdIl+K4uKHe2ROjpPupfmaSH4CTisKmuTTvqMwUd7oJvAE9JePEDavUp3O/O/hzoX7l8efg p0mjUw6VKIwc5j8WYhD/QE9BgDccZ+ql4i0wvhkqKiZlCZX9PdPDg5qo0vAQ5th2Wgx6ypaUxIup SUSe6hAl3Guq+Qa0hdZnZBhLXBs3myFcrxY+0FPMVJPcIBXS8pMlxMQZaqu/hCwGJHGa2ylrYO2Q /FnJSAE9hVEPqnStO5+hcHpnVTBljOJD9XpLUgDZvNcPwZ3F5oNE0FNCFSd/E+Eb5EM28J31vCd+ PEMVhii/tMh2j2s/rYfxIwI95bUxfyFH/dgdWTJsLUqKZ9hZgIegyjRC+32S3cku5Z9B0FMk5940 qCVzqkf4bSG0cReijJikDmyhg2pPunTwG8ux/tNPQbuY+PcV9dAy17PRImW7l0PWS0IO/U6Pzd/s Op1+Fz8Q9BSjQJli4mMMH4P1IiPd1AvWbF6j0KpJ7X8QRlLzHnEKO6CnwLCjb1VN0Kjb8T2mQhO8 /GxD8kvwXlgs05GBkJThNWsHPQV3vr1AvmL1cHlhLZip6hlNsgojm8Lm0Pdct5GiS/CfOegp+iz+ J1rUgjo5kdAdI74LH6yvrI3RvGaGvpVMD2nNskaDnvIDq8jUloy5sGYodC6HzfWY7gSaObb0PDBt et3Hh1zyHPQUS746yqec4HCIqUKb1L6K/t+3MmYZ6pbHlXRfTJJCw+JATzFezSbRCfPkJe/9vCEs xqH5vahRF90qTLW84LXc5juDMegp5oPqhngd5a2irnIHnDpewd3cpnrNZX5cdC1CHqnstBagp8QO BnP8NbjNeF80iFy86xnDFO6u7mfipI3JQcac5j/NCnqKbKSw9HfcEHpLHWajYGm01gTYlExyLP9/ FHMN5EW2hiqgp2TtBRa9ZoZ8iNtdVTfNch1XXYElPB58sfc7y+NsTNWjAz3l30NOwbH+bsU1FVnK 0mL77o/3P5vFqQkZXs6rtPJS8j1BT4lrcHRZqS+WoSGn4u9yoejVl7UjRuQqWb3eGjlqKevhBD1l l1p72X/RfXrLhC4M4lv2P4qLL3C538KF3mxRSm0MfLNBTzEiUvjiThCwqWTIz/pCM16/jUz2ctrc PxFv0zdDQOaEDXpKsYinLvZM1Ih1aOmiIeaqi9x8vL3YocS+y2C7vOhKUj/oKfcXCEn0hQOcb13l unb2ni4rhHJ3CsxPwbnzEmW+bHNkoKeMbqatraSxtF1A5y1g4s22BULU6Z2iZhS3uHbKGPN3uYOe 8p7U2obyxtPRkCPcimUbhbaQgGC0gQ9jDNH1rRD5AHYQ9JShwWFZpU3UQKwvIr9GHNCK89aPLZIL oPllj9ZkdxHsI0BPEScNHod+aDqxETzMffs8trxj77ttQOq4nt05Y+1tST0KespnFrrJFM9L9SCt vxY0neUwSlKkXQ6aZm7+HvtCXkQlqKCn4JnKPhTceF8zO+vksjIVeziXM0Ayszg7XOtW0kYoL3eB ntI8Uvs7olXQ983nabx6yo38F9UjjMx6SDKE7LUIS6zmMugphxw0HghEssa0ZdYMU+2QIxJmULsr WqjfMxyCuXnp/uGDniKBP1Ldr45yTavycd292uCRB11qD/pbDUJ+8Tydd+vOA+gp6c9YnYmzDUvB IuVMpRLeZDAejfoGm0/54lrNc9MPcQqgp/ipdz+2OIqMGmVlll9UjJ+jPEpcc5QF848+dT7h+//c AD3FIBmVFJfCp63zvYSXlvDhXwqaBseWrSrLc4+ZEn2W5y7QU7BICzMo3elEMcLd38TL0I95TB1y C0WKHXdOqR0q6n8Ygp6CGWpL77JGvzcSGPOhyEyCZao8yWUtzX1hxgDNaCv8czLoKcwbtJ8oo+Ih KBkUEoe+9p8JIbYvidSExStabsemNly4gZ4CLcFWZqPo5iAE48xWUSoaViCc1amJY5Gm8y1umoob EhH0FKMdzcIyT76QfWUCq3VodJJK7mhtx3PRmq+8HAnwKWFZoKc896fgeldKkcbhIEZR2r8Glj15 w+Kazu2OwT4GqWJgqYCeEgchx5LY5Gq9xqu2lYsa17IWSL2X8jvM+nXjhl2PZCYF9BQPw/t8aAZh SDtOT3fdtDNBUajsvS4iaNPiYB8OhTcvb9BTIodteu/2xgWv/kjRQw9j4jMstK/Mddpnk4fUJdNo UdGAnjL93Wi/OUPTZdN+BZ79/Be/piPXXh2VJcMwdGdET5hzOugp43lNVybUhmkdHLgwmGEE04NS l4XS0N/9Uq2jEUV9RUNBT/FgpvByTeraK1wzGk9nNTftX0d3vy5afh04pAxHINOaAD2FgeHSXD5I +/Y3Bxp2VqBd+45PZAbLx/58xZM7DZ8JJBTQU1wTGBVM6cUt4R/oS5tYF2MgVdse0FoXC1e5i4m3 PLvaQE/5JOQ1CS1lct1ntkqlUcLMWsTmXJj8r0utMZVUkCR/4RD0FC3m2h7SAcZw2JWuM7vSldhc TOoYBo39XnOqyxXWQ7Jc0FMERZLcRSpOOH5PCTpYeRpusDU1VXmQrUfj38yrZHFeaYOesnrLK466 raW4J45VRIi2n0Ngd5rdK8xSVr9YT/J2aUIBesoPAvZFwfCic3LUHQrKVJdn1KSRd/iCPUXrkcRo GhXX0qCn5H1yZzPv4fDom4du+pFsc71yXQuvdfM4T4dPUvgrsVMR9BSYHsNPtbzH2Js9BsFF/fQs GQZ0E/lwyvU492t+Ro+swaCnWN8jb/O6cjMSUeCc5jMQICrIbGlcMFqwfd3gVPygpaoDeoriR3+0 4x0jE1w4H8Eu0l1Di4RC26Rs+9ESmZqQIx+sS9BTOIxZSIaMHj2iK7BpHsfcSUW90CfyHBfdKO5v 2bFi2FdATwleVNrCJUPe1Njm/yb+qYxPrDmezOuzAJXCtxFZD4Y6F9BTCi22Dsw6p+5yswbQFG9I tjEQbDTXuumVu1s1sGGKok5AT+nBbZjGj0Mi+AWNF1w520GJOqaFnK3t6p2FYjjAmwD5n35KvQez 7UTj9ka7VKB9uU8no3r9ca3eFKwRih7ymre45B/QU/jzGVdqHnLf1ZLlbv+kdUO4SCVXrV3hhmLA j+wPFv/dAXpKlr0ENbszUfMTjhMatQGjJda53maJTziD1+w3bBK000+gp7g1ueFJ9nLSX0ogUXwI i8i1uXj9+yzPTiqrU9h/G2OCDXoKCVQSMS2jjgwcCkyWy/pZA/2fOe+laDNGK8JLXb5cMWLQU1ox Y8iUORENDJLdFVdzUrwSfB83BjGP/sIF3iUS4zczgp4ybrb1re+bkn1er+6CIPu7d8i3fZWFpUPx P0J0/EmCsC9BT1Gfj6Yj5p25mUJAfP09LWBqDkdqO/aOTMSuDH1VuzF3CvSUDouFo96AgEgoEqgy drqjIPwVJWsPaOsBBm3Rl01sozjQU4SNr1rhY0VCIVbVYBGf2Ipj1E2I6JF07/jlb9Zo8ZX+c++L BCZp1ElwifjOuIDLz0Cfu7ZvzcKwTRRFk/ON8C+0XB3oKbgDZZSM4p9wC5P6VL5glZIF/apLhp1E uJrPLvf0lGxpBD0FcyDoE70QUXO4yErw2T2rW82Pe2K9ZZMy2AZ26yZ5aH3QU/DyPr0dWHnbq4Wh ReaOIXPKO9OYmSBZpeIuOUuxEPs8g57SpR/2QR9Lp9e//eCd13ydhIjTe6halvFYBdZ8hJzfFfCg p0RUjnvF+dVBRXnBrCOPhvM4CteNVYbJqPm7LqaaTWTFgJ4y6mIcSUlLix3pKWUVGPzI0xYRjpdy HtIJM5zu4v79UzPoKYwi7nb2zMMZeMMUV+gXeRdpLCF36P2EVUmToXlXy97hoKecm8cwOHr8mM6x anQZgnOPS9r52lQlvrkZ6aO015z+2g96SrFSdc0VLEI0405I53cEGGRlHW/yWGOJZC7b6bfAd1GM oKck/M7mIf0bMSpTJiaBkOO0Zs77uzxW2P+sZEPQ1Cy5cRD0lD3CPj66dzlMmihfR0LMEI/u1Rcc 3hti6NhxQh9xj3aeg55i6SLs57TUkM13i3kqYu6AzYPFeC494ueetvICOXWGwA56yh++2SOEA8wY yTqEdfdo/JIvfvVbXxxD10Q/GaZF5Vn4gJ6i8i9yhDCuW8+4PeMpjKpMvt4r0yQTnd834rr1d8jq +R7oKeqaIiZ2DkxGBYVWFk3XLrXarAiQVajbbKY0MMhlDoyEoKe8fFb/miA4I7Op4LpjK+z0CWYR d7nedH/H/GcYqlCbGiboKcU6MfHhGCglMm6l7TGbOsUiP4OKAu+VHrK4x/EOqJ0vQU8xpswOlWd5 H1w9y00bBv3J/0qYxYbqT3DcsV7HvsvfZSXQUwidsz9IkKaTbToRGD4+pmR4yw7L6bYOvujYOURP GrH5gJ6SYmyamsgUAoU8kLqLxlyTTTBJE2XhNhy6XH8kzy/hHwZ6igvS9uYE6k9etuyFoMWI7OLZ IPUhu6Zre7nYIlaC+zlY0FPq2A0uc4pj4N9Q5TTaYqUKnqH5WFck2ULsnRKWeYLgy0FPScJ9nyaK cSiZT91n/CAfes1DXXBRo9xVZOn3zlBlsf7uPz36hF9EDo/tuYp3AQclI/P8pid1Pt3CPAEuKMWc 5EXkJ6CnnBywwEBzUCqyr05//lwYcdvpnxTLGoOOUlqsBBNvIz0Keor6eyKZZiZqxVjbrTeYJ7o2 MR6sPyTKBB3VVQnbNrtE+KCnnKmWq7EH6Gu2yRY92uIkwy3qmQbwDMsiZOZ6DH91DJYFPUWmV+KH 8LFe/sb/fxACwsrqAaauMv9glRO8e8MElbheCdBTeJj4Wi4v3qZrC/GIFo3jqkXUTba/+Sj0OFgg cUdb8QeBnvLNnKFjLRQnlmQ+uFdCYUwiT94+x5CWH8MsjcGxXoS6APSURzlIGAmr9Va/JD37vEpH FvVxU9iurWBb3e+jneGcz1agp+ThBEvjBBOZha27ZQ38oMSFxd9KipnzUomjuW+XPQr5AnoK9T3+ XRKhV0UqnGzBEjtO9OxpedGvOtOf4vOZkOoM8tygp+TO1HLxzrWrW6RuSJjsky3wu2kMYUmUnkmI f3pjLiFwAj3FulLVMKmbN/6On5OHzNauv3004Gc2wnZ7lDxricAWEy3oKdkCc5vvTA9dRZGijInk idIEC/7R4n6jiuvUHg8zGNaEBD2lOEDyukKzB2sb9v3LECHuvra4mALT1BJcTdaeY+3npTvQU5gz l0onJoStLRi/md7r22hKks/bW9b+MBj3/RRl4N9BBnoKzNLUmsMN43YyL7/Vj6GxtGPOPTwyQ6Pr D+2G3uwRjdSgp1TWB2BiKJSxYUtDQhTB9HGjud47Zs+iunpTmzt2o3GRgJ7CGaGNZ4cmbtn+3eSv TVnsPCrHuooqvuG7lQhNyt+S/E2gp5i1YHmNuZR8x4pnz9DJLRDWNXUP1TGffZCUde5YOqS7Az2F d0CVgpVp6cu9SNGsF0T04+UopLHIN+7Q/ExXyXAJ4QbQU7YqHPwkUBFCO3nWGfeNth4JLJf/BFdT yzi7Y7R437rAgJ7iHBNfRO+P+sbeZ8wfJqm0Ft1uXlBQJLFd68tl96cqUR30lBab3+jEEPWXKIVe qbiC2wpUnwhCpz2lEKGDFicbDn+SgJ5Cm+efLDSeg2Xi2q6XLsE94Bjh+75xxU59PJMpv9W5Sgb0 FMy5rNQsIvZSTZPmWz0qPtdXw8pfXyvmT3iTSjgeFP4kgp6C/Wb1bz8vJdmWnkH4KyckezfxS2UB u+u7MNstAkojgyfQU8zVYs3uCPDOVRxifovjiHnsD2xoYssYEmw8Ij2TCVcZgp7ym+TNNvtXoYK7 1a7EdjxtLiTrQ0uu8czEmW/SLf2GzRLoKW4RvcvVYuhPSOkoqIzH8nzaVlP03jftxGqvz1UWCqgn oKfYeNNhxXqa/OJdlsB2Ruid3EMSfHNARkY7CwxA8foeawl6CoJU23cYPnL5sKE6+JPzfSHdkcHF xtk9zdOW51GTd760oKcUPxcvpLDoG9YOHwYc8Dth2X3RZCz6rY/omm7+zRXB8AfoKV++pbfikwv+ uS//iY/nNcc7kJjfRI7e5za8V5Wgdw3PAHpKYMlkgCPyeRH9oF1Hn4S6TXIZQxPM6sJKgn1knYo5 kgjoKSbjDeoO2WXYt+YU5/nQtu8xJP4uo6DsFAZ62qoz3TowgJ6iU+ydxHHUkRViELf9LpmW8SlP 4zemcPOvbT/9IMQTJlnQU9Z6YZhLWC1CHzk1KuuGCwTnbwRL5a8F25PZKharjOAFQU/5xbsa3f7/ R9MoCBVjyW4omp9f3LsowkaEVkM/y4et/R/oKcLFabSxxJfQtnFnJ7e7eK8vSxrhkTdyLHgLTiU0 hy3GoKdUI42mXzBEY0CoBMNBvqfTcZYvuGUge5smu2g64bkqwwQ9RUQ4IEKF2vFJxyXksw1hHHsO nQENc9DoRPNnSqNzQRNS0FPqDcMUz3XhlSV5cZlPDMRyKNYr8nkZP3Fv2qv0+Op5UoGe4sIfXbx5 vBQqLOhmWLIyoN7gWmrKk/7vGzPzPJnOvKI46CkdfMioJ91TwoEH32boDdJEkHDGhkoUDXl6TvBe v9hKFYKeEjxHqd1drzm8pggxCKWpFIjzOmCOSddn+/nS4GjMMJUB9BQGpRj2plnIDfmKSuTdaOt/ NzelmNfXqZlsvxiH+4/VDkFPeUNEfUVqb4JdW64lcs6NMILHhUfXze+XKb8W8YAlPdsGPWUyIhQ3 RakjRqG+PkJP9wdWzTL9/Xkg7gdReK3MykpVM9BTXsIE+UQoFewKxI+epYxKWnqxMO22+49yMZqw btlvQ4NAT0mw99Xsv/X0+YlIqHWzSnc9RUvBL/bv/m/K4DGiCD9pD+gp1u7udL/inZIUjJgMj3DJ FfiPERInKs0lBoRVv0z76jqDniIpFdsn/OsdcqjWx/NxZcXqtwuOKagG+csn55HfyPx9G6CniCiG EqpiJB97jg/sDXjmjLxQPr6DTbXZMeu9MD+pzlgDPWVgJEN0/2NhhqkxTysTgzWE6Z42p9W5Rih3 OOr7wYy896CnTNN1mFg2qrjJCS0sIYz5yAYd5Le5+640/lJiJnWzoMYDPYWBLSUM+gYpHDcgAQXd KcQiuxrDuN03h3hWRTtj8RsHHugpxzeGzgfJRWbyxKzoKgLXOyJ2ClAl4ZuWcuY575/obj+DnpKo pzNukb6qoVeUjBB69mcK3l9LQr+sauAsu9OqrzP7P/sUaykLq2GTcg/+i1LoKIg+ta+0BKPmYtSZ z7k8MooKwhCgp3RKyBkVVVbwTKhewSFEBc25Cmd79nY2czHUIfcQkeNngJ7S363h10BDJ0TT5Ujp fvCZBc1LHPeGZP0UKpzPJ4K74w70FOsR3r66CrNf7w++kk84e8kV3UsWkPz7lMPKf6LzDnIJDvSU n6cqMGwfyPzbtTe1WqibCSXJUuQNDGV+Vr8Nbya9bRGAnpIq+GWZlrsu7tZ81JGolrmkd/EUVR6C ltd47fFhY1PcEvSU6xLcawp4++D7hqodkdkCrezQho2lHyWljzuVxGTzOzegp/BRNUa6Gae4ksQY 11UjLNwi4zJP90i+fkC0WNl+s0/gAD0FIgShO+ZG++B8Vyr4hnX6zwQet2MVLWarXMzHwa9rhOug p/wO2QlmmvutNEa8D5U/ErzwFZ7Qa/6Nv2hz9ogLt4a1BvSU8xKzQeTybFJXI5soDy380JcVWIqV v9nRs8oHtU4XxZegp8wIfGcyn/crSxlu9f1FhqGTgzUbPlk8ycNRJHnbKoj4B/SUtVVU18EIiij9 XHUqx/wuaL3WwIqxt5RFksHfhkW+1v/p0VcZp7uUV/GGT1qIlw2oHQd/XrFpPfpz5s/vWHXwvifp DPQUkZs8WsN17Dw1+yANva35e/G1FcH4nICgD14KG2mL+h2gp3QLUa1t3fLtVhOeH6RzQ5SEwAum 5MZ69NoJ8iQsxqc6gp7imF6jJzF1GTQjoDw87y7d9LeIcYP6ngKyqIK9y0G8fQr0FCbaxA53kXHB KcYHAREuJ+fZnnxuwbvDzCCjlGjYiLBd0FNG9yONRIzCaFHfLFOq17oMBD7z7PoOxqlfZstOcszW NYOekreBX+QVsMHjdNZZt408JP3KXFa9VNQTSvOQrSmnKlsHegq+RGLqsh4UM4T7OZSF5yd0zhln gs6xyOmpX0xaLfu35KCntDbaBkIJMd1+HUiKb4NH0W7kxOWMEK63kvsW0l5phWcHegpVYPXR2Uee 2tEb94a3AB1hUTtBs1gc9IQ0RCaK9tLlWdBTmiLGj+Fl61DunX7ykNIYtVP6vzgQodgzeBdm0mb9 0PcFPaU/Bsbu7AadQulENpuOZuMwSlP4Rx85EYns12GKTelVE9BT7vvcVseROO5gP+RFKWxB31sE qgefe8G95wqYKRff9v32n3tfJFF50a+jNvHKeRIcHeIlSMZtnIRceqv6DsK76QYUEqCnPI/xisbp 6pr9PVyJ/pD6Vxr6g1dddqL+Fq1Fzk+6OItM0FNUvfUWN3/jo6Iv1qNKR3hKWrIXrAeuQQ6EceSN fEeIOAc95YEuaOq7sREnhYUAaU55T0F9zT0xLjmsJ0bNVckneCgo0FMCeoWYeGm54ga+Y2ryYjQP oCjYBfBCPwUVYYzbvaGhtYKeki5F2hNxuiHAnO/fFnxmYfKxJ+lnex+ibF1ufibOSWc56Ck19MMQ 6mJfjRHrOsWOFSBz66TqWb5W1LXh+qqVWVDBRYCeUoqvXC/xq5RUGdkLTpWkt5I6Q6et68ji8jyP LtllNiYL9BTEi8iCaHY72nbKE5eNAu4unR6Nmjk1k1aDuwdPgXV4N9BTtJrWL51FTFaOV29KpB7i 7bQHZj/J5Nmx1iBEsHEaPlCDnnKOT8p1XbW2qixgke8/Am8bMSgcNIQyDm3rMVA/8/a3AvSUh1JI C6Ywm54hrKuvvN7YxitByX2OvBTMMZR33/qJdoVBT4HJhJmGKjhQqLrjn7s7PKn3h0UQN6SkpUTQ eGz98ifBF/SUuLUnjdFfLXk11/NtCX50G/yjO+JCqkj4rZ8D7qyxPl+AniJ9WqU3r4/PjYZp395M 6Xz+XQuLhhzVS/cvJVV/DazbHOgphppf4mNU4zdrjff6/XMPYaf/jWLo45uQPdOtvapXdySDntL4 uKxOzEbPdDnKsUuKnbyv/ndgNoYny0vmBrdfrk1aBvSUAp2xqBmNoULXdTIK9srDwZVwy2wabFc+ Pv/xARRh4gvQU1RTx1QDzcZayT1pxGhqdGUF2BJ5YFdktj/N/qnd4dnlBz2Fi8U5GzvxmkuBXeJK d/dXh4ZVb/e3ZkXjru3tmP7ad3Sgp+wz6OW1eT3TFZ6eW8oTTUN0lKjjzTsVvXBSun8V/yw7B3qK 8b3ePPQTvgK5RvxwxD+Lq+eKyMP3kRmqRk9mQ0qK37BATznPwE2lfnP1IcH2b0M47M0NMqU5eqhF dDTa2L3OjPPGAD0l6UmH5Brncxq3Nwfh43PwTUju/CscfZRItHWXiGnIxBHoKdIZVU55ASiSB1Iy fsik99Rci7kpLTrpQ3NFbQYTmI84oKd0/SjGOFHdqiMhP9tjNB2g5ebYhNsZu/OzCiGGV3btrwE9 RdTbrbGLWnIE9eZfc0egI80ILaV2xqXDx+9K5rLSl404oKc8IEaw3X0Y2gxOqwhRfK38GBq2qlcd Tas2GQNLANvRQAl6yn3rsXbmur2UoYjY0WQdpHMCk/eNu/kJQot7oTh9PCkd6CloKIzqdwpudheB 8iW/WdXbhWpfVFzd2cKMMcxgv69inYOekoikKYeq+1n5kUdJoG8YTopmlVPrFD3vFtMZ2yxCJgQW 9JTVPaXIjHJ2Z/1c/lHILsxcRb7080t3E1m32W419QjRYNBTCk7CFVIjr34lrJ3wJRolGwRqzDh4 wwifyFB5Uuak3ZyCnrJS8+fG8NJF6O4vy0RhK+XxhKNJO1e5wtKEbeZb58JoEugpLH2tcKqvzcE5 hFLm0tk+dxMX5cexqso9S0NZ0IVumd6gpxDoOLsstzwY8OaqFyB/Ww8Mp97fnGgWQv40r+jlEy9i BXpK8+fYLhO/8AOUCgw0Vhs5txg2BxbTSWqP+q9m1dv63augp/iSkEPCZvJ/Sndo4VvPdsb/6TXY ezB1PuvkLzORZs2QD3rK0nTvtb9TpfSsimaADJXMnMG6rBTnfc2aMMzLTapX6H/2KSed9H3FiwoN Egu/Q35jJ92oEabmJ3mY55s7qH7yENIUBT1FXoB8KcRLULX3N9FFH8ceT78tWcGFJZwSzW3tdevl ch3oKSFT9paRZByxWwvWoaxyybc3NjbCxMfDG3uxbHHPZPjOoKecfhwxy2fJEQrexqkv5VF0oLvU c2GDEh4/tghhZWpfCgc95bFPqEJZ5A7K3u55ucid/bzyTSp19MPNzAWOLGdFWEwt6CmhB18ZV/Dx kMxnn9N/2hjbDjDaQIpC8JS9Vn3b0HrXqgJ6yo/bw/iyEtaDAWXeIh7tJzUo/XCjx3XHJNVCn4Zd HjhN0FOMb6gUUcWa8f0ssPOab5DX9fIUNc/On7i9V2uXWLSk1kBPuUVcJx4w1EpBczHviH6QYrE4 y8uGHd1LChOoFF/mSOcEPQWSne3VRCSStXgv2l9MorcO/irpz7P+xwH3G3N2Fpy0RdBTjlCWAoXK g03qCyIn9spu7TfKD6Z8zyaapJYpJ4ItPDtATylHjfiyoUvEOR9iMNXb4QCliH6l82f9s3SaZ8uf 1yj96//0Uz7LfeKOyjGp3d893bhgElwVg+W1n0mEXp5qbCAiRPMGPaUrMA7/PEDicMWTT+aDJduT SrR0xpz3MKYKUjul6U6VLugp4wXbrR2YrXt8zmobvoUFLarP0ivE0Upclmcr0+rt/jegp4gjQvT1 4IsuNr0EmuKwefd0rVTX0irKPbNkOtqcDqMRgp5y4yzpdzpJ8R41Aue7g/2nKvSTlEy/iUUkSIQE wvYeHxjQU05WMmYoGb1705LL7WaRXr1dWXrlEj4YhMjTyBWOaj+wgJ4ydmVOssc1cEnUqIAn6mtJ pp4G0fB1zlVAnvPF5NeFxwLoKRPYSHAWKqsMSW5/ZFE+yCwqrJsgGGIvjrtBvQ80cMZaBz0F33LE wlsIDsmwg8EFrwvCWzdNPlrr/AdLOUstXBo9jQvoKc290EcY5SZOfiLjo4505FzKSpl6DDl3duN+ PH/RE1cNQU8hXIOL/7IZIEikTrUz9xQcT/AlLQGpsKejloug7yEC+j89+pq3+gyvjwpICugvy5LU zHksdKbkbeiu936T7X8qTo94QU+RCd1TKwriOs69VmbKqEKv1kDLjplljcxfQ++rv24qUgI9RcvW EF/t6sU7zGM78RQzKIbk/rHgfYGgK4QNU5yKsyQ86CmTZvJFMSizHaGytBcaf6gnv1m1/Gjyd/kX hjDZjWZlHgZ6Cj6kWCbZ5yKK+IaaxYxJy/KEle8FwvgUalH/NOsfPJkk/tNP6UrFZpaZYuXRqcFI uw3Tu30yiRASbysr5XzZ0vRwtgM9pVuy9Ry7dm4e/q+X62vtmUILre8fkfE+f8uzqK1gNzd10FMG VeTTygyWXgp4+YIQZaQPFC/rIQPnXu6CtwY66IQvsEFPMVUeN7O7jljGRDQbRBRCk4PQ5SFbk0EW tojD3MPIImgCPaVESPLMFHdMFJYmpVmY1bWcYHs5IF7kw7PEYJtmeRfeEegp1ZyhnKRF0omjZ8VP ywRzPbdZ08zyeH5mhSfKraToQ//xFK4RN1XCYiPjpXbkiOBLhakxFyt7qDzTmYHpF09I2K1d0FPw lZbmxmPgNQ1Cz2ylpX3LHL9a0NB0SW2i6Q5eK/LYLIOeInWb48cJ1eXudTA44fqZsleTjM5Jj8K2 qoXwhsGhujsE9BTYtV6LE5WfRB+5in967iv8clhmO+gKIXeNNYYob47YKQE9hdOT0r9UqnYnF55F RVqkS5do0Yv/y3Kt0IVhRIyerBIp6ClYEpvZh6QrsQmBdt7N1AzdoyTotivM0dVeNEFHsecCMqCn kMHYLqdO29jT31rz71SEj//l6mp5o1Q4Yb3zpbRFnoMBPQWNDq+fjLmfEe5ZNr7g/nDzmyI8KcJU gOJjlITPttZwAugpELi+/C0sn+/o5/Y1i5RibR3E5KZ23CvuumI+qSFE3e6DntLfmcI+U4s+YjRq 2XHU/eZhreNPBCdHiR6d8+MK4Qb2BPSUW2eUh8FA4e/y5AX7OyPXcYpmUUbVhTfc/q/ZMUQwUwig p4S9VrYuDJe5BqoVdLXF7prQBiCiiiVHvvy1z8swRqC4BT3lzkJPdKb5yFxZ33y6N0nGE1naeSdz XR0xCCs1ad6LkAj0FFUen1NmIj9BFotGIvUjNuy20vdR/cv7EYu0ZFfIHygzQU/xM/X10+u01j0f pT7MwYN3Fs238n/KvPeCRWIaYuxOkAE9ZV8ZEzbJl1rz+qxSyCm5SxO5oCzQ/Kep2j82M3fWv1K2 oKfAGiTfH9fn5hEnCbliLTP8+PkPP2rEOzuGwHmVat7huhj0FNY0kT5ZifTTQ0tvKC/ljH98ZBWx /xriSSgF6hR1ni+7QE9xs2STgEjusI1wmj9Jcf24GR4QbXzp/mej9OO2Zvfs+jjoKXkMdZYBcF9M aKv7ae+U0953hSFFqr7tibFRJda9XG0pgJ7yQwOBTHJN1ZL3F4xUJrTLRZr+vAWTJZn+0AjmzRIs uzDoKSanLWv13cpZdVh2Q5LTC92/rujPVLcI2fv/JNNGiCfygZ5C972sonfr5pxQzjhHowMJyryU y7NdS4eD4+dgiNWrNj7oKbzOn0tz6fpoN21qTOeM5r5KaSP16jz6TluIaOTBNj03g54yhVssluG6 J3GMh1G/RE+f6GE3xXXyAR9tZ6ek7ifsej3oKWPvOnS2bw8Lh/wW6zUy9e4eiNN5LRohOgPeztlp b6JjQU/pkFQsadaTDEzS2iB0n69BzlO54ld+o1tY+8JQcUXagvuffgqicC7l+Lo2HsGIzfHiyY2k mAE0jWGm/Fhk52utBCsO6ClXsMyBf51/8/1iZFaUE1VjOhjn0xe8Pl+WNdLFgFWKiwA9pT+GMQMe Kcnuyye3sDzecfOawmfN3mA1uLzIn9SGxij3oKeE1bHDyOdLNjUiLHsHCSDjPc8+ZlTJSj/09n3M i8nEQgA9xRXL8DGjo9NofRhTPu9fgrkuxZqPXMLkXcnKMBsCxz4T6Cn3flrQs2WZ34eP8iRfYeJT XC4mami+julgug7e2oTAMYOeso9guZsgK5RmdBnKEPOtWcuzku+xD0V3ZrbR30n1W4k+6ClaIXzZ qky95jJR2tnvCcaiYN1lTFce/9QZyv2L1S6+ygc9pfol17zkE6JNZRpBiPpyfvKnRC86BcxO8YVY Wr1oE0J20FNi4k+7JK4Lp9aQuRMZ+8+uBdRSvqnZkXUq1383/cDLDw96in6RQD9hgZI63sSpX0Lq U+mnSEOzjdkLvOtTruDtnTwX0FOw8K5MRdEPVrLtqNouN0xJ4T7HCU5jq395EhUgE9a54wU9hY53 JS1521y+8Un+lIvZGM5hvWTyBzGeN00Xwb5SuIkp6CnUX/fjaEVVhE92fs5TwfAdu+7gN7kvJS69 IUIvnYmGzIGeMrS40/uXmq2jtyAaxThRFXtUx3CoSfs1IOpB74psr2kX9JT3QaOR5oz4zR7FmbQf 0em/nAs8ycAtCGTlNs5XYDrLJoCeotrjnvcDe7WacQrTaat88hHpzHOJscnQWNRZkRWRPyEJ9JS7 spo+Ga/o80bXYQEE6jxaRogWK1gFLS41qd/6HqOMI6CnqKJdcgouDcO1Qu/6x7aFvvIfBvv9CqK9 fPAgP0l/ju4APUXEhtovTlTjkNJJ4p/xZuWXSnonik7z1ldBQfvsj4Oz86CnoC9eGT3ofM+pSUpY 3kZxC8Ec3W7TmF8Najzb7zyXlGcFPUWM7LwZU99cozE0NH3Kb5Gb9IWQwP+DpS1/RaP/b3vYQdBT TqG/VBnF03w5lE5NwNB/SDjuoXSZmOeDd2RD9yS7ff0Dekrj8rC17ls1gmDFuw7KDrj0fw8sfbtf G5BRrGHIO/fCSEBPed2N1sTRT7LrTv0m5bSRRr563zpRhVf3nnMFXkU7g9YX9JTK/kD2RbPeI++J Xx4wZI3PxxPm7/UVx3W9/+gu+NhOwYCecuRGO0kZm5oQj165ajMTic2sJiXR+NkeGXvxp6K9q5gf 6ClIR0ZX1qiBRQUqReMQmUcWYmlKhlEphHty+GMXCAvJ/yPrnt/C4PowgLdaWlpu2baXXcu2l23b 9pbdwqq1bNu2bSxt+X1/Ps8/cc51X5/r/t6fQU/JP+q8ncV7yFSI7GZ8KNfqcI3MC+DnX0iam+x4 DuywyAU9JbZh91pYXca8PVS+OQlRUb7JTMYQT/CYlWWLhuKbJN4m6Ck4W1918YYMnc45Wmcq2pkm WcW85FG5LK5cD2ADY3/fQ4GeMiUZbxR1d52zpPKXOdVzkEQuwN2Yyynw1nK11q06gQAN9JQnkbjb 3g4YJDGE4/d8YvfQmbRqtOeldLJQUW7iLmb/voCeEvWAocskGkujFBH3fnlO6rLL4W+EEGGg9r9s sfxYNo1V0FOw9vycYbx0Z6Fu3sUKDRZbG7BJ7XU+ym1nb+s1z3DZBYKe4vvhpf5dYW+LmU9SLmcY 7o2tFLkYCRLNwzsrGcXxj3x8oKeY7I/GRVmo6LDUDKUQ1ba++qq+0/dCYfyTmpKIYTAcoAJ6ih0x 4b6PkiG9+1hbbE41ej9D4nz2rYdIzAJyvVOp29EQ6ClymL/vrK6pi3KXzVNeJPwSoTEItBGy61RH N8PKRK4nN0FPoVkemVdm+thcuARzZPB56ZK7/RzjK2cphVXMvAGao6Ej6CnFlOTPPikb8Ui124aK JOZVK0jdSUir5qdvqU4o/XMjxKCn1DlD5n3uw9iKVs6Z830yqOvifz9CK+7wSzfAgktSaZwB9JR9 zVruW4sG5ED6RKgwUx5KnrPVKvWhdCGY546hz16fZkBPue3DHJJupKZ5rCThee+Vp+zpGUfcSQEZ vSKx7BGNeZQJegpF7cT5kEunt7Z9x4RR4JQEFTlP0Xz8A7x0/u9FBbLIUNBTYMMaxAq/oLJca8p4 M2tjrVzOtPpsQTkMkCj2M1zTFoiCnhLXshsrSpn3KgWxm8nGuEpr8E4aUW13OqSpDxKvwik1EPQU Ru3UUm8GTdPg4ZqaFb3jnI9YEr36BKsBvufIEkZHfiegpwjJMiLnI8Z2ykK25bv/bg064UdOEAzb NMQMoNjDJA/4j6cozgxV/QvjENWvJnX+9s2fJk7s8PmMDL0XcfqWwMb5mB70FGf34XuYj1uxYwpL KZ2pAqr+TOUv8e4Fyg0Z7CKYcJxPoKfMPuVJI95ukoWjnlt+S7zUxa2xHuMKzlEx55QlkkQZ5AU9 ZcYJG8Egp00bO/NvKVqHlEBiJ8sHJt1PfCbkm04xpfXXoKekeglkhvgz/nSwV/57ZrsYPX4e97Vl q8LrQjGNtuzw6QT0FAMHeh3ifDzPLB/zJv04f++5FnFrxT3ZRqScvHwEFMI70FPQpaUtHN9qhvek 1FIbVBWtFr/PZtqhPXEVGiPUHf9msAU9RctqdbM7M1pJ9mf4G1m1J791lVDyT2keicbzS/0FXtoj 0FN2f2Dz5XzUp7NiEpQ9C8rFxjNQ9F5yF9x8bcqE13EqMgA9hViaYNeO8zOk4JH5U0wG3cbbSf7F JcmLRmfl1HdykaB40FPWx5A09LOOQtRG9FOqLhGMv3xbWcRZa934rrG7eTKnSwd6ijM+l3i5oDtD 1ScrRGYJbswhu2sEUwRtJr0xrcL6MtR90FMcCeFrfw+QKHchSyL7njMf/HNb1DnJH7NjPP3DbbW6 oAh6yqnslwTW7KCrRFiXRobq0qIqXsPhXfp5H8LfHIJcFWGCoKcM7daMwG5cdIl39OrTXlHa+RcT yu+qlFKs1E9i1Ul+PQU9ZcxmaWeVjsaxGIv/8YTVZXR6OO9aeHeS1Koz4CXVQ9gA9BSunaMOe2Vs 7OQowuNgnqXITTfkaQd19XYcn5meI0EZI9BTsmrVq15oGIreq1HqEVBQynE+GX8eTkEaphz7TK80 9MQFespvtn6DExMM6QReWmu1SGtGtmCDzRbPrHf5XFzT9F0e8KCn+Cy3rJQFMoyFuRUzYwf+gabG Q5uonyD8vFlp/qOlnmsM9BQ9Qq/IayFSM4XrMetycw+LffpWFKkx6CrR69GrDbGh//RTulfZRbEc UedhwrPdHX8oMg5KSE5CoaAh51PR32gh106BnrIz6G0nf+ah7U0p6QUdFXd2gQvrRrDtpOckgmNi dEazBnrKhxaDSc5VF5Ne1HpGRU3ENG23/AyUdszI+RUDksU5aEzQU16MKh8XsSD0I7s53B7feS6I ZI7RHzlW1nKokaaLDn9qBT1lMLRDbqnxky4hT7kGftP7CxT2H3Yzrj9vBQ82cj/nu+iAnjJ91u3f /ecq1siZ0VwU+6hP7NLFkWOBFUL850fBdW8tdNBTUA7LpHWqC/8qrP6ijXj2+3vVFZfZ97JrI5kl UVEuK3IBespP/2dZ9DLzmjIus7/sqDXt2ypw9yEG5TwT++i8JPazzaCnDNd9YIYbFuqgqCuaHV5S 5IwdcuT2JFqS2ee4SGYhoi8FPQWxJBQHWUioM4pq2iXaqwp1GG6m7eHn/mH2weqeqH4mGegpZ+kc ryGhd+YDsahDxTPXfBMk3ZDxb2ybFNFjCmgeWqGgp5BoE4jLpDRKWQbqxh3+WoMde1v57uo+7/6H cuRx3MDPC/SUX7C0b7BRhgbXc4Nqz7RvDLnDNu4IMR1YbEQo51CcWhKgpxTSN6S4F5mvwS16TkG6 t6DRZugXtaRxovwLVMLIs3uHCXrKpavjez1bZeQhOZXRuKUm+vqA1I5Aw/eE7xIZe5dODpRBT1H9 rcj7LXC/Xhe1ePpBY4KreKxtXl+OFtcDB1oWbnpBG/QUiQK/ZzPNJc8wAy+F3Qer/XRECKLtcRhp WLY3i1ULCEbQUwI7t3kbs9J5Q1XIJzWkCfq/rvuFWIvkbMfaPAwyCxYkgp6Csj7cgvaCPOzCBBXx ITPj7h0N1Rw/zMvC1VMtTzHCKwLoKfB9JtyZmdP039+9VZzB3yhdMJ0kM9XU45ygaZMoE1lLgp4C q3KRGtRS55W/YN58PxxK86+aKObxXaDHYSoVDJ0OWhHoKVq87vn+e409/89sIchBMjGH3toSd4Mq ld8RuLvE4ohmQU9xl3/k7vHWtyMb9X5dmS40CF28UHKt+OtKwVPbijdyBwV6yrv8iQCdJbxSLBN5 BJphv5ZdJE2YyfzehoxpxS4Uea4H0FMGMi1/TJ4haKn96+a4lRVe0raUqsiORUvKVcQ/4Tw75AI9 RRz5vVwz2ekYAY7vwiaRh4bkxe5rvUX8rw9ahsFmiWSwoKdg3JN0fsV0TeL5WPQV2R2TZ1BWoAmR PxX3iZ/b/92zqQDoKVskEdk52NZhNK7m7aXQ6+mWVQ+MEhlQpwRu8EUHkiZVoKdoqq5HQtp1e9yX i5lflnW7f2qb5nynRSdvM0YRSSY8nA16yr/dlyyRIRnk3p8SsaMZf6IhQnrktcUyh1loNqTF6mjv QE9ZdJKpc3EjdCAiwa0eqaFIlVzXJkXTHN/AgOzAz5sP9Ac9BdaMKL42Y9Vh0VxKoPPmxYwAsy71 9e9b7BoUheCegmsb6CntC+/cfBxr1Z4tmIv4cTJIrpcuVoyJVL0tV+5i2+RV8kBPsaTgzn9Gq+cK Wm+nUfoGHX1Pwm0S1f6iL6gj/aJ4HP8J9BSfP5K0G5CvHUow6ldQ9TkK2FgZX4djwnkfcfr6aANm CEFPUSlsM6UYjh8UNBFH782mENyCW4+T5TS9ejUtmbKikQoHPSX/g9VMt/mhC5f6/FV+24Lz4cEv U+Jru03mNFFEbOI6C9BT6it8LYKYDXJ4HoqogqHUnD9PGNjQb+lYTz5yrM6V/bIHPeXWds9E3RV1 rhYzs6BcyMnP7FdH5zeH79PIPCLQbP5ZF6CnSFP3P/Cbzym2NMn0E679a+ppiT7HIw1it2NygEks cRIAPUUT1dS+JVww1UeQhazO5b3lX/lKCTXU8ZddhP7a///xLaCnEFXO0f/aFi8J9lNZ2BEgJmbT vb2tsyyKjIBkt84ZWkMHPeWXz6cXHKWyuZvweD9tp8kXzlkh6tOGpwTCv59HrUX+xYOeMgOPH0+6 iC96DjUdL2NZiqMAk9jOPoxgHPc1GIZqYI8N9BS99T8z0QyRSXJ6kF9FFSkoafo8Z7lRDRYhuk6Y G7zwqkBPyXrVSXeFed29rcX+cP8P8o/hxnj1B8Z3TeLGspwHrllYoKfwrdWL9i15Y39hm01O5zzF GLOgTuwcw6tnWDK1Wr0IwAE9JSXMiimnOXXkAjlWyP1AZeEkr5kOSQyfQh7dlHzK8Ewf9JScth+6 kPRe2fKQKx7di4aF4nC631vf5HOjZqXwJPPxHUFPiYN6MUJmM+P+dPp0UcKqbBPg77HfaaRSnsn/ 9DO75mIS9BTEV+sj3fnpF+wsPSIxcv1GvS8Xooyf1ippuRpbtX+IjICecnImtP31y1x5m5qjbZO3 RV5Of2Yp6VbGzM7GsOUBjcc16CkJ+q/0MUFtLv8mtyNIFrKNdEreJWnuYUvFwPJbDD/jy4CeYtD1 IbqWfT7Q6r4A6qrDeLMLMbEJ800HdvZzNneVQcJ70FOaXqTqnZX8XOK6rJiupGGJ60RpZW38moPW X2/Hl2E+3oGewq0bwfGZvAW1gxK6S1TrWlMKtXiyj6MRvp82yWPkrc8J9JR/dMWdmZWhUIwj/cnv 4izojAr7ERteutFNSiArdLUFQ0BPOSki65HHK9Fvk79KZOj2E9RnU2awTyP/+LofnnQqb14Cekp1 TvjRlI45X2bC7xrLrFTKE08HG1Ur9baUwVIosenyKtBT4m9unx0Y1XZuNwrQCH23jgosoUrZ+1G+ xazyQQsupGGAnpLyBYrbmTxDmrttb4R+Fx3bK+cBIs0IJ/tcS1xM9ZOYJugp6JdvjD1i96QzNedk lsk3VUtsMQV7g25QW7rndy1lLKGgp6SGBYwyXGMSP10cqq+eMjYa1G5SD5ThF+CdleVF41fJgZ5i 2okdbfkloKIki0ah2a5CdnO+V47EuSrbtj9Ojkr1nAb0FByrPywUKJTZDq4r/OwEjo23m+1D5z1Q U6cwLt+rJ4Zv/tNPIY8gsamiHA5XS6RfZtlwnj5oJfTq+uCTsczQ74Nt5wB6Cop0PnfDcbwubkZT kskXRQhHp3rpBhu++yn7JCWIiA+soKcwWLHoQTrO3M2hQdOtfHRTMD8Uv4FvzZWZrB1MvPucTwV6 CtTGmxurNNkoX2dFCcV1AqIyy2dC5Brbi7BaiWZpARoq0FP08nXSkFkSGumZspciHuTp31c9LIZM WxanPls0QKYncICeMvAJg02hzT/AX4i8HFvdJ82s7HTTX/F7ID4l/8l9E8Md6ClFX8OGTcyCZHn1 M8Uzjp5nnnGxzUszbqz2GRUXaaYbXkBPSXpWMIGJ2o9/Z4TP5u6E94x3IxhOz3+m3vGCSpysscwJ eoqGTTybHYWkwk30QWlY899gIa9qQfNSN/e+FGbJ7OyxO9BTfmqRTdlalfAeEGa0eOruRsfld3iL tsbiSAXPuk4sCKyBnqIFQybqOQfLvdQEVUiblD0/dNlQ1/hTHuVTdLtiLMQUJ+gpTwquK9soE8xX 4Uc51hA61CeoBZNb1YuCsl96qdOS7WZAT2lV4bDvgP7qj31KiVSquQjHiaDkTxpLrvkgcUffx6wS A3rK/hJSfkQVybLOzJ4cZc27Ypa0ggtPPjNq4t//2g4DNK9BT1m24PrazaG830yIC8/x/rNBaidJ dIH9AA8mWgG6HXQOCugpQSX4DZnRPgROM6nDo6ImLfrzDGTuagatep+Up9YVfeNBT8H6BuWNqwN1 yINLhPj5Iy6k3khyxxdWHegb5IP4EoT5R9BTFGbMi75lXiFxstXsn6HPF1XlC9PZJqqUv/OlgPBt gdwCPYXWgKZ21kvELRuBTJgzXixvJGf50xVuF4mVH9XpvP/4POgpK1+Qf1uwJcU3m4/ypQvVq86N 5LUWdDl/hHcRr9hogysEPUU7LdCdGbfjNFnQEgfumvTYlj5g2islI/c6A776rLF6FPQU7GUNa4hg C2TGtgDy7vBD255fbtndKKzBhGTej1pzaUWgp8zriuBFCdvOtKQQByhhniqyyohMEdcFUENKG43y P4ZJgZ4SPB8Al/+Po+ucbnVB/8uAztdsGiGSUTM5MZtWBhptZQvQU+Ba8wQZZtl/2/bM/NNC2DGk q1deCHDBiLmm4G/pclUfBj0lt0scqnvp5YM7PUHqGIzGuK8mPYWz6EPPhTXbI0schi3oKa6/hwKo ckxwO/ILq5UEhtWQ3fziGALI11WGfnWqsnX8Bj2lSAnhxkkoMuoxjBeurKadTfyGk8sMAkMv8pwZ wRQJqgz0lG92EefZaP5VrG7uzblDiJxxle77zlC97bcVUB14tcOLoKfct/r2b7ZQ93oPG/+DIEz4 iF5L1Y2huzJryq19dqN+yAx6ijc5lenGQRLCT4vK3FgIS87ekll9ipIwtXcpBL4WA/gPoKcIWj0F D76PWGPVuc/6sjMHkQ4lwUvae/0GYbwv6pjWBwF6ysjQvdPujFN+fS1G24yBR/fIMwu3pkeHDwc/ b3QktpUh6CnyWS8/JS3Q2nn46oZsz5sZHYqVXk2O3dnhPST6lh41QkFPgTdNUjRMsppbjyHXSiWR UkyJvOjKO3WeZVSYCcCVqBICPUWHw9P3s4PHs/9uxwb0rHKlTvL0oHjkVsNKyeJjaz96/n/6KXi3 Ez0s7WWkVqI56u84PxlY5rtBUjI/+7c9YzhwiSeDnrKzYTObe7EM7TOrve38BWoTPzg9XiW6XNIK Ap9IUuitH/QUkaQO5yckUir3dXjhnFF1ONgSbyIJ6/F/BM/tPb/kIVVAT4HyJDd6u8LaFYoQKRI4 RzTaZtMMHys3z7/G2cf8t9F/AHqKk4xJpW7j8575WUW9KpyMYayB2akCK6OEzAQh5jaKTzLoKdcm LtxuTgdtreFVCr5YaZ3H38oiSWhyHph4nx6S2IriQU/p5634aU3H6i+YJLWXae3JxdjK7xXmcelj F+YpIz4x9RX0FAf7D2/BkU3Ym46o1tTGw6o84iFICI7yrEP2fxpHd2VNQE9hu5Xd3YVnTsKr/lbB sVnDwCKnvWPov8uIk/DcaLu0IQl6yoDdiyFkQOoOY5QYU0I7bgBBtLlk8/Y/QQia8bPBX4oNoKf0 +Ew1VcxpTW2nbws2SymhZ5Quu3nEhDBNYlboFghHnoGe0k4W1vi4rMdd3Ek7L8ix3gZt7VJRdZ8u 2pltnKfYWMX1n34K86S3do0Z3y5+bm2hz2r2WnTOr0nIXsR4mdqPRpq5I6CnTKZLbuDZRhedHec5 sCtJ7q92XcpMUqwhHqCEd0/cTZOBnhIuVrxpchO+7k8U/aD2N9xi4vO5irO/q1UMtfB4BLvfIegp /65PdCVsL35bNoh+RTBbLjzu9f1dgq9+GWmeGmPvgzcAeooETeutpQG14F9Eo9tog9z9TANL8rlh JutjU1sc/P7zedBTwri7V+0mIK3Dlfgr26GGgwKFyuaNtXR+L70xTA/iTdKBnnIzBsVzkjRI4dnO 9ckpoS0s6S/xOOb2T6esJPghO/VjS9BTvNWxTPKKWx7HNo2rp6Oce77Kmi14TimytjT6LlXiWLWC nrIWdsXuXEcvz462tSZ03JEbsBiC1KLHrlIaKnYtf/17DPQUxQDauMA8Xl46aYZyRnkIPDbueP5d SYg/BPtPv9slBrFBT1HwfSAZhzbIEyRkIwykqlM+D+isSb77bM7lSKviDy8OB3qKhXNcmlhA0N7e 6Oh+yvEyQ7QhXPc/lc73Tzk3NmFHbW6gp9D4sWpIvHmE+dmXQBJUSBb/M6zsvshNtf+xwr1idbwE D3rKCCoM32xYHAdtY1SoqDnrJ0dffLUJightR2R8D4zy8lDQU+yJ1xMtjWvpJhyvBJnTa+jKQwQS 149d/+2EvDBXVqARgJ7S3KojnK5WKrI+MvX1s7xB9D+srFbrMQI/c0iaxEiPT1b/2U9hLCIg5khJ +vFaU4MqyXxkbpsOHZ6wdBPgzatmdyqUA3qKrq1BV9nh6oq2H16f4anqB5087+RTbXh9XPPX8mTV 5lrQU867zpQuuCGZBSAccj0cLeP30+B4kM0Zh0kC7TUUKj4vgp6iQe5TbiNrnFLoro+rujcXEXUq WnE73ief1vyCmWKJ+5/9FAkTVByGwAbmb8rhEXPda7k2VJILioep20lxse/hdnqEQU/xrSH9sqBt WV5L3cpDftShGGVjqf0ln+PGttKzQa/LWgX0FPOhcjgp9yRdfiLM4efS4cJ3+v+2WdPy7DGZTHno dzrRQU8p2t8ifWoy16bMQA6xHxKsq52WTr0VMFw6czRfGpr+hwF6CikxPxUprivNZucDvqmtiOMH vzwWGnSGDbMfKhyvxIh+oKfIyeYzoC8eJwwyi++nJK82BBI908ExeI+RPQccaFAoT4KesgufQhdn luhI9peV8vm6WGBxY22LwY8b6hrPZw6uPlYZ9JS8nPU+RJ9hRNwf1dGLuH1Ri41/UjzzmS15VHLe BO6uXEFPGcR9Cg+5Lg+5paqDmPiq0RuBWOKb+i+xN7Y4Qfl3HGYP6CmY/fkNn2zvhOfe8IwHYmOe 6pyy9JbKgqefO6fiRPC0aEBPYW9bRcMpFhxheSU3QdnpF5YUJoV8sf4QScvdJUNazwoJespTslHY /uOXKD0tuxuFMRun0lymY6tgWV/Df8kPIUY6W6CnOP0uLDfNuX6faad6rRDLN1lBH7MZA+n1ZL2l zz2GxNMLekq4GxFlYRU2jbTzclM5JbJTQIT/6idjKeslUxYTg3x5ZtBTJKHQT0rlfpDLuu2LircE ormS0+nisjkSt7fmVohgNmuDnvKXT9isnvFg4JqKEvds9VaVVVFaVW0X0bleEZNsp8oaAvSUH75v N/d/st2Lus+/P5r9oZHyU3xDuj5Svf7rLVlDFn8NeoqecFlB4x9o6KuPTlY/rDpUK0iCcDzv+rlK Fozagt3ceEFP6fmY6/2xn7OwyyohaTkmJvCDzKEgW1SV57cSeamr4TFU0FP+UA/70OMWliiM0Z9Q qOdliKdXCI44YVZWsBWi54Zos4Ke4l6Kj608F9OIUnXWd6pOv34ejbA1yze/VOG49zqh7SAIekox i9OeLLsm5V/32d2UH/PaxIR8HyNXDbPKyr9sva8bMwA9xeRW6b5MJICpwpYCMfb5Bekxx0dHvwfm 8ief7YQm6V4G6CmIOt/p9MKvJVuerDVeR/YxPhzFCeRuQTuSGUspThbe7oKeAqsRO51/PE8rvzja tCiUQOMvZ24eDZN6uYo+SHqV85r/n36KppxDpXJTVnsrrVEvvg8SyuymD8ocJWPtuaJFcYPcGugp cbks8hdXV9m6kedI7cOV9OHLToumXRQSEcOzp8qu2ASgp6RpUWFzvn9G0KD5B+lXzRPWitqOFiEt zXS0MqJV5vHTGPQUd6iQY3rJMoxx2S6bpW1fmm3bRZOq3+zQlpg/JTVWuaFAT5H9QPZhxr75JwSv b3JL7NuX8Ka53Ra01+Qmxx8hxDxKiqCnzOIJsVWUJWI17+PW4UetKPRThteocjLBiSw59MOK66eA nrK5aoklls6XMBfWCyuOs2JKi7G5kUYSxm8qgNDRl1isBnoK93IjnR0rBYMiXGVW1MnlGVaexXT5 o+owl6BT9fsS9jPQU3xPUZQW+s9Xst0fZL6LT/5JXkmI3QsfNIQ61YT0kdIyBD3lG7VARbmolL4u faFmlLooLopAvTzZM64yqvnvWoYQ5u+gp9AfOcdCKtMgpbK/oeGd2HtUpCm+ojUPBuelk30Ndexm Aj0ljDHNRY26R/RTRhWfk5qo49JoH0/8e6EN1c/Iex0N8+Ogp6wd5sE58xoZyP/+QahAGSbHBD24 RR0gaLxQWgT1btzAC/SUXJEvaq+5xTRf4mfym5acumWOTUvMyFAw691PPjt5jaqAnrIvXbN5l1Iq mVN8txV+wG1QUuHa89Q8Ne18Ok6ryeb4E/SU4cibqhPct9Neub/w13GVWZKYKI+QXRFpf7IaFl1P rORATzlF0XVNUOqVD8rpyrQ90ZAr8ioVZowXO6dBSdQ6fV5TBz3FNp3j0o6nnsmuRPIbPGP8BFmN emic9g97rOxH7Eo4zSPQU5IjU/ublGwS6S8eSdGlRqE/Gp1Pye4FnBXN+gfzUettg55ifE70HV41 SvDntObQV3zehMAJrRM/TvRQCYTtBdzo81nQUwKc01H9IVAO8bfJeXHYbLBhj7bt+huWCdXaXFhV GTbzQE+5m7qo5iT1wbhzbpX5hxRR+7I21qKloc+G9Ri/jRAenQl6Cl3xeTNesdw9XhQCLOsnmeaa wU32r0VBK3/6O5O5kDfyQE8hsNvHr4O3/FZJCW9lQHgsi3Woif6xjPzNcCegrpuQCRr0lGLT5w5x C7zmjn9vxto6P4zIBEwhWTnRHv9eZOT0Tvu/Az3lgLQgp9+fPH8m4rQOw3z/642fUMqAoZtkuCfO CTKhyADoKTelFV2op/uyiRacPqQtZumobSbuBPMFrOt9oTa5uOZuoKecP32AVxO9mbFljL/0Q6xh v7ay4URfdZScXE+QC2QcsgI9ZffOTHRyYLMfbW6d4+DKA7vKg3nl1xYOfwDBRDgeuRME6ClEhhHr n9/Gy1EfzgdCoaS448LaL/CQQ425bp9zEXqwNUBP0fX8Yv1aplxOi++x2/cYQOlu0b6XboBshpH8 PKlCdq8IeopERDlRmKuQNe1fpNYdiOBXQQwRSf61Az4UTpgYA/NKGtBTJhcVH4OLG93PFQ3MaQnw b2zYNpHylYMfU51T7rS4iohATxGbFxioSU/wJ/GD9/VRzbG9mljwSUYirA6G4RkuP1jIBj0lzXzJ sKbKyz/29l+MxF3Tm54QGXU6yn3bSiLnoAHGEBPoKaSFcOboRaN/AsO+kasmi5KysQki13jNcASL Wwp0DioKgZ7iNtrExVuJ8v5uU/D/KfIQXjq136C45r026QoHvMwbnwzoKSgRs4PVDjnfEDUN63wS CPtlufGSetjLmnYsP+emn45qgJ6CGsjDXNlXUUKime7CtisZU+TmlzwfdDxfgcZcyanc9xf0lI+i pmrtA9eKreQk2LC4xFuCZ8Ry75HERVtbkAZnRnqZQU+BRN+VUOG2Y8FNMsrsy6H2XnpTuGjH0gmS +FNtHxg4hQd6Ss5CyLGxLTG2CMd+94fisvSODQPFmzXIHFylnhuSCltX0FNu38RWiSjPEWg/TH26 rzCFH7sWR7uSSxc7xFMfD+GI5AA9hUUrJpmqMsVOIPD7L9NcXSk28X88SyFaRKQS1tMnoUx2oKc4 qgimXiO0j6I0nXw0qE3vqJSJ7CODkmBRbn++bkPMdwM95c40jgE1Bn7GnTFErrQhBcbWqeX+YN1C s7H7V2jy+nE66CnGO/pJ469xcTBsxlJiqncf7YaNtiKaZvItay80YZ3sl0FPQW100cvt+BJtW+4E P9XoXfDztN0Ly+yPWKtn2N0t9lEW6CnrWoTol2J8nwoDCWueDdGLT+gN+mTGOpb51FI4OIpVJUBP aebBmXjawPyWHFpNmZ2pbLw1J4fVKN75eL4WGUKrY9AJeorffrC04FopC6LeyaG6TLuf1VQQvq/W Pm23n8Z1E4ObE+gpIiNlVEE5R9DOWmHl14/l1gitUBXvNUuctTnYNvt8iOlBT1F2sslHMdx7Tb0r StQWnOzyHjxR0E+8wnCjHcsXt9EpBD2lCLqQLOrf+KePXnRxUKOQ72RwZe+n3OmY8PVNsKZohElB T/GOi6W48uvAX0dHGp0Or02aRdzzxqv7Kl/UbmC06m0oCnpKibh7qqjGYP4no8o+mV4Cv1ch+XpB ZcZkHV+plcAElG3QU+LLAoaqqPth/m4Tk8HvR11aK7smKouFE353zKuRLaLmBj3l6NOuTXsXB4Em TTRMYAtXmUQfD3ygMdMUxXBQGJfj3yPQU9gzS3efrp7GXYXWqN6/w3m29zNulGHvaNWgoRN60PWr Aj1FLnGYxn3E0C2fcACjf+m6zGi+Zj5YLzDEMItr14oI5QT0lDCLH0+wrHTvkiz2Xx51PC3WtZDK 2ubWdqe3cztgBA16QE8hF6/p+7safxTCA6kaD5+ZfpE0T4OatXTkaZcJ5YavQwN6Sq0+etA45Fe8 E04Um820DPbsbCEpO05sAZUgszOdE0dB0FMkm93KHN/ZflFhzx+NrerggJgvEJNgZkCJV8QQMOWh 6AE9JbgnsrwrsBwJepG6vxh99uCldJPi2+LTHlRHPImrRT8P6CmUPhlRpFTxbP3eB3fdogevqYat wZ1k5CQBCLQn1x8vXkFP4blRrP8kXZk6YzVcuEngn8HM8HtH1aZuszZiec06Y0IA9BSMBy9U9J8Z 9/K6grJZPnQt7ysqf7S73/egft8fViVNJwQ9Rc0QMRIPt9sv1HjA8PPFz80TNAUnXbqpqNpRG76P EI80oKd85ba0VwvAGD28a6ocPAvpFIacjuz/sqP5wbrjXjzA9CfoKT54eWofL4xDBf/Gw9njCsJr 8xErexFLPH/lR8NPGDKRAj3lBhf5Pmu/kWfNJy8l9O3qiF7JSrPRHPa0p11lCyd4Tx/0lN396u93 R6T6HXTFCFi4Kk77jv4bQ/wtyGy2TU6c4YNCoKdopjc87fsufri4wmCrxrC5i+VxOICdqR92jqbu WbFoyAE9BaFG87OSKk7moaGByEckY6FSnqeIHhS/G3XpLI/78xd70FP4tNVSp6PpCR6xjBpskz07 zPWDXN2o1l1MDbZUer69sf+nn6JDp4RFWxNX6OW/dxV1AstSmZW60985ezYk2276GnkIekqsZ7do CbKqj0We/IMB52UAlSMaDp87/GpxM3R4+lBOHugpEVmqfeqdFNcfCIxg03hyzFbJjCP3mp1y5uh5 YqUSio1AT5G///EnC2Xwb1dIDoVK1iNLQNBV1vgcyxLj52LYEGtHBdBTGsluBgLM144kH4S5tQqS dNpTM8jgn2mfx19HuC+HEcpAT2mJgMJT4XU//5PODr8s8s6+8j58pplZGH3DjyNRVkIvBPSU0rQD md3QlE6s68GMMnyMKnOVSEzLFciplW3BJCPHomHQU1oOS4WO4b+sz+JBz/qUC9ksLlCWZY4KubE9 H5+jtMOwgp4SGJSrjaLNIQBDjrGHHzIVK9wbkV/NXWLlMzJTuMVe/hn0lMnvcN1NMHW1pjuxdjvj NNiNIcN23dpPfsY0Le+iXUeUQE+RNXBPexUg3ZbpeyjHLKMRoYCnoDaHrPCmK4U7XRQoIAU9hf2m m636qGzaaK9JR8/m4TY71qZWxxiFDqP+l79IKukL6ClW2eZ3E4PsoX0/W4oWKtr5ZRWdX3p3N9pb NlQxoZaXoEBPIZJvhdULnZawifvVLOnFPMar3yvH+SR8KjkQvTEbwpsAegpD026+s0WvopWTizVk eCjcZbUxT7gRKUxLJIVI7dVmFugpnKvT6L7EVY/w15y93CGrBeo9hutIGSOcf9fmPb9pn7KCnvIv 3oFoIbh4FP/qTekieb4TPlJJxZytbNV81FacNVNAFvSUkDn4GiTVofXPA3gGutWso0gZrr3EW/Op OOiYMzgqeaKgp/QLyVscmowW0k794EYs+hPGi0xf4Aa3wil+LmxVD/P9D+gp2WQ/A73cmYekbtTX MQYPkcLtJtfU9R1xnVWkVvSw6bFBT/HBDvlBK1R2jqQyaP1rErFRMsntxmf/ODXk1qgWqkN1H/QU t7lGiE0FuU8ORzMRsF/TlQx3zGAn8H1d5JzwQvdDUwVBT6HAafrJ4MJfSBEScvX3QPaITm7KttaH G1sa/Uv1JrG7L+gpC1UzS7Bcio/nq2Qcygp9v33EcYKQhmKkqNxwk2ylCu1AT5FO3Hk2aYJoYDXe 0QswmBE63CqmnOru2K7UsqR91NyeAj3lApaziJgTxc7kF1PwAoIiDD6hHTrWoEPKtqKF/21jpg/o KZQkRjhv/ty+0T7cm+vMfeJbbWqfDReryQ8rxvq8zNdhQU/xZ0/m+qD2rVXqTJj3YgJnO5+0LK+j 8MxMv5W3mhMrhQD0lKflCxH93GRnpgL28nzCjUdsFHd4D/NRouGYMS2Tv4t2oKdYfDGuj3idM9Dk Gqb8nTIHoyHcsiJl6VaexcTSmfJZCAr0lM2bPe2FA98enYw95V+BFccN4pnolq19O/Taw8HfDr3D QE/ZWx5AIVIwpNTCD7Tsi5WT8WO133ZvpOLdTJdy+ZwnoAN6SkO6B1ebxPM9fjRNh+ut/6x9m+Mj 2bd236dqoQE+jJJC0FM2FXSInnYjWrUog0T2zs1MenV7e0M+tWw3LT8KTVMyUYOecgjJZhmslEbz xd2/VAcv+mb6DeFXhWjAruGEMJaRKMUl6ClMU2Q1TG2mMZxXi7unWyiwfFNtgl+KKlxVt5uJuaJH GUFPqeZCuofvfUslpP4eiOHBJ19Yb9lqtZsDIznPyCJuMCAKeoqceMjuaClx7FHAvQ/EnvuI6zpW rnSPcRl1jqSUkEThFOgp1xKMhCwVvuUfWMtis6oOEM1Hx2jL+aZTZMqyuirQJIVAT3nPMuErmCzW aWu6OUf046lN6znvln2WTKXrAGqLmnPCEvSUBVaREFrqnTePSjblY2n5EH7fx32lP0qpVUvcSapy 8vCgp3xYDv6VLupElPsn9oiW5CkWig5XQTXgg5yxWoxH/e0NHugpljQIB1sBhayNStpfcEm4KWOz EX+vBI5YMqjO3zr/vYoAPcV/DO5v3VKnB73aVyXivsB8rK2NVMTP8JYtXdEaI6LhTqCnSIj6IjEI hMU1HWFqR5bVU3OHb2It5ZxjC996OiwOWp6CnnLfWyUcCdMhUV84khZSfW1Vsdu56NlBpOzFhiZm WzLeCnrKpzJdOWe8fv135pfHOeHlFAbla1+g6L5dXsUm6Tfkf98DPcX4Woa4Ob73iKyx6UzwDN5Q z1R1zf738/pzs2wWYfV3O9BTdr27tv6woa2ExKqPoDrT4stkmvFjypx8JtxSsaD5iF8FegoWYuHH nzOOii0TREFSdYZmdD3ykLA5GxGZkr5SSfnaZ6CnxPLbcDJk31BCjAnOs3w/VDizTBb5JUrupiF7 beYCd08Fesr9L8OrYuizjcvN7X+OGi2nB1Ak2ruz6y2p3atZZ5ql0KCnlFgTm6Ju86P5/L5Hishi +Ba2RZfdHExsy6Be3p1OR60EekpVBod5Gu9pXjUVT/t9QvONx7JfbZvLZYL1LP90g8/uNugplAXz /p1z96j3Fy6rOBIw1aQwhuMig7r1sJVO2BtSh4ugp2jfq0tAh4ztlNOb8j1nFN6eLH8eRoQVpjvF bfd6qdA6Az2FYOD/cW7tErmdU/Bm/VNLAhxLtR7LS3O55idFKM7EMwTQU3KQzENn4T9NETKj2q84 lF0NoncrKe15ZocJNfT7FVIKgp5iiifKu2ZN8GBREY0Vj3CTQussWfCYGD5XTbJDhWlgag56ypvt D0iH5Uk6GRw2GdwUGpLLnSNX2tg8A4kksryhHJQO0FOO7P5YGcnmlmC2keX27kFQK7DVnK+VSUIS sitPNESorIKeMtMbOYCz7TS/sH2M2dbCAWXzr8ZY0EX8n8jPb0Uwft2EoKeUo+mlPCUoCnL4XzKh z+prDa3ROZo0sbkgfdnVlPvSqfyfe1+h48m1fNw3VRUoBQP4+a1KiZw41HfXH3hYWZVSxDxcQU/5 3RJK6nRz9Y1+pKtobop9cwOjC35HTUfNPVkFQXCMvBn0lMQgHaXiGDHVJj2qgESBV5NG9gGburPT gQ50tIzXwBli0FNOcqH+YvBfYJvGoSCqq4uIdyf3i8UV1kkPjWlS4xc884GeEvW0mS0LGdORxvdF C1JJeEvlHbPkzKpDzWE2vqSoD2s66CnRVMvxNVaNyLYkFuIt4QY/KNyV/eBxPIuih37yFf6sqAQ9 ZZks4xjZC+O2+afru8CzzRhzs5HRBnvpEChPKEFX/+5t0FMuBfHG6dY0rSJ8P5pyKcbS1gxHumvd iUt3ewzo4qMz3ICeMmHxqlWjNfRJ/12/cYeJtnHP/GzsAX+1Mn528NM2LOkW6CnmzPnmGYwGRKLC ehmTj6vLmp254fZvTvFjVoOYWr4nmaCnfLzMdJ6m+3XXMFwi/r4m5uPL2cgyJsXBU2G2Q0vCyt+/ oKewrvyyGvxui4qPjWz0Kzs0JzbVMDMlDsrWCV5LrtCYAQL0lAl2rNSFM/av2zv1ZYyTi4S9RGIl GL2wwYjON/XuK3pkoKcs+6MEeGaMtrbQf/gXzpc/fKP82dR+k+tN5iu6Tnb9+RroKXMjW/H7jO9x nmrD/oSVBJEI1rjiw3oLl6g7mE5G2+05gJ7iSfH2UQbaHuuZg3FX/Wo+p/f0J0lj0OOUE2Lrmprs XD7oKZ/2O5Rm0FaZpovvpq0E5Y+wKpXZ6H+4HcHIVKBPeA+2gJ7yvK0Df/3P8Me3Rq6p/KQqE8pS IvGwNdM79q+sBPIzv61BT5HrKC27UXoSEUJyDcSOC1b5vL7jxutoNDm4SA//Z6RzAfQUOu+Jv7Ef ES0wLc/KjlD/Cck0GBWJmd6OxRBoX9KoacuDnsLZj74m4iRAJ1vp8mC8upclQ2QCJ0LU0fSrnuYh cS+VF/QUyW6Gu4ge/B+0hKOaMlbQ5RQIea+4+o6a9s8z7O4sjEygp5iNNadeUWN4JZQbyPhspacd sYnjKZ94r3yyjcqwc3csBj3FwI96UZ/ce/l1zEpkEdudOyF4ZZKuS0bqgnbz0phn0BL0FNwY1d/z QbR1H7cGxMJU+chPyZbTIph86CQMf01kPtAIgZ5SUkQRfO/OC7VJXBwdRkf3T/9ao2ME2iMAbnSK R2a5/gL0lEcJBmMKJcVfTkFoTJMHGg/Unq1NVEjEP86wV+FgArgNQE9JE+wOEb6gEGLj7pa7waR6 CXkYmvu+ahaOdcp6sfe+lhf0FIdILyHY6ZMXjB/b3CUIN0jjXT3MmBd9S4rNoY6o3tKIoKeQ2FN+ a60SJjBhK0/AbC/9OL9bGtNI9SyhYhHy/xcBwg70FNbI4tHonuY/cwt1t0a5Lj81NomSKSrJS7op LWMGziHSQE9ZF8pNWIjkbQzCeuwYvh+l7RldLpd7WMaa0ntZibyHCgY9hbkVcpT/JDel2+C0PW77 QqMyVQKD154oD3P6ddvbN5ED9JSJFGa5RIG/lAjyWTlcmApGGclbWZr6++mCBz/KgnXP5UBP0adx ITkfml1hHntvLLiN5pVhy3t6XMfRdyr8cZryxWsP9JTA+7C/1FK8jI+wTv6/O/A2IfFq1med0MfI epAp4WJHO0BPGfMM4yevN60gFEVlRpy/ibP32XhW9EfZhT5wVh43zH8FPcUyaQSr/7vRowc8UREN 9N6BxDtOz2j9zhHfNcORgRlOE9BTQliJjcoIf8Xe1C2UhSpL4atUZLBGllDIf91evUSWn5kEPaWU q9sx+42QacHgKGHvGaLEzil8dKoIw8ci9IL6e69+BOgp+B/MXXy4i7lSnx38BGiIuAf5nQrov9X/ 8Fo9uVjzVPvPvS/P+bPyHN2mvYQZhQZI6ge58N9krBeIFR+c2WqfUGi0pUFPUb9vEiyEEPjWr+tl KC01aNHiO048TxCk+t4jEyOg4BIP9JSNSyspheYSDVtMSLaKJHiMdyTQDY6/BWiNPYTvuDDdHkBP 4aeRv/MjRu6ebBpwnpXU+l75ZaxB0Ajv+rM0xS2hq/Yr6CkhiRFcnntLEx4UIYhKDVN1jUpUw+gi iGEjlej36M32m6CnuHkZfKqVqiUPORDlwjhcn933VmNbC1iG8XlI55+wHzIAPeUKyodc5XZyXgMF rz0/GXMujdUErmCp3pqfIpKnxNaVGfQUV6pPhiKqrsZcu06pnnosO31epa/B2a5cAo2mXOv+Q4qg p6QnoMH5+GbH8ForbPeiUE3m2/zDZe0feN8Ur9Jxu4BqCnrKH6oMzGQfgxUCX/VHA5ifv6Pup61T id+tOeYSRD2XHiGAnpLorfsvk7ZUmRi3CF9YQ4rT6i2efqt7cv5gqzOwMdzTD/SUcGfPJWmR5Yx5 P1Zhf059om8b4jRVqk0189/u3meIJf7HUxqD4zz6kCnHiZ9FLjoKMm06nLw9cM6D56WTYMaEs8qr QE8x4xiU+HhrLqK/5opUQNiVt8M53H0mbchB6gpviIaYSgh6ivfYhJyskQzdc52/SxmBWzdZ+7pc +PSTVqONqqipr3wr6Ckyq+RnUQq8rN8z4DixWmqenHXP4FEwBgJhd5NhaJQPk0FPUcE/rdeHQVmN o9nJDB8STYgRLapHdYBDgfe5ykqHpiYEPWV39BjhT9YXv78cmwQ7fmWJTur7fJdtYvsXFP5x+EF6 OaCn7Ndhns/3h9SeqzGPXlGH5Nx+qOsmsrgoIkliwc1g8RwBPWWgyiRJ9l00wo5Foj676H6Z5jfc 90s428zFJ6HfzcsUjkBPWf9ct2+9jXT5TeC77rjToPd3GDmNGwOpC98IavWEjYYq0FPWMmycYMu+ hqOhYHOz+2khG4d78eF5G3ccS77bzOKA1gA9BYa0riQSP134GoskxYJlkYOcy/Lt91OatP3oBRFv xXk76CmpuEeWYiYNz05msPdk9kKR4wTaKUkp+nvwXO3DyY4x/9lPofhI7P613fRRkvH3pgqEMSbX Jv7aC09MnDj5fZfoMe1/9lM+y8yRFHcc98vvF1DFToqyiG+Nvv94VINgZa47s9teVQ96Sv5oe3jz By4Bc+qGjCgyvwmHOgl1CU6U8WLc8rhtcXsI0FN0h8kDTsZdCHiDv99yt5SUnfxokTydC46Q8It4 +sZPAAF6yjEyCYQ0Qg6hiiS3FqU2tuZeSEjdgqEQOeo76fd5r6ynoKcUpZAJ9XOXNz75ZfjcXPW7 +GnCJpDiQTRKpX8trXScvAA9Jf/VqiZgLj2pMgh3TYNun+ZYaE/a7KbYm39O7BjealEH9JRoTyb0 pv3XopgjdVdUc9dl7rBMWi6mv5ClhqgiXm5F1aCnkH/sE3tDznHaI7rKixZ6fixtUH3rk57ZXszr arSCsJIBPSXJZTZZq77+l1cSjbANC20jiR7ZfD8DT0ego/vXVrKMOtBT/uphqUFo7uehjUq+IlDG V+PAis39xltwCA+hF1z3uHQFPSU7Rnv1Rp6c9J/eQGIWC/NnZnsTkS2danikMIaNzSJtEdBTnMp+ 03wIOEu82mNExx9Y0C7jFEeBVijPrZVBPj8KEKAEPeU33N5Pwf3BMiqYABQkAovB6PfzLaQaU5Eq nZ39U8j7HKCn8CAy+bpY2xHTukPpBAv9EIwlqlMuI/JmabN6MY9BhlMAPYW3IKx9SDEer8WwO+zH 3Kc0pUWR+YQf5PclkH49+cPWH0FP8X51+tn40tyCOdKUVMNW5qb+dI8f9J0iSqHGKOIPouc86CmG Kcl/qiDk36acwhxF2GXlfMpjrUzecsviovoXKsd9dEFPOXBBdg3WKEiaVB5f4Ebz3EpJiPDrx2V0 J+RsDyxashwHPaXSNX2AHdJqLIUvy363YMrad5NbtFN5l+igH8b9adQvA/QUDV2jSqyoNjJqGvPg nlMdzGFqq+hSh19LwnJehZftfaKgpwhib3+/HGNeYBHg49mVVml7+z7oKyp9Fwi1xGJeNssGDXrK I90Fp9XUXia1HlncXSBLWttipkbBZbnd74CftX6JVcKgp8hL8+w4VMIza986WGP1rludcVUx3jc4 Z1v1tqSjmNNqgp5ywjxIc6ZiEpLUtrGeT4hhIfl3SKZu85DsdZ/LrqBvfhb0FDG2ll9fMjaOE/UY UwtgFYyoP0sleNdgVI2QXTXJtNsngZ7yqIp4a1zYGbNvSARp29FW4fqeBElFKqgsQK/e34N+pgL0 lGxKCpNz1yJIahsk8dDQF6YlqKq0cockDRPr+iA/A7MvoKc4ZKQRNrIGKXp+a4swRR8YIdfGXysu UWVN1kRa1XVfSwM9BcUIV41E5L3pA+UF6bGlofuwiX9ke3YoZPlzcelYhIoR6Clf0cvUvWPSHxOj VrbPYGLY0yF0Xw7Rf1fw+6JWGbxL2Ac9ZdGBbb63Vl95kiTjknVCfe2j1Ee7iDOtF5XCbw3SrkqQ oKc8CCSruuIdZtxvtaq9ruuiO7jQFMbSqGjlBBwmabeaGIOest53ygwrKZ2azzbI0WZ1pD3Q5A8f jHNNFGpSrqd3OnoFesqJ8A29mambDTxTo3z1nRZ3RhuZsFs0FuZa7xg7USF5BOgpH+b5Fz+bSJHg jBOPlpByBaGtYmugTsbdY/ElaxuEE+WBnkLHTKUwrvjMnRBa4VxMGYAXqMBwnwQhYH2bH2SXIoou 9Z97X48162yHVlyNtF8zRd12RN5EflvPrM7L0bv14geNbmqDniLEseP6x+Xjst5rU5zoHqVTSlRf bI69hr69zVDUZtFeA+gp7nN/dOUSdvPtBGi/NN3+ZSDJHFxuEeuvs+rZmLHu/XENesrX5TSZoKWf 4RBnTCkR+eVE4+/U2SKMJk/wa5VR4gxGEUBPmenxqev/JdX7UIcCI+EjV5FfsNoh2t/e7vqsdeyZ P0YPegp+P31ifC1JnbhIxPQLYgX7gpXfQut9U+rmxTiZjo57B+gpKAZ/aDPux+w8CRwk7yOmezWy B9WxDpjqaR0nd0mqPIVBT4GhNopXo9FbNUBebzgZ30z/0Cc4RqCj40bdU5EwwrxQDHpKC2Z+vbMC bYE+rfynOrlCj1YNskU/9CcKy/Tn3CD8s17QU7SbYQcjIfTI5tYDrPMPNnvexYpqD7JjoJFhaocy hmrUg55i0DagqBeojeoo/T03oyoEMSWeNbS6Ak81MfU+KlpocAf0FJoEnb6f+swBgcH1HfbylpMK 6hi5Rf4n/BM27G84uBNXoKe4ScCKOS0k02vGfBe5OJ7w6hoflfz9+MUCO4xY0KxsAxP0lCB6Z7NJ DOLXMY0qk4PdLjyoOLq2ki4U3TEtIxPZq6d/oKc0zXH1lRxFjbLfGw11XaiWfzYT3M+GcHAITEp3 3Hk6/c9+ioQiHs6op+iD8t1PKH12fdxVJAJUFSgyjkwbO4Sv48FtoKdEfRt8VYohS8Uv9eWshB0O RC8Jz6Q8Wntb213jwZrW9AQ95TvFz5cynxKCD65E8ZTfo/zjknhykr8LkmQbRZ/C3rdbgp5CwSMp yoslUCs9sk2+dr5a2PanMl3F+dwBe/A4nr+7lR30FEVfJHnxYCo/TquCfC1SMdhlGvIo+8iETZpY 2zzEX8ZqoKe8u0u0TrqfK57Hg6ITio4WCBwwkHyNTo9qpTHs/3GiwAN6yhf4EFwE3Uy8LoO8082D EO7lggurXdbgj53sZFTFjHrCoKes93MfMxy6YvjyE2wWULS8/5JjeKCPmpf3NQ5xTb8osRP0lMrn Q2uKXwxKPQZCh9ic5n3VtJCZEZ9Ecsb6yLgdCaNLQE9JqYBP/CiTWSRtiz5Mm2pzifrc17GfZw05 Vqmh7yjQ9/af/RRCB/nZpoEW83srUsWbB/b2WzY16Haflo7wZlfxys5U0FN0fqhTyI1VDHmfCC2W dBf2iTEucXmMuYZCnr2KlXkgM4Ge4kMcrPnz+KFJ1X3awTHY0jWy1jeAg3YkNlH7KOV+qn0E9BSj 1aTuEt6051ztWX64ooBvxw0xzK6PHI+MBYWfYoam4UBPmVcRc8kKtspJ7sQKDv8Au5p91kqllIha GC7EnmCgkgQNekrx+AifxRrnTi0cyzJ3SRsfqlmMgOpBw7V0F9M3oj7bMtBTNsecIb/9kfxSdf7P +U/MnVL68ePKuSicdrw/Ulp6DEb1fzzlj/ZO+nVfh3vpp1aJfcXCYkHIv21/PYLQ0VJHn37J6YOe sn07su0tN/mEzgPzb5NQgl2Y2GMp3YEBQXPBsMmnNfYQ9BT9/mQZWDKFmSGyB5ciGj0yPGldcTID MRHhrs7KZ2lCYtBT5DSr+exDh2Dma8WRBo/jWkwvB+W1s2V/RbZQxq+4Q4aBnkJKAVldVZDBksfI odu9y3Ogw6Fa+uxnkfniblEMGfVZG/QUlbWgZE/JHLKnxb8Y+9gVXmYDK3b04g7777GNj2wGpx5B T6kshelRWIvQu37vOVk30CBhKp+Ydn1gsu4cUxoUHCjtB3oKXs1l/c87YoxDCUv31PVzQdKA8RdL wvlS5DTXTBLGeC/QU3K8Xb++mnqKpzP//pf9cOmz4t2pe87R+gHLmdeyx+1VE/SUeMPG3VhJrmHN 4bIbjxvyILnEe9yNWk5GtRIM4WeONgHQUzqmm7+h4PpCn/9IuGFKrEo3auG3vm+JeB+MB0e/ww19 DHqKWu487JXYcnlTKC1E9Z8tCu/FtsApZDSd8isGjci+cQzQU36UFYQFqSITlq6itTXOt+ByZDfU 2in6kfOw6//p2BuBBT0FkraDqr5ddaErxV6CHSbFxcKzD6K4vmNUKWYP+nd4wDvQU1QYNtJvXJwL XXQjIn+xnD+IreAXcpQ0fYnUQvlQBJNBBHqK+TFjRepvR95aobSb89CFXHGvTtb1aXM7VtE47bP5 9CvQU7Tox9/OxyBDW3f8lE0lzjDd+8uINfbim3/ueopg/mBZBz2F49OZ4iH+18LbqBpRmiEVtLDw 4puIFo0/GgNwvmT9ZlegpzDrpsUwoNrx4i69HrkR1Tn2ccXV3hXNHA/CllVLT/W8Bz1lZyNH5e3w asUreA1mzAXK6uenN0GMF03VhMuunqqKWkHQU3hQwwolvzbbQk2Ih2hPskCmcEik+ECLQm3XBIpC G+PzgJ7yKisTredSi7eKSseXQ3ILM6Oz1MQ8N3qyfzdQb2Eu8589ek/72ukR5F+0TKfMiZ+IaiYF 0Y+lJraH+6eLNKUSq3+pgJ5iW+I5UTrdMPeISDVHTxX9JZvF371+LghPSemv9zlEyC/QU2qV1V4z 9HjZ8/5H1j11h7ltARgOm6Sxk8a20ZhtbNu2bdtGY9tobNuNbZvnXH/7/v0Ba45nzLn20IgNIX58 2sy3U7iHFnHT/82bj+qfMgJ6ytSd8mJQwRj1APZsq5sENLEpqKgYc2BDcjNTbhMtk2fTf/ZTNtoZ LS68NaB3WArQcsbDEfb5xQWzHuJVLpWzaKaKX4CegviPjUEBTlj9KoXZTLKOeILf3mSIJ5poHMzF WTJK4cMM6CnolabYlCrmH21/GRwX2nzWZWk1ZxmHXdTew+HP91dg4oCewnlvgOPuTCOyMKRQxDZO x4ARMZOXHsTGXIQtWnhCf4IL9BRiN4mni/zfjFWYkXCN0FEfifvYL47XXVulUS1zZOF0mEBPUcGD yAzqjgdPOl1PVd63f/7+9yeJwo5jaE6TyS8KJ9cyoKeEk4Vch79V9TmCb9qvwdkUDwz9RvqmOCxh jPcHjz6Jlg3oKZofpd//bHzuMoCMhcw21C6z13DpK2EruBCDK4sqK5qzAD0lWDWGZO/romUZLORh QwKF9hfdT8K3fzucO0VxPDLFLZ5ATwE3rhV2xl0vjeCtM2XfapmXOxAQ2URdiVJ4hUVKyL+PBXqK 2xglFT7Fdd0OBX76tUGBGZKVPCUfF4We0iNqzR73xw+gp0gyEWu094I8fV+93GgwjZmSZ6aqlq+N MXIkA4PLqr97AHqK3AUu2rUe042gAwbBuo+E+idb+QaMNbuJsiHCpjeuMwbQU8RJ6QIXY6LAzFOL p3ZdaCfYw8+evyrd1Zip98gf4l08gZ5CY/K7ahfSUMdTkwK/KqjnYuwjqz7hW9X9PTP0uLyGkBjQ U8w5uAXCvuZC1Ac3TDEl49JYLazR3qR3QXuCIbvuhN6dgJ6C3PXZzSPeliB7J6WXAE9hBd0+fqOq M6hl7vQ7kxY8XAvoKQ8nPOvWabpbmnt/7TmVIjpsazd/XSz3oL5B/vhSipnQAHpKiJ3LURhTJSmo PLROLm2+wPF1ogLjx5Io/PnxUCmD2gfQU5oP+9bB0cubmFUsm31KF/Kn/RC2afg7nZJGzmEU4kk1 gZ4C/ygjwZCHM624xYlIqmpcMneTw4ugihJBX07v+ORXvQr0lN+J9KgpOd8cNYfEjHP54CLoEyvm wv+x8ar4fdgucVTcAz1lSTPuhWZS48WZs6NBdkeDkZYa5SPvNcnTiGJ6dH7qeBzoKRmHySIhB4OY cluXKvNsZPTBOf6tQq/gpCpOdLsDnPOnQE8hMjuFsRHJLI/N9NNitBdzIr7+jSELHreTmYRe42CB cQP0FP5ToeVm+IT5oKF16e1iG3rWSPcgc2LjRUheLCEX+xxzoKckGPpvGn5ZwOI6pXlCuh8o/xLE iSnnUhWlFn7Jb9PBogF6imOxkVDm5wRCjSGq5YcuOoLH8FL8YafBbSQYyPx4EPou0FNeuiXmqB48 AviyROBnp/ctiOP8tNtjkpCDxlEPLT9gtYCeYkHxUXE9zCPyJ2af5GFsn5dg4mASXmZGn5ep0P1e OhYE6ClHUATlJzXS9Oup4wRY5GD5nFzRGsnfC0HHsFRdcl8l/vMfPSscXKE2pbBd15RffY6RsKoM 1a9En4Bs31moePme01wBoKd8K4QSJAwN0dRvq4VysXWLWs3sYtegvPOz2K7FJwdz3wV6ylYJfjhm 9Jioc1FxkkVtqMGz3YZFHdojvnxeHX7VcEAB0FP4cqCv+HcEPVo6r7muU69wFO59BkbJJJtfwetH L6jkEoCe8hGsF+WVTzL1mg7Rmqz8sH7fRpUiySJt9EcYUpbXTEwR6CkdSDYL7hp8iMmGm33hVkod fB6rOHt9OAISlzVijBsoPkBPubFLX1p1p8ph7Xmwx/DCkMDQRURCsrDA7ePWAP24h3YBego+IsFz N5qFAiu2ru5KwvC/Zc3bby5tLYm6NNxWEM9jKEBPwTAZWfQgsHEOWWj6jt8DOp4AIzbBXLkocc0O Ko//0JYN9JR9g+0jCBCEBQRirfVI2wfvcituoakHaM9Nb3PEW4+7NqCnLMH0bM3aUxNik+UZk2Zp B99dls573vqz/mNFwMIN4KwCekoKKWn8XhK7q4+539oyXLGp/G1dr/oyoyH8klVNaa4eKdBT1Arg XRc7tgzmkEb1HCeyJKxFWESp1sNAMVArFlJroYeBnlLT6mbNTqMnYcwMuqblQuCx0VCv2Rf0lOQG OUvjcSO0DfSUsh8PI1klSAQHzinzkUgGIZkXrk6yrVXuzWWQ8QY2C4VAT/n6hsKmSEOYn5n5xQlL MXFpEThRebPiVqtOLG2nrJg7B/QUo9XWu2UIUuN8Vk+7roO8ZUceZV5yjYfb43Gs8yTn/gugp0j+ dWB2yxiZwvpdjjHliUklI+WipfxUwW/xO5nLu/emGegpx8kgHFMRT2vY849IiyqvRFPradhV/x8L jHwkt6cSr2KAnqLBbB2/9/klzWmlKq3gKdDyPFb52DnSS//POFzwggy3HOgpCTmqVjhPqlMrbzPc oA41gSmoGdKljOWl9PtbEXtGlBJAT7ncthLDkkNL6JtU5QI/jtQ9b/xzYwQP8gcU/zpy/detK9BT JigR3NWRz83v2ROxvUlE5cu+ioT0UFZjtlUw7IPx4i2AnqIWKjiX/5bX5xjrHeFTFH/LiFrAy7jf iezURK1uE0hGCPSU7i1axm6fZcLBDw9YEx33FvBEcc7eXHBaZi/hwWkz+EOgp0io93LpCIFUH6KI WNL6o2Nn6u4ddn/Y+hBkNQXpS6Z5AD0FaSXShy6rJaV6Y1Mk8KbvIzQbYVYO7atlIE3KJWIs+D/3 vr48hfctOAsD0oqczJ65Jn+GtobquI6sGW9FZJUU5bH6AT3lXDJZg/ivIRK0lX9Hdsik9Xm9Qk/3 phvF9JV5a4VipTDQUxrA+I7YF6sDnXgWblrPaygkDr3/gdJBqVPTSj4+YBBuAD2lPRsSTcZJqlmL cVcr1AZriNCuBYaeFl9cM/HOISFc9D/3vlw/Kva63vj8XYkKy6Y7mlCls4bfBC/ybA7p7o1CCXCJ gZ4CocUoj6Q7JGovQeT8DwcPORj7lVc6jLiOldFEhMpFWR/oKRfROt3BSLu7r+ZKLSIgZ6bjkAGu MI9gsgMen3RGxv1tQE9Bp6ZAdz9X9CUKvbhDGIPZprLOUz5WdmIULIr7BcOQ+AX0lAil0xqX1xqN ApgtpiEX0Dzizg0TQ60fapQEXM8JJET+QE9xedrndi7OguXiVPvR+tOPJ75r9odYbbcjoa+XpF93 mS/QU5pzoeovUcxQKxbTlwWDR3mS8IPRYoIQNiRRlSdWoc/OgJ7CIS7hMJHgPkSgD8HzzfcYRCgi DPTkPYf3ZCVVUngzXgXoKdIRuRV/BN58Y/vyQJNTvwgXr90IFXjcUbXQR09nQlW8gJ7CSjmqlKKW i69YWQfjj0HLUVyhX7A+jvI8tk9E9rPoNRjoKfr+4P0hDsJx3fFm5W6VEfvgIthonDpMPQXjNdEr A++zQE/RQqA0okqIP+DNQGC3D9IlMtUEZYIzFB6elcLX2vGOgAB6SvIrKrMJczL+GpxkEuUV12M0 YcZr/77LYJekauA0v2U30FN0B93W8rmcOKqx9LHzMaoXmpVjGlKHfbY0X5P2Xqy+H/3HU8IIJkN/ bvkvzyeQ4iEkTCzjcBrAPQ4dsteJ3TiXol8BPYXd5vEUU/4HNiKNYdtG3Bt0lXPxCMJLUax24ajT eOcDO9BTvhqSt1FvKtowVsfgPy/8haM9y+3jvXJK3KRdp0QMyZ2BnvJYDdIZX/Htj2WTYCzoaKyw jdOvpg2bz/B33q7nYipCf6CnsCHj0bT65Gorcz2mX3mlpmJHkL7qni0kx3sGy0FJBBAAPcWNTCOk Ad6Qq8iQqG2TJ7j5qi1u6xXJUbTWiVlw8gbVCegp6ZIgEMu0tj9MUe/7XGfOI71eiEWrvBIdUgej Ple51QKBnuI6VHK5JCZjeh+k78JVRWIFWfIzG4YYutJ/OzEBF+ZrA+gpYO2l76MlBMMrG5uVO2ZM pete7qFqApTVDTZU90SId3xAT7kzlLDHvPIrGCJ0T4rUo4+7dLSl1Xg1dP9+xuyZH2FgBfSUComI pXPvzwQ6eecqtxeDpUZGOzeL4h2lGMKCyYrJlA6gp7wwCgy8i57kCPBrI48EM1xj1pqRZ3hDBH2V 30Gyb+g/AD1FUvHgvlJTAIvTf2XRavCs9bT5m2VVc1zUZoOn9cvDBAXQUzZ+c+Yd3xrCToWoa05N 9cy7VHV/ULmzwFesKt+oK5vGAz1lynfJZItDe3gpPRzP6ghna+RzuXBscbZ7DP9i7Zte5n88pSwl cZm/Oe06/tA64UL2F2XQwmbSRFcyX5FSaCMTDaIo0FNsSn5tQRKxoOSaBiHA/w1Uch9d4jWUUf4u EpyrKu6dsfGf/+ijFq9HJtDmKZElrsErjtZ+rEWCkAmboxchVK+Xarh8Aj3FDSJPt/l7zLpBYq+N gwNHcGT9aQbyvhrx55xxy2NLiCrQUyyQ6Rb63ZJxFDXDKDuxhS2pQIZ9iAkxz3zRB91+xEooAD2l cdLjnCF+JhHavFpTV6UqzMVXH013KueUfMIDxaLJzR3oKaJj2dVaGKBgcx6stD30xLJ5NfkWh1Xx H/+I+snExMW6gZ6CtN1PGmb8wUHvPBC9XdQhcYMmbIBgaem4ABo1rQC9FwL0FJudDNEyyH6yHnId 2zeZKJ43rbdy2GiWhYXX7GRQP6RCoKeERaQHK6ldQRXS/5zY1cplvp6P0nxcCEyzkbHBFxbOYwd6 SvWeRc5h+6BKkOXa14OX/1mlxLeFB6xGqiLuQd8sOpsjoKcQwTTyIh9R/SUJgArX7jk/wl4iyqLh eJH0R212weNs8Qd6igLkNwgp4qgN+CFiNG52HiQ/xb67Bc7xVUrzTeS/GWcdQE/h6Z8NKrhfMKUd 5tOgI280SVZrETwyIuzyGQ/IViR4+wH0lG7lJMHQVW4OmyiB1vsDtXQINCwQs6DDRaEKq/ojtg0h oKcUqowxqeijNNuyGtSb1GjHeVTFib1JQ1dG47z0gxGLvwE95YVtmXIGy6Zsu+9FPJ6nUX2vutJc D/tJHeMwSat1dw4S6CleOw9xyplYoROTX5tBu+MVqwh2fqgIsYKUkRUtNuvTQUBPYSRq+KpsWH9w EE0FSzo+oKl2mbEyfUBVprOWgl5sng0BesqlsKx/XfJvNh0cgkJZqjaWqcTw0BCMN5fgj79lN3VX m0BPKRHQ2MHv4FL/ngFScgOFu1cEp9i49YZTQIm1A0IvaDoL9JQNgSkJE+UPCf4TR7x/SZvInYQw pJn8jRmcaSBasCL2kUBPGVObTQ4lYFYkRgKln/7TesA5b4cmmFT8h71EXgvTMXEU6Ck9lwQoCivh bXhjbJitVcwg82LyoA3ky3l9OP69vylJfYGeIv1Izery+nOkOgSbQPj2a8lLVMSsQBZWPcjoGeGz lFkS6Cliyd/AqnE/138MY4XNMi+jbXkrNuHrsG2RyxnOFrUXcgI9pWU9Z7YUnYw6JZrpMfSwruow v2Dgl5guZvsCe+un314N0FP05HU4EE/I19MDK18vCijL8Coxy0KHwRQouwfAobnmT4CeolreKECK Yesjzd6zz96azq/l05ePXwOViBOY+Q+ST38J6ClgNNREEJrM30U031yyYtKUWrEoixSUcTyGkBy1 bz5oQYGeMugMZZIZR7XigkLe3u6CrH9mwL2iEVwbUt0i82wuZBwP9BQ5CSGy42pUETA/PYEcass9 c+xDC1Ovzk8QdYYjFknMXKCnNFMnMFgO1iYylHlDIxLp564mSt6e7JkbzMsYvRKF4CwAPSUBYpuP q+W6+/P45CQTLalJnWX1wYZ/phtyxeripO8OFOgp792eBDFFOitofOglgosWkrkCDV5hetg+yCki Rzn1lLBATyFuYDTCLHZzhxL+ILWR/vFFoVfuk7lhTXkPyyk75QVGDfSU4isE30LEx6XuFPfCcqF7 ruvaMfUg7FJ9ct5uGHL471VAT9GvTPyDqvLDrpVRVyuWrM+FsdGcyZyQJRHdI5ain9ZqDOgpuq+R DA43n/BPMKLpncz44PN4AWJEFSBDlDJ2Q/W97xdAT9nK9tVjCPRFuivW/Cxm8kXF3x/4KReS1vS6 d4cELXYLDfQUPvcuQ1jzhZ3U/dHFwRkMlJmhyJbV9m7ZM0uS6/1Yt3Ogp0yxIHxOUb/9YIQj8Z+/ nLo/etDte73G3fmGZ/1YUBcgAvSUolIbL7ifFCnKCe42XF8h/vuecraPbWQ16NGw36RXY2GBntLh CvczF1TBNdZAdJMgTZfqXwKlMShKEnv1qufa68p6BNBTtvU0C3z7/MxENkHnD6ASp/z+wFSCbQmC v7QxSp3gbnwBPSVR2aAAmiC531kpoY+iXJl+4jooZ129j4ql9OId7DuvEtBTSgtVPbkML/8RFuoW Ve7M18dHwssvtCPToPeFr3zNYLkDPYW/kgWxwcvcrAnxuTj7JghSd1Ps/u83aNQvpxXSmDoHeKCn 5LuoL0dtqHvZupiIISyOoJ9n7nAdTZ/LH1JhZ17hIeYAPSVqegK3fEEEpcE0TFU1Mbpgu96bwRaD U/+TPpVyOh4XGegp0Ou7l7N3vM6666Yop2c11izlgwSsOWFFhgh4Yfd+0BtAT5kJcv+wA21OCuzY sZ2qnKVqfvKuOSZunAZVUiyEs79fBXpKk1pDKAP943n+S1wW827einbdScpNsFgN1Nw5Ko9WegPQ U4pNi3Fxv5zxS0YNNwT4Pxpl/oK/2vF+d5d8Jk6/7popB3rKiB3imDO9Nk4VC8LGYDFj73wiwhYJ OlPtr+uDK8SzCHegp/TCIA6XS6+94xLXJ3geLclQyHpO5GMqxy8Gldrw7u5i/8dTmBYSGuhh83+I xiHPFVI9s0KqPuxYf8+1Me2x/4WKJAf0lEEBQWXKf0N32OOe4EdjiYpnvK1cL0KTyuChm4jHyR9a QE/BYSuwQGX5CNvzqyJ2NyX7/Vzr+CXXP7o9EBYt+svGkAjoKZbxXUhK0V/sAS5YYnQ52x9meGEo A3mP8DKwXFG+hzK1QE95xzsbySJY+bXrQ3xaIKUGqXezOtjeFIW9J8uS96QVogz0lNC5A+RwxNjv L7WhG0P1TTsc4tE40nr5tYalKZzJqucBQE+hs/SHj89kJVv2GIGH/uRMvtWV2T+/SpWSpGjhcKio PQd6ipsFvXXYfCbCUKpLoOukaP93zptltFkWUrxKqw6UBNEToKc4XPGoxdO1lShpfcAnDzBMYK6Y i+V6sWzivyw5FWA4/AZ6ytK5A/XIYDg71t+FuLeaWI3xgVyfQsQ7rNfMS2QjbpQdoKfkkbJnNMEU dUJIz/+kMJJH6g6T8oIE6T1VuOpY8DPo8gZ6Soi7136LmJ7Uk1Weiao/20FY5DqctYNbWUbO/2dA xEAGoKcksDxtXq15/jJY5MAOFSLLipGVM8v+OZfeZ/qZyCNj6AL0FJ/R+W22741ZZTfpD+kSnwHf VeqOUzHm0KCGLAhU/HjPgJ7SqmFDPf9TN1r7effkQ/qWj8IWkkxNsrkosP1DUSrwPOg/nnLFZlN4 yrokW95kRSecCxZqn0TF9TXZVUHyMRPBqFsF9JRir892jBSucEFhV/QzDRouc78P84StFt8qE5iT eq0DUKCnvN3KJxrC1QkS+c2E7UseMtgU8OZummogNxs3l7o4fzoCPeVW3ui0uK72n9wCWlaYp+L3 TYPxkHcGcUgXWVUcn2HKVaCnIB2RSVeTPX/gKLQ1QmmV78RV8t9PLEYLPMH0hFuRC4sAPaUjOiLS PKaPJUxcWl8yazg72WfoU0RIsozkwUvcVq9GBegpevYXTEXuT08gpngy7Mv9sajTr8e9gutic0Is UXjHkCtAT6mUzO1Cxd3Y8DkyLTN52CWyhYiG+U0j9HRySH/esAKRBfSUJdw5T1WjVsX/P/xJlBTB NuKs7/UZms81Hw7q7bKqwcmBntINOlqctoB//0sDqzIlPkW4s1qULVjt8jncE+64hx7TGOgpl9rc mAdT/EaN3G1uuP+aIkvKReM4vSpOtub9eu3gbZmBnmINjr/iLZp4EF6ZOgUD/VaqHoZyZd8aAXEv dVxwEMdCB/QUYSz6bwjse45NoX0nMvCEHTkHdhXtjNIzkevhPq50v+WBnlJ/R36jxYazw+yf9w8m TBmsaiKCNuf09nX0qM0zROAVA+gpqnRcObJ8p9Ls79kNM39tv/q/psAfYTgCR/c6pssiUcuAnjKJ UBp58UtysIfJQ1lmx6mRJvZvAAge6nmQGR10NzELLtBTHpNqtwJDMfjurnvJ70k7F+bS8w/z1AnM fjuahd2C8qECPWVBnLox4lo9RF4uSXujjjj6eOXh9fh83k7oAH1YUjCQEugpIliQH9QvE5ZgnJMH FXN64vv69hIgPhKeCb1YVtWQjq1AT3FF5/meoU1b4bhrjgOl1tg2DqbQEqxrjd/vJP1Tmb0BG+gp pTY3YS1471fNR5s9O0x7nXHge6XST6s2ipOHUG1qf9yAnvL6oUkcfRmWWYsXgx452wET2EpBZc49 U+0Q0dt+kxiTBfSUuXB3aoettKWT4xOsbVatiIm1Po3MGwWSj7Yq4pVJXl2gpyCB13pn8Hg4u/jr ys9aRCjkuPSVMbxahT7xjcHR3fjTAD2Fmsc8wb61zCixIqNQn//fgKTEGwrdsNfPvIKw+YjvKh5A T2lg3l7BTrRqC7URn9DrfUXE2xObYJFbxX+oleT57ZtrDPSUalTctwM/bA5DubCzXjQaSXYxcqfn x30Fvw14dKMnsxagp8hN/5ngncfxyLRn6Ddyhfju/sSgC4pfdv6HWyEOIpJnAugp2n5rOtuPWHa5 qxzy6w/nvOGtkejexx5lqz4kV0GfN3ZAT6mDdNUKkO1j8Syfz7Ac9r35zXsRQ6FMinqP67jt/1FG AfSUXypbyiwIitHl4MNoiHhsc+OSYsfLjyfMtOpCFN5RCa9AT+k5v3kh9woTgErSBgtEYZype0Cx I1d3HU13P7/AX7faBnpKTyKLMIhq9ncnE0upaT3BQgVkOJRDCDhsR/hf4EmdLb+BnoIZ08g1bX1n PzasaPrCZvgWP9t9+7sbprkro0gjJe8WAugpGwEI7yfPncq0uDTgnMiLMB+cnwffyV9JeGo+OmTY 8H4BPeXdjb9irSPxbzaZphv/dr0SZMTZe/9FRVtSxY4K/L1YJNBTOrR4rxsqe/llK9B4c6zzDcUy FHwRX5WEchhje08tcjiBnoJsTxNT9I1RVFufRt3AHslsr7d367d3difRLvRZhbcQOdBTpuop0tmY mdqIDR9M2RO/tZdXX5/2biuidZyR+A0HUpADPSUJ714teP7MEcmNErqy4vf42vEry5ryaV+8C4zK kHkyDtBTnn4TmLGKXTXTrf0WuMA/LG6GIhGuaSIi1sTnYO5UZ70AeoqO4y1upb5Lz9YPDWqnnzig S+gGuJAm1BQPOoLzsrWoOUBPaRFjVgbBAMU3P2Z6LP6dgGolT1Abpp6i09Kb2Ivh/v85HeApI0WE sBn4YY50a7NcifhWt419WsdHpTMokaU/kir3ofKAnpL7JLL0PGAO5/SHxrKuUYXPu8E53YXOYb7I 0k+P+uHBD+gpwTpdhvFXOk66CzH6IkoIAp0M32EOW5QNxtMfixPq+IuAnsLXoFWoD7HEJUtpbN+0 fMjtBSGXuZVyTjhCBDJh/9D5APSUeL2qyD+JUcw0zHTk2Y8q11GK18TDGjSEhrKBS8kOrRxAT5kU 6r/jZ/7qGdSaHdD9K7mStPnltFnWwPHxgwe1/PiFAegp/Bs1RFyk+8Ht+weZU1XEcVbQB8n9a8Ng 2SMaQ5jL1EpAT9ldzwlUzIpmNyNsA5tLRLOnHnRt7oMdzmyqika/7XtVAHpKFXqmDN78j/EIqU+I PNcDxK1l+9sUjJIAuSzpPKRdCTSgp4Tq/jlX2Xb+8ifK44GIFXmOlEGNZ3vQKw6drwRthsy2AHrK LgNeECkRIi1F2ety48C82t/xrJpWqEoe5Wgc/yzT6xKgp3jivoSSpvqNfidZhUVoOqG4UbRSqekw y73BIsIeiS3LA3pKjvm/RCgW8gII2CsCJ8a8T8FgJLCQ0e5o+8Xd5m9lYQxAT9nVzo7CQZjQzaq8 OWbe77wRscoK9puhSod3k5/E7CscAXpKco2ul4u6A2rZ2TN/bSC3K5b81PngKUgB5OmvDSn0K12g p9hUOLz5UbZ38MQXx4UKvY6VWnx97O5Hya3MlVlliRMoAT0Fj1L2IP3oiWAJ1bFqBG/vlL4Z1k7+ U1tz3e6er6dlEwfoKTkCFRN7w0sLJzZuuqS368gWSe0TJxDO3F+Ocz3ub/BuQE+ZUmHC5CApi/z2 YSDIpnMz5uKMnBYoZ7RoAFHUeLAn5wv0lOZuVIoUNH/uBQyeiG7wv2snaNQBkD9eHliQ/RP2Bwbr gJ6yFC4mdyUo6Nz9zXc+GpUBe0K70alfmpLWLnLdOTJ0iRboKYn1IMGvsuS+su8VCsEF5h6qMWaq FMgZesJOUlQFxTAEQE/pve4USyk0+gBxSrm4i/u3t9sjmYtuvCh6xGQ3mtakbwD0FHRSubNXZDfU jEP/yAL3K5l6auLURM3FFDT7x5IhmlMuoKdUHzcM4btCzpgglolgBcMZUV9xFsvrJZwhHka8tYd2 BgI9pRRK/krM9uRVT2/eU6FnEyHEm7PEj7DIEA/WsH+uF8IH6Cn7Vs57bsILqeX1i3mubrQBfFDX EzMcBMZB3DD1mMTJMUBPqZLRHrr1FBJG1b6oMLBra0yRl8vsmcHKyCfPgHQO4fADeoqKJjRBYe6L RpR/xzLKRMiZGB9B4uLrRP/MY/wMoqVwJtBTSnkWPtiJvqcY1BMXKikR6OEv/jOC4FPN0rxsVct6 cRsEesoI6N902uxIa2woO2kJFRk8FlCbvRiNyvt17rwNN8EPY6CnPG7omDOS/epvTmyYwAo5pMuq fDCXY6Fl0SU7MOk1qJIEeoqH7DBzuMaGijzUmqGSHr7Ycs+SRe+2Zvu4+nKlR82WI9BTqsagY4/C r5eZ9Hii8Jl1Cx21mdMnG3mdyLOdqcbZJRaAnuKnJDExqApBApr656cMhsyIC+FW+dTDccmgK4dm qhIyH9BT+h6wrqPYDTmxSBdHQLe8uvtOCRmiq21GChpAYkJQqPWAniJtS+1E7lGfcHHaQE9AQP4g tVnrKa68N+AYo6gAM6vcBPSURJ3HUgVig9CAVAz+PbphU0RMvIGI1nD6aOipZklZTnGgpwwoEHEp tJ+GDupnmua6v0GuHaPl34manUyEaQWfCFqRAT3FWIzQN/PtrDOI2xud2MYvO87n7oc/QdVPRj4q 8013bzmgp2BiccHr8dLxsPllehoiONgvzdGr/ktfQ06FbKYUFK34AHpK8ZmA+mffx4c+pLnUcBYZ i0vH8HyhaCtC2k/Qf+B8sN5AT5FQ1zvr7EJzt26775RPH6oVKOnut8GS5hhl4RX9fsseAPQUeWtn LF2Tj9ShnGgGr3y0Ogs1F9h50a6Rb1Q4PubpPZJAT2lza3+GoveS0GHmfIgQdoD4kwtvo1crYn95 DaVSHdcECfQUZy6whiIRTPrDTyyYi98Cor6n80cBBi0/dXj/5rC2SxoCPYVglQrypy/UUEu4p27Z D9sS/bi/qIn2asgdtxfnpaFD8EBPQVIfax5qKvsj1c6300CzUMxN2uQ8MflytGSMYoSg6XsC9JSO rcfC3BjqFI1lghtdOj5NPfaCkTI0bJqfcU3rBfJuikBPeY7G17UDjeF0SYBegub8UxAutPQJL2dV Zczew7V06yQK9JQbq7/RsNEGtbrnXY18rrGlBmLntkViHE3nASLhLWB+nkBPESiglMdyk5DQR6RO j4mga0gSxOoPYNNThkUfDc5grYMAespjL/Hrq7JmhjqLx3KpayQB1bU2VIhdJFmJsQbJ+OcnFtBT 4OBO2o+1HVX1gy56iOxDRW6gRporBIQNW62s/K02FvGBnqLl8fhoNdosq30uIHwoBfMkbLD2Nqey JIjQ9l4zI/SWC/SUnI9+AjDcYl+uaxCVbsnKPstZ1fW+n7xbe9PRUC2ststAT4H4xRQ6pobvIUty Ugdbb6UrpU9dOfw4ujmhwUE6xuDfC/SUTBK7KclLUUvTb2hYZZ0kP+ywUCan1h9C8/14oca6lt+B nkK3MJW8xFYExzguR+XgD2Nbtdhq8NU6ZWJa784eCu66CfQUV00ZgrbsD+kQcgvZewWuiiMlVaq5 RaJsFUpkRME2Ynugp0DcooE/z6apHpRJhRP2GSj0/HTwFi/l+81bDfroL9ddAvSUqb3mi2SEPDGr 3j4cEGSkgFT8uD92JQ9URGrT4x+a0q1AT1mveeDrezWmPbDPy2c23Y3to6RVxDarSuXqiJkom83P B3oKdw67woPfNYOIJ9iPPaM8ZR7py3MJ1+2pH74rxyGsbVZAT6lsr7AMX3taiQ0jUZeA0Jei6Q+B sA7A8rI+CkKC+hvjBvQU9CatHcn29wEM6975Ue6+1/zl+ncpRnS/vVrzmreCKn2gpzRhJPpXm9dP MNx8jjg7LmNuU6Xt3CK0daQ7tjLzutPTAT2lMV+2dCFt686kQSMwiLZJSsM7t2Tdu2Log+Pv0sIV LynQU6TdqmOkKIyZjki85qaDQVmxwHJhS8NMGFh0CaqW3jktgJ7yFkanaX9p9hmoUj6uXVDLvOoS bzpQGaAUN/Ar/+dlWP5/9lNCxgLAH7D19uBuQvDwpQpQHWswmhIfnd3D5ZGfwRzvgJ5SgnHEQKBI TPNY3iOHVhv2nsggYJzuxK5mL9Whf9prJQf0lAQWhw7yz4aFv/WDKHvJlIy5GR9/tUrb4vQ1pcfN E9dqgJ4id3OJku9fEasmH2oJzkLOjIbFdSacmQVnH6isNKQqRgH0FEhbWGorZOMJkKiras2G7zGt GQV6lWzxy89NaBvVsBdKQE/58ABzrxIimG5y3C3fQcv+tIM5eM1SS80Jk4EJ7E3zYQB6Cngpjchg dYw54m88jdN0p6XUtNQ1g/wpZHL5ypxvtfKqQE8xvUBSqrlucsrou4IzPzTbaBMdScIsKEAq7a8T kg47tgF6CmfHInvuYKG50BDX+Joh5RFmcnQ2lLA2bb+pCNzdYu8C0FNQ1zciQcLlCO1vnnHxwSJk Rj4oCrXWwLlDIQmRhcWXAv5z78vPJIQcAwq/UKs3mIsx3MFuR89uiyMZ1BMrDT/P1b38P/e+DuE1 K7e7TZw3YQ7aL9qcq7qSlZvQClvy8msnfkalpQE9Zf6M3qrrdy1KznpMDQGfIufK5hzv7Wb38Q64 cHFJ5cM80FMmPEA1kiijcry0B2rxUGJ07ZOecL9ViK91FyHrxJXf/wR6CizUD8+vTRR3zlBuV+a+ 9BAwuctNk/prTQz0CErHRl9poKdcuNcf/63DN+to8D7HnYpkwp3YznGPomnk6e5tm1BJoCIMG/xr b+K0ll/YHrXsFqSZKX3WJq9PaYkcvPWZCTnSkcPP8O122Th1cJ+ZAoe0B4K2fpb3uZNqtz0PXlss EAF6hBGzo3N/swgK04l0Wn7i5WB9Pf34EUOl9sfmSpOydaZg0fEAruL2M+1ODWx9sNH5/KHgU62/ eMOoP53PvxyVbHhH1i8qk9SBaCsNgSnhHhMTJvBeKqJc2qbBdstUDzXc8Vfo9ov63tLH3Da30Did gLowP80SJzsPfT+C1R2VmRKlGeuUPLwPH/VaHFE8k4apP9kZmg9q4wSDZYs7qkKbVvQIsFlOKb3E UglpqnoMltgJH3OZh3ydRHTO3GP4WX/F4OHRUTuaGuGNduVPPAwNM8UF7SpLwwSMIF+cmd6iyWSe I4LCQhFHYi4KnuxUeFWYUV2e4nDk+l3AI8XQf7UvCc43xq0gvR+O+ZN2uiqMcAX5k1ie+irzLCEd WMKflqRCdzpEWbPHn5SyXC+s57p7ZteE140yJtgy2V2L4bVmPRyRvzmpl3doi9UkKQbe9qelwlXK zT6UEY0q2MjsgqAdbaIInsDu3DohO3nDBtKhMIqNX14gSdb3F2gT6RtOgm13kDhPQf+MUxI5zzzu SAH/0r+W+f3JfZzEtuPp6on070d1p9XKrPBSv2t/IFrDT9j9/exO7D4MYvW4hgoehGT3gi1Bm+gr 08xjnewX8JvH1EqaBPs/WzANXDtGPUgV17w0jQbR/ZGfmOnCLaxjUjok2dZ7xvCoZnSEYC+J7v+o NFHVsjnMb5XEWE9DGy8p11Xe0GmatWWG7TQ8ozg7LM+nZ9RkH4OJXFuwlSgJaghu2TKgfGs1jfRF RZutKP/if3Y/Ka089y5AEU83XTQVr3SgmIGuVvl8Wkcpuxhc8LRohL0ssD/tkH1znHdz0Df2PK9j h0FJe/naEyf/zBMxMqJlIcca2dUTgpXsYWwWEDZFKmOWLwtPTdxLCf3neAxjr+uD7Y+byy47eqIx AXd3dEucpKoMO97kF/WpQr1dMoIh6dviUUv0xnn5y+tl7oZdymtemgt/tH3SxswXvxLil8SIyOFk iVTdhkF2dMxjXB5ZTsziyTxnxIpwj5lsnQzXVUUxgSvkiHoa6Z1Ykje7DwitgHezDeSg4/TBZAdb cke/gY60n6s4Y01suU843HuUlMDpi6CLxZ1wKu263IyxL9tCWeW79vW7nTQD9pkUd6HV6ptFn/j+ sWKTjXm1etpmJqX1vLYebaXmc9s5qeN5m2orfHO3AZKeICs5cvStHVY2ZOz2H027gGimtu5sxX/2 D5I8ZUN9jpJF5muZOpSMP+/pVOTTKHLnBrniwA1Bs4KdBKTW42yi1CK+VNKpLb5gFX81Ih0OKNEN iVp1FW6IH6U6pS56dTnJhs3F9V78khHJW0U3d8AuWbIvYY6G2PH3vs9cDTSFKD4h2hesUy8YE7HL XXpD3BfREqu9EWuYPkSFcce13w+/3ZPMg0DtqVv5kXU05nKIPKQVWOPJIDSSiK4bsK50cbf5U1Za KUKQC7qpoOcmbhM272DfrUqt0tPYO7e+6KZuTVCFidfAke6S42S/DuLL+wmFrVq31zQHiv7GkwMd wkKbt236IhPOeXw2SPZ0NmvR9ugR9keuqS+LjIWRwx8NLbnHnIRWK9BgD5Dyz9eyVau68wRwCcG+ n6Z9UCGE9Q7ZgRZDGPe7G5iwBT9BBbyjxPc6o6XjBuliVBujI+B+vVMz3sY5v4lKnFAruMj2l7eQ Nf5LyNetFggtNflFq8q+Ux/smDNSJn8eQ/xlJ6YtDYH+EAbHq2F2kWpUZjbYM/Cy9jfI4eokNjbm +3HdghGs3pOTn6eV6q78zdcVZyJJBMURoZn1e/VRPWLL6dUnyb53Ds5ZknZZsq/D00HvUdtr5qFi SD3IGjiyZ9MGeNvFEzz6ripiRfOm7qxkN5jwRNPeFtktKwmRnvIx99D0tdmrOqjdJroJ1xPRU+He hbp4HULC6LZhKtRhdBQLYcFEiox5Us6ubftERAoTd5ew77BPOlX5RLfLMmZWVbB06S/mFEwKAlwB STHKmOsohVohmujyx3I6Zrld2bsdUUqKX9WlC3OxqFl49tCymywInthWZWWrCNnWm8tKbyJzIDsI eimhV9NpoJOOvXHHq/IkTcKHzeykG77fhmgRYUZBpCSXK6OG0txfzjnK4thtchD25jZiqgN/zEsa HEC/xC+RMoojSBOOhbnawv8jVwti+L5uBd2l+ujiZeJp9BLe1Wvyzn1xSl+9sklRIovSszgrPlNF 224XVCY9XPZvfpzZA38eR9gJv4pLgX59OyjkJ0EgJGEXvaKB8ozvs3unL8G6WzBnzTdbT9jhvXUO w1FXOLc78ncRUJSv38fKC1DyGeM+x82bC0nqIVUYL6l4AZS8M+yjE2e8bIQYttihdjylL6TNqiQw bsXY0dUJJpfUzB3XKD4MeEGeFkd+ZYxfJMcI3OTsDUZ+fMY3UfU+7nYFLqRVm5PmA9kY2W2WDLgm 3vYUVGgKTubIB6y1esP0rOUDFnJfuJW4wZZ5sM2QzEdlIDgKMuzQsRo9roFKGO+pLmNpcqtTFN42 0450XvxPQYxO03eV1JcsfcmHQqZw56veRWz4kmoBip1t6lNfO03Q1cP5rDuDk7Rh/r5/a4/dTQIl QOZK6tEE0C+VrfBaZJCi6Obzv2+EPYE/Tzp+57NQmtBXqroK3/EQbAk2EW5SW/ntn33RpGmuNLt7 5KAXkJpXjiyIeOdMHX5rrXgtTMmWtgCjgifLzuwbiK3ftue8OZD3EF6L0hOsY+yxxfk6jz2knClN 3F+29bPdzwkFvRVPLoQNk1XOm4mOC2lcCVGdsE1SP7ofboeEj0/Qv38WAnT1peq807ScZo3qqfIU UcGYuT9bPuY+N7B+77MsJYDMDeKJcciMWbruL3IvmNn9UYS+XtLn7rEuZhC8BrRGiuvTXi4ThZix W7MfuflrmRD8t+JSMpX9aMTQpev6I4S7JDnSonicNyHCdyoGnPmhS4qebAosowMCC/erS3Ges6nX wifJOOEpGbQLAZTchGGdlD7i2VLQD5y3iTWwQUG6S+38u99saZuS1bHjAr/ujBgUsctR0U7N5Kd7 0uj4/0jz8eODr4WQI4ZLPWFhGfM9p3qzXl26zosEHb6TEhNwSE94u7zzpk+dMvwy95JeZYcb6XVk PbiLH0sGg9nd5VsmrZWcMMOEeEDrcsp6ixUU5qNaksjCCSSCgrGkNhppapll4YIPr+q9Ox8+mRT/ 5b9iM9pGU2QAfTR0945IHiI7Esj2RGX52O82gH3Jruvd7yXigcXwkAZ9d4L+6YSrkJR5UQC9C8cy ItZ7rst27CHALPAJcv9pWANx5UyBAwLVn6OqXdSRgnIyumJQztu/SgFOC/UaUh1AGSa5j2Kv6sFt IQwOzgPZ92GG61BSJzXzx4tg2kWm69/Wep836xxir//R+nPSIKt5YE9/eqlpYeXsqNniNWNYk407 0+u/AvrlFJ6VMTpScCMmRT/9QLPDCmw5s1LzSNwrAdW3SBgD1Xg/9C9d3IV55gemF4vTF9Yzf+It Whxl7uzWBD7Q2eX3N2eyH5o2cn4KP/8hSBXXNIRWNIbyoOE2wRKoKAv3QRQkx2WEUHRultdYUPoU gT4nR162EhswvB2GzcxllwcEF7goI/VvcUVkbv3poyia1fZ/M9kU5ucK7OWQD+9v8ocZZApn+u3G A+XEnQM/hs2aN43VybON7aClMsLh3aLMdVEcvCXAzx99b3d+oIZjg7Mv+LbJhFF7Ugdv0KbivuSQ UZi5gcmgahnxG2djuYt59+y8CgcalJsXDEbsWUWk0vnfTQ8qpdpfvgE/dE5sPe2cHTQjJ3glGF3+ 8NK+OrNGxZbp8C11mX6viuC2UVqM0k0lTiE+mzBcpD8tqzkIaJRCEq0IrW5RkFnHl4Q7QYG9ZnHO D7/rEymPz4sNRiWGG/zWEBweaMIPa8lrnh2gxLPbo59XxNwpTXPXunW5u3Qm/S3etSwyzstBrlNr cFaUvtlYiN5V1je2Ys0FLSNhpHGf+/xA9ngHS6OHR5gpDaOwBX0KPjsNsrqr02miYfAg8f7FtTfL q/d73fzgKEGXdOYErD1eLFYyZTuwGWQIltS8HJIOfMdgfJDc/HbyuYNEZ2ScN7a+ED0/8Pfxffh0 TksBxn1PRmHZPef3ANKEwG9cQgQMP5L5MyjSx1jRhGuJpIVVSGkI8TQF4aU6J2A6GR+4+Y+llurw tga+5eAhZbklD1h4WsRaezJ+uth/IxcntzvVE2KBUtDJjFf8jR/DZWs8fQGT+Dt7yCIvgyyb7gHS U/30cTS4s7hQNGf/DTaLajr5o1CumD6voZUY2aYvo6/T+LstjiNBHfRmYi05Wzo7HMgGjPT3xRPO dwVISFymDJ0TKHdN3or2YkKDOa+uQf8UJePdo1W0uaIr/fJjTZ8zWVd8r6Q3m08EvOCtAc/Q6d9u Eo0uMH66+H/TwzgoNfGz5tsExKqGY9vu0jQuNefQWrGjcKoTNpQruDaQ71kZC1gsu/KIUb88rtks F8EORCxwDDv1t7OEjdrZd0XR9eYuLuVW7Nw0RIkCjhHDXrJuCyu1Go7eCZTDoWdAEAq0Y91bNX44 M/P+0LBjgoH0+/ZLovgZXa6/6w84uFI8uTSL/TMxPBOqNM3uuuqETpLSmy1kLstvGvrT0uAsVdkF EyrOR99+NGFtAma1WJz8HkO6BDFh+zZblfIvsakM9KZ5mYPZrQe6J8cSy7YnhUReePGnb2pEPg2U aF6qYz7M2lifUJiI2SAMszvS0SfmBySTjoV9fOn0VL+cYolE8APWLPEm2d+tk0fMpVPG/oqIfZx7 ToHTP/PaUdDjlGl26hhEBIH6Qa3DmGrRfOhVl3inUQZwwkZBDIVnS89TijlJUSF7tH1rIkqSgnTZ Ev5tkZup3tLiNgdSqGlbHeKhNFBS2A53kTx2BXN6jPVThLddJBC2Nu9rvAvPTSc8XPE5XzvZLTJh M59pTLhx5vJnSubxDCMJB+h+u29Lq3LngvhaC7a+HHz2J7Xjip32h2WeTmvt8pFIHBcxZoNfd6zp Y3y6UtCNstpmbGFccPgQDeU+RZf0mD7WKKJx92Awfz12/8O3hhFOi1O8Cio8ojhF/NZ9Q8mfunjZ DfXmopnRWITORiLQnhmFaoN9wgNvyhpBIrVah6W4dZM/FPFrjcfyEajVGx35kmAp9kad2clyAiVF NPwFSFRZX6AiQY6+F3AqBWPcwrSgVJY6hrDgLlXwGXbNUp8f1V1fH6Fapd73VS/n7UnsYM9u2d1U pbmmOJPrYzndpLLMj9za3ceqQ9pbm0FZIBqfWpD3w19F8ObVbphaD4fwThOL97O/HsxjCxQaX49m o9JwKslKqR2SYrerhYey3STax+cm3PFpKU2VOJuF2vnWcIeiK/yyEpl3MSQ4IrX10oOFbtpv0ns3 4ceOTDAcQQ9w6yK/RmIMTAnQDEBegZDGFlKUoq8ZDbgRN4w8DCHXkdwe4/48t0eIEXzkUGZz3/ZR sdjRiGPLnRLLVZ6t6w5poviTJRR0u/fzovkGrc4zKDZQWFihCP5fTESr7HHTEvXAPclONAdpsADB kEohOnywe2OgWJd67fZQSjg68ru0DL7T/emhzYq5KHYkgvbwF7Sb1fXCxMrIc9x36VEBmDeqP34m pFidfIckt2fyvK5R3cK37VpDSoq2sscmCLuWhetS4E5rrCxdU/yB6uD7VmQ4eVzGgsL30z/oawlW WxrnuaC44qygA1Kuv9ai3jDljyX6eN5UWZ8TEdw6IFmpTYgs/6b599S142XChg9xKAzavrZkqNIj IJPrtq0hz+N6qFxVEwiV4uFemnFQN3xYpHwaL/3b1EL25kfTgiS6eWBVx1SxP3GC0K94p4dJde4p Dx5iG8Ww4c8rQqXM3gkmYc+ij9c6fOgrCfHfcjl8guP2/H3a8M9DSUO0efxSVmRXCzTGczdC0bTI EtlwFvQnWwbepQ0oEgXZhwhvOKdSFkUUbBF19QuCfx0bpm6B2XwlkcHrCg0C7E4X+dDOzG14VQy4 wWRlFgm2kgO7vyc+R4ujLjfXza6hH21KZbhzCqZ/Fh7+ilKLTqGOfYS20L+7cYR6UzAXAhxE8eLg 18MK3Tofh+IDtMYgbw7ioG/ZGahHeZZyzjSyr2Qj7HdGsBjZrtwdvjGmtf7ItmiFxF/dJlhI3iE2 AU5Hx06Csv2r4DR6MqqrqM9+slV1SboJkrOhj28gDhobo1FQSn/WqLS/9F/GFEduxFHqdn3vprBb u6o2O6cJgsuvXHeHCIJbnfHMG8isD1gRVUE4Mz7jlpiR+MDrEj33j9UCxHm56jPhdbFoJphJX/UN JXinFnILP9bVYigOIt/GZh1K6t9sjxfP/csSq2zHjY5ZCJMI6irRIkp+RJl+9izFhYS3atnRFlBZ dEeCiUIOC3IdXJYeectWLqPObqqJafDTWHhci3JYze7YYaNljpaV2FX6/P0OaV75IB426AmxMZr+ 7V1YqgxkygkTQyuidag3RjtMJfpFH5Qi9EXzN36NxQ5fuaFer2d00muDOtGlI+xDneysWpL8H23J B4FUl3J5VveqkRXrcM6aq/zGFw1dgvUc7kAdbJSJtk6lRh1IdNrCY5YIco/0ylfdlJAIn2/fFgUR cs2o0o/YSbr7ztYsys1cz5xtrUIVSWd+h4uvd6dIl793s1Lg5zN/uVGGP1J1ZQfwZIVr9gXYJEce 3i6WfqM8F+G570rVuQGD5/4ZscBPCiYcyTyjigFGY7FKRdJvKXJiYc0jn99Xomag4g36JADKeuhf DwONQbnwcnk+60WzYJ/Rxv4J7G9czhqzlNx0GceQXd3bn/Ky8Boi5QJKbsywFzCWWb77Avt3bVqX FiFUkBPoe+/0t/eD4epKfBefiTD1P4l1JUGm6sB+UNCPvoRwhylWT9jKdzeW1laudNI+9PvsKsZJ SRrD+cE4Zbk6hwrVkdpCKHKua885L1k/ClINSVlAeb+tcp7CD32issgLtD8q/dpdKOsrIMLA/qSW c0E0MXacutsP1v0SeQSZR8Cwi5X9DcMGctX2EVod2GuU0YR2nQx+F5ux/tQ1xxPQ79sRRVpLOtQu yc/Y4GTPAPZzpkkdf+eRHs45xto5Gtxq4SlOrRrVnopdj0/veWpMwIE9bYORetIz/T3Kym7Y55AL 8T0ti0XBg3yY5knYg92GuiSwZ4X0mwhYEXF430SgqHpaqp9QqSx2tX0lZYxBhLylzjMA9tBkxcs/ VM1ZBE9QKD/bREvfChepvsdWN7idTL7YkMEyAnuuM3amT3kqwRkUJx7sGT50bInYPcu1Si4htdtR /Z7j5YsukISm1NyijzWB2jOG6ZOnf1EfBCiOR3myc+Gb4No2GiWvQUfQr4OTtfvtaqstL8Beji9X zFpwmS99S18anlM1KcU7YrPvZyxcyrJ51Aw3ORmwdyvJ/urCWO6WOu86qz818Pnzdnv2V49zRygv mO/S1WYD2N+nHP2ZnP11YVqBgNnnb5YJ7o8+ugk9L+yslRa25X19N/lJkChn/Y/HV+VOSQF2S8ch 2kaarGvE7/VpveY7yw/YGu5l8hOjYzpUweglxdEuzPImN+6eyCgBS5ZR6m0nDrhTmXTzfzt7y+YY HGgt+vGmI+raTrSSFBX1qt94IEle5ID9FTu4amaRctYvgofc3xm48+xUslNPXeNw5KZMqFgMFErA Xni9xvP23AO7qGR/0Y1WXhDL80CDYCGywCWUE1cRZhYP2K8jl/54sf2H19l95/il3sd3rQRDCHPX OKCHyVbnLNQxA+x7DSXXC0vr7T7AAgf6ugUKx5Qg2TIWZHpHpwWeWe9LyoE9GY/U7IJ4E9HIvrpL ZQkXG8Q7PyXa2ZUKGpJ8xDewzk9gH0iZ+K5l5v+nuQlBAfMTrCDFYPJWhfB5/R95fyg50cEcsB+/ T0KcglIW6IkkTfSuUj1NIPfnSWZsPvU5gOEowghcqCfpY+Qkt4BDjzjvM0kfc9IZE5JAHR/xKwBl O1tFsjcU6rCRzXGMW4FWUphzUZymAPZu814M/zItmPWoekmEBmbvKUZKzJBT+BRiQ/oEBLPlrPfV fxnWSfrIgLwJgYPBwZgGqZhRm4zzgFvEQoLMjhB1t6+aaJvf6F0U/OLxq6eFhTL8eeiP7GtxaLUy ex5EODIdZrYOJeUdewIKg07Gctb+JJbxhqXNpC6MQixMsqE6bFQXXqkJ9SotwtCchLfIWTkREZFf hnJRNZDDn/UswfHyFUP7eZpXwbo9jjkoFrKDWgfLsyAyTqrY049siF3bs81l8NuwfnLQNgkh7KPa VQwZWlbB7JTZizRS5GmsgJeWbLhiwvwlvRPvM/GrwG2spF1vuzyaPtEfqbxLHCZSA1TbNmnXicwF RKKr6HFttm+LmoD/mhHtV61IAubNxjvsvyl5uicQSPGMW42U0q1QLWc9hv4WlG/o5lR30DjtOdm/ BHIH8Lt3tDj7+qznRzJaQxNfR50pweV8RbvaCCvucINErlLGm59pZJ88Z0Kht6komU/0Bhz2l3uY FI5MFsuOZk79zrSRsdIqCqoZIbANSIX9QkEwl7/mwNDoNR6a57nril2B/dEcf8hkNzYkHTvmsg3D OIdAcq0Qx4Ly4jnPzmh3ZXQ+sN+Z9GKY511BIdQ6g667QKLFnqQJatzlfjvlRwZtmBwMAPaXbSbT 0ewBW2WfBDnZIMdp73kfBL+iF1K/mZa96a4KBlpEV8iIRMTimgiZqy0inpSw4a97Tyqakt2Ft2HU jevGcsNOzt4X615JGMykMLKd+wD7wPO91YTI7/i6/o48nfiaJrm++8U0RGvshgRvWX9ETI6BPd/R NF0ypgN1thOIFX0XKn73yNRFk2mYjuxtRKoxiFgjsEc43dzmV0brbmPIw+7qoRoK7QyyNTb+PoTc z3rlecy9DOzRQWaeh+JqdbMQHueqj/Qi/RPR9RsRDHexNwNVB404h4E9Fh0P3J2054gp1v/Irofg QLQ1jKKxbdu2bScd23Y6tm3bto2Obdu2jXfHefM12PXVX3XqMA9a0CQ/62fEoIrv6uY5CDW7LB6n H/32fWZ/z9k6O8BAFajPIiXYfZSOIJw78lZ3sJqkIhX2yLl7KPN2SZovIZFBKakSuAW7wManuii5 RyVOc2Bth3BYhaXDESBzqEg3lFt1ZM+6AS9+e8E9iLivg+mH6VRkIkt8CD+1E7a9zbQJUOzNt+6q 9r/vouzaxkt3iZSxNRrGlnBHQUlN9B4vtiQQsibMZ3sTRiBoYxTl831vrfUXJvhJqaJwv32nIftM HLBAM+J8ugDLEu38QT1QDzEri+4ESQO/Ob7n2G8fp83jP9o/9cz7WDc5STqBv5vciw39XKwuuncb AkDsVv7blyXMYA+TfN2boUueuy827z6GO/wc+wsH2vcFoEegej/+9o4hVErUoQKsyZk7PKoBYJ0W aLDRKbgj5kV+rroXduqgv309GWjQEhAQ8v09skA00HP8V1Gwrsyu27n98r35agaRHe2pKip2/vAV sS07pdaQ/W6p0ys+sCF+1wwrZ9zAoK1jU1uIXFzEwu10rS079PUg+m//6RlscjpAeC9MX56YCiVq T9fqHlAyC8DXWM7pXYkc5nSH1SmhwCNDm8K2E/6M0SH5Z5fZa5+ClsTXlwZEB3h3IpeOnD3WUuHQ WKL2OMlLWPq3t4KI5QlFws+mgvxRaQXsU7ZgAkN5i3p1qum5hqA5bTe1GqETVAxa5q82wrakjdmi mqEsFujY2u/cx2/6JwLP7p/gaiYB5E7rG0Xz6Tjx2Cj921vpysq4f8TqYmGIAeVlDHJJhp9jOJBt lrH9Acse6uZmhBaRyMQJ1bXKxd2T0SxzHpbpGGK1Ph9eHthi1roSFuigdcUwrK+P/WLlHDaW+Yhy LdEdNj1Lf1+5MHkHWeeNjB9PgeVs1PE51aeDdbEkWve1tvmu413uJ+d0ClwmBR1oDq6b+vj5hxQd r4s2deqKdCFAzmGAlRcjfO/v9mG2/DP2aJJWQQioE2wmwt+jk71w+ttDRMDNGnv30TFmn8h/NLVf a5tPXhLljafdf5SdtUeF14Owfa8ktMubYtuWSzyXxKbHsb1aQ0nT4qS2Ui5FRn/r/iuEwJogyHmo UxSe+dk1tvzQhQzd7LNBAp/t3gaDJbH+Z1Tc/khU2/Iu+BZB7aHsaZdVNVgmNaPbHmo9osmSqYUA baDe5QAJ0SBhX7yUU/96qNKUpxcoP5QKTVzW+Hd1j+vUP2Jj/89rDWFNa0Qw0trvfcSXZw3c5giJ lX3OYLcS10z+AbGjrOHwC7vA4VhgzySY4VjxamytszWDrLiWg6TY9wTVXGlLE1ObUpr0zpyFmqpY Jd+UvWMgpRaDDy7AvvZjIwV+xZsxCogT89jQ9Jvz+HrF7lZbdR0C0w3mYv7ZEmZAPP6JDvbDSUBx SnSjjhqLEMm3uwF7FMgXUmBvfwFk53FbBX2mI9BTOdfTjxQqm5cjwpvhOJzRcvDcxyvoF+lhblHg ch5r5+MbJzwfkcBbfFq99wW8+rwiS/VpiNmO3TzO1vE1wnb+vmAwig03AO0Jq8UDsZiH10sIMOSQ 5ldMBQmfQFs/hDjrPcasecNPYM47Cacm98AlNEY+KPqw4IxGsF6wkvmRq0nEjX5Dqwb/oS2hjG6v 51MtkeX2Yhz6+cZkQUwDGVbrfZnsRZAHrwtYCyUSb+eG1jia6tUNnL7uzH8D9bQyPi4GWe4kDhgc tPcZsP8xw8q3GD3jWDHMrTvADDi0FjRvelYxEnOUZbHyz2saKPkT4C5Bxba6/nFJ6a1y923S2UlG fGTLNTrFcIDu89vD8bsg+kVkxpT0DslcycEzwe1bxCLa2k9PvEUJuk7Dvf/2Qr7NFr6p0Odly+vF LciDioOcSevEH6I/QlHUkIUNqhS/fZrtYHk7a0hF4YAbK/dVILyFcY4wTpFOm0zqvUx0beLPb6+1 15JbtpEO08mjLux1sMpQg5pASq0UiR45h+PaK1Ht+Nsr+FoHa/w9hE+7N981AVpNVF++WtouFRcx NVcOmRbTTfztf5r7AXgzVDzNrtclc0nddqyYWPllEHbOQdzqBfSqH05/+92febWe204oGaZlyZbx FZaN677YmuT+xbZvF27N58j+8xByaBcyD13CP1fTNPZ/h4Rxz59UT4qHltf8wP6ZQt5KQVvdKKXV QQr8KFsEgNAkQ2oN9vqORCS2YUzQ0oK9yYsQInxrE64IrJzsPzBHKBnHfc44N7/wyfqg0uFh4CEk lMw8UW6FgzWALju48enncQZ4HxHHT+Ux5X+NNxjZ7fnYy5cAa674Ga6qiXBZbhkr9cYIYE2cLj7Y g3NdzBZX1QOvG3MTQ7FrgTpcWIAZ4x2GwaaJ4RVdRiMUItovWEIRebnx5DoHslbtNdgBgVDl4qEN 1h1v0wmfbkxLJvRhW5A4eX4r8gLZBp5+vV9pMBy5/fYC3XGlJvLyo/mlrxq713xngI/uBDpvuPdf GIwt6LSVqb/9n0q+4qg+vQsiO9Nq88bE09gB3sm3gifIFu/LKMZ4Md/fnozx7wyzj9EavLasxdpK Den2ISc+b+3anxOpTf6vfNmU3161jDGnHN0+QRFZ3xFoRrpJ8ZNqXznJWIlppbEFODf69LfXvCCE F2H3YhN1AYe30CRuWyhq30SMYcxX7iLNqhYBAa4T2swce6UKpdoF2IYEmd3dxnG9jIqpbQ92iAvq eeQZXOXRKlJkhEihR0K8uiJ/T/7t28/VbThUj5U2tPcjy4OsQ/vNcQKUYAe2Oe/ATojta0UXzzvA 15SUmY4WhTi82j0ZO2tDVKLfIFwO67iW9Hm+/vxMlOImv7gLQ24s5zWDQVQxgeDCCnNr7l52aNaB ofPzNcF+PRib0Ca5zBtVHJiaSFM6K7CANiKK9oghohG3E3njGrS5VOw6aYjHftHb5UGF4YrDUtsY KzvLuMtzYpwPtbzJCkXbwfvmEoDoYGmW98SqXEa+q8iGqGupiOIo0ToBNt2ehLByc6Tljen74Aox HSHMcJCXkrD6euwzH72HREQdLQ+GoIOGdBvZ+fG4EeBAG0LdVCzz0R9NGj6+4besMf7FqpR6iTcb y0jlDdmZPk3IDCqdY4+K95amKbeHSxg9DUud5CjaMvjLgNpHd5ZSf8rlGBWplk/I4+iAvce6Niko 9k9ZdU5/6hRSkYnaIuoqjuxWaeSEl3Qe3omxWCyVDmwg+wALV2crRNlY5MBzbL/lzKc9ha88TSGr 49EIC8kRn2fK1aoVH2mRD5jdnon6EnkKHamvfO8UfGXOo0sUaifSLItRdwBypm7O7TNgikhc8MaK mC8wpnzPNv59cnHnhPJPuFg0XM7m3ykoshkEt3HorbAozygg+6MGbmZsPrqdojKOTR9aVTCT/gjn nveacCiB5ZvXklZL5I8FPocVAknKu6Jn5a3byRa0LXNeM9j3iSjJWN2WwR87lIvAewQS3V659EUJ QuHuf28VIsAO3MKgQ29QODjc3YbMxG366I51pa1/ZcILQXCQhMiyaT0hi+KdD+l2d4qUNcp1WqB2 tBxYsBC9FUB7a+EFS++G/+51PJWcAZ4PN9iXeWSvNX3VVDXJD0osbLu7fq8vxx+NGxziAZdz3CgZ JX/PQ+YLGeYYYVpy40gdSNjVSF2DMnjIdAbIY4dVXy3j2t59Lt/uba8hFKwRbjTD3w8C4H9LM4j0 qpKHvH44LpQ/x87zK5+fZlUFMRMP6fhpzlZ3Gb+Ep7COpHp5ot56anZuIHzk+ka7VVZJs3ZxLCK0 57iMjKdcPeiF1QyhJtcHtwx1XORkXpo+4CZedMIG8w8dFC8dwCg0aXX773XaLkEqxofHoGlMFhku AoJfE0h6tGVBvlZUQ2G20pcKUqQ4xwJC6Unc5bWTsyUF3qmNj7/oFDS2a5SsC5YFnkE1rVlDiLT2 b2BriZVD9n2Tp5Bm50cSmLmDfzEIWmY3ncr89PsEe8yPaavl0fjik6pgRfO4xb+WnmRjeUyBx518 tBXn2EcabDzuynPIp9sPFlwn0VvNFSCBatlMb8Rsw937xuJIdFn+S/dP86xi0FJVk3/A/XI7TPW3 LuvVwxaGrUMtoADXpBJr5pk2q2oOtNCslUZfJC16ExrCiPki6nPQQ1ImJq5jp/x7wT/gWk7c3eBS LGFI9erkEDuem4IkAolL6BlXXp31T/Zb69jdaKrtXXAM3hLsozgi3rXTZb0EJMnl025pGE7DHAJd YKUip8rmuZij3rNdS09c7SNab51Qox9sKr4LK1l6ThujF8WCqnGTgu6WL31QirpzIdcabKQQPAhu 7rrXQdUjkhYyUdZDT5PTSWVWCxGDZC9bejidFutii/uTUzk0wfTpioFZEC7XqXU/Go/2LkDFQXrn 6uIKEsQ1Yrb0DQjdzbSvu2jvXFCCig+MnM+6WK2SlCA2lb8S054hMe2lfGDLVSmuK0C90jp32Oec 1DfIcMIGzznm4LlAuAJHJIdJ6xzV6cGoP/tqV0+LCR18NIaXEfbRThgGTdPA345lWxCBmiZr7lyH YqmFVvsRINsToObm34YDsurDem7HqABUV5Vgq6W5mAaAtQTKvvV1+yHYMo7KsiTADfuDLSb3oWpt hRQQEeV7O2OjFlU05VJY0LQk3ZjVlnBOPEAIAtaMX1+Oz0RkbArJ14jzmrILDcysjAUJk4lwe3uN j+1T8Qm5EVRc2IPUQGN2bU9zLc53xBUk01tPMm3yV7CzZbDTUFOQYcwxLMD3bmj1DFR2HCeWpvGd S7nY+m/PsrbKqkWYiiooanaKxbdBawX5V/KUwzCL1Dr1KN03m2+MacZjSf/EcfyWzZ8fAWWqJq/8 NIVfXC91IoT03zWKiFLALcIaJokPLm/ByAn6Q19SYzoSyXAyhNxDuCeWVnIHskW8X8a/bIatv/P3 xFMmYkWtmnXa/dW84c/wGuw4O6zakDVShY+utI8QnyeXtNNbB7wPe2nK9lhiktvqJP4eO5Kcbt5M r62nEcd19pNCW/9+e5Z1ivpC5tvYvT4sHfLg688YOd1uCdhSdgyIAJtbQOmd316if4xorty5BfRb /CqAIl3kmhZMd7XpTj+MylM2jIn5H9oxJNTQsEG35LZ0pqVY9pNf+OyLywF4Anc3R/EF11o9YRuu JoqBWE/KVs8engKP0m/fE0wSaTPJa35Lh+iFykaPYc2Uq5GT5WI/0HvW8STqsvLbE1jSbiqGy9CG etZgksxc9DPg6FkuqC+iFYEbjwIzjW6tdIgvIrbNws6r9qrstQ1q5lm8j6BfkTd/mPyZpaws3zFk FE+vWDc2eepEKGlIyJ757f2M16yhuS2dBzDwG4afptON7ySDemhBvXOaz0kLpRnVf3uWjfhgC1Hu xeKj7Nc1E3jWwD5Xsk0YvwAApWb0Ug7Il99+NFUJqyV7Y9foPStameHQd36IqnwK7ZlT7enG214I pum3PzYqshhTX+aeHnioyoyv76MgLazrYxd+usWDU1A2kBJQ43VOyEpfi3ohHBbPd8O8/nqCdx+x FQCCQg/U9DIG10pnY2UKMrFCfnc4q+WT7AMdr+RUMIs60LHy4YVpyQ/Ln5ykvGyl47HQagsZuyY9 l/xQH24U1eNa9SLLL7AKKhzuax5zLB2LNmvQSB+kLXp9ojuuXpvGYBzK5mYpObvLD3DVmRhsldjN ElIVAvN5a+ScolpNQrh63/43pMCh/i5itnPhUi3tZUSKjE/5eeSIAvtqZqCGCSQ5mADtSRbThgLx 2wsdsdWQS0X+BZt9V5cT1CJdU5KAPJ/kFiZMmYeW14nYp0bCEjjy9FzvYDecyAw1gOABCbNx0JG7 1Q20x7IMBauGqvv6afxxzWT2dNsjT/RI/e33ybiYDcuD7w7MMlssIqkbHRvJXGkrv9UhBCV0f7Y9 Y4EJ7PUiVpGYeTxFq2zhJq7zp9ARTLF4OqUk/XQkm7a0+93mNmivHZcSq1+qy2nZbfhF4VIUWvMM VB0MM5gmxt1cOpWItNEl2rU++HvQTXuOug0BxlFfHNmYsOJJSgzhO357E+uLfI2lOCl0GGYqum3F yuu/2ETnBU2UURkTugHwdq4Gh1IOByLDrdxdKFQwbDQ8nhSuPeMYtspJWO20sePBnFBnAWiSQrHX HceUUD5H/Ua/PVnRKeeF0yFzCrfWd68XpqCRq26QkkhqPeamTPoHhr9tptSYdBQK1yV3JeX36pu/ ZsB+ir0lIpZbUqVTUEXnHXdy2sSNsQKFRO/SMP4pHnEGVJVQOjiFeDkRGwrDyYdYaIcpIiOgv6Ef IA+T0QWOA2EgjCp66CPZkdpu2m6XRotF5n5IpdEQQYUhwsDeMRCwCrNCal0FhMzD+m05m+DL1l+S DamXUFpXh2erRUAp0DktQ0BpkuyfnVfytjPLPY7sixxZa8iGvSQOHWqt8dd2uiDeZtT+4FqmZPEK 51DQjdkfz9hFNFcq8iDBmifa3knrSInXA82+EIfINj8yP4kZ2n87YezjIjO5C4GlNxDD4Ccxqzg2 2tMAXGD2bhMSaHO7QCBPwpFwAoUrkO9P45apz5H0VcZw0FhbbbfRObV66DVYqGgp1u1Tpeb6d19f qnrFmLv3MkSYvpNVG/MWDPhMce+tonREaQYuJ9Fww+cqAVR7zYyaZPkWWjIx65bbM2iRPG17Cq/Z n2UpqqmAgIIpdEl8WMSnQRaJZbx2uiukBE48wYcQ45643BM57Ylo52dhXd2UwVhLIbzrZpY5hbeC u4d9QNFjSiSO8eLb17B0F21+9z/0FAM5TwpxrgpnX8wf0dpfNGodVwHltdJKVFtD4w1bE7o2/LYD kQUccEjv2J9jf73GqdjUOaklkRQ3lijfVJglsdQmmda4ybKQ20rxCnPbscT0UHQGCpM4EB9lNxkc n88RF/KHZF+O3m3oiHn1aqBDgsyrCUJFKzeh20JCyLoSI9ZtGWp8exDJCKqXTileBCnA5GQagBMB No1LZcqIKlcf2WIMnrarCTq+Gl/UVa2Mi72E9mYWjjYzxdDidf50NxSTTNC4Wy9qKhoP82GcXxvM yLB88nllD3Q729gEvYvgBhOLG0Zw1o1zI9Zx1ApPxjNYoeY1OKvfQx7D14OQYqAPAjM0Kuv+MHBT +ZoylSyM4gOspbHU6i7lrEozVfI0pvKXcu6Zav9bMYAYYYCXts2Z9Djgsfp8mlBfqkwDfeYMCRpQ YuKWIjxP5r0/rrx71PdQpMpOoobcAqEFQYu2W/242gojDp5+xGdwngf1GgymwEmhMoRjV9jSgTVZ nZNvs+NCRQFyW89IXRLjQJEemX0VkLWCXBSf0h2B6mW919aU8rGyUUApXkZoItBwAkCF2qZjymJ1 6u9JAXf6Dt18W/eA8yI4+5Ap53XlIyidJ/RKX/OIHXNvuYl8E4mtqTlOsCeXd4BBWogsJo76Qslb Qp3dX+aSUpEFevRPPX4yFRy7mpOFxAJfEUynA02hnHUPwFK/zeHxF4KvEto133MPfHf/hIqSF0p6 MsipHeakuq5A8HrQ7BsyN9OUBpNNDJSnmuyTMbQm8O0GAyCxYRe9ojoCtQcnnLLsatPRe2CBUotw 7A7LKrrCpk+6nqQ/NMxvufPJl7KB9FYn1JmZ8u7RnGL4AyUkXsT/MLx0TeA1ht+Uvq6NWRN2wjkW ZwjJPrXCzAyYRBQPt+mb6/XNw4gkoEAL/a4XOzHUQHR1f6PF1RPttPL2NqJOSt+6uWjfasKwhqvX oHBeYCheVvbs65oarwA5FJt6tX3Gk/RFVU3OSRLJxPMtnypTOibThd0LeABHJx0MEagWbyEXqTBE 8TrdbiqtL7+wsBxuIBQERwmY66VQXfj6TVfnCjE5pE91tBtqQow28dnSX3+KyDMTOxFEhUlt5LwD nnTTYkfFWU1vBj2gZ4hwuXc7cCfejGe0gN3nJolRRRN37173hK3pecsvthmnJ9+qOXT69ZmUu56+ yATfv5Ifc8069x1gKDZF3beUQsqS4/vR9QFVTbGltwLGSIWVw2Dq39MxsLNcuL90PZiIPUyPWY1k NHQZmQUKkdao8JqEQX7n7eX5DjZU8DAie4w6JTbfh8k5S9m1LpIW1+jeCeDDMpUXtnAQAzBwzQU0 tNQuZHzm3sDxZbby2G43LugljjCfGgAhCaRsCJAE35U5HqVjtsIyUzELuyPwjGgAy3cEM1VS6Pr1 cFAMrFKoixpKCVv9uB5r41Pknt9I1n2dJUje3i/zNyM3gWkuhYB7OzccZ8oORP+F+Q0/2u+O3vZG GpIYbaV89m9SESbLf2+XNKcecNgh2fplr3aPdS2EvgjhCVnnPQaX+Q8PS2JMd6xGPSojZsngXbIy u4683K2OzF0W9ZbRxnpRsnas7RwHrxDqaxn3gdT7QMBxfFL9EldNiaToyNBxyFufkOUYg0HMn3eV na1I13bmVuQmAtuXEoNjJDxuotvSzRgFWQIFrp2cofARr6sII9vtrZ1CwL9gjAGeC5rTtXC9ACbY KAJ7JHeE6ziE1jP0MBJcbrBMH5QJWWyytQIw/xyG7dRRVhx4DeAn00+xMh+2Xui2rExPLkFufV1C Am8Xz9lKhZ+4UQTu7D9n/UkG4rN30JmaRVh3us/FWTuug1cIDBwDr3qgy/P1U376JjDcxXbK3KUV NOPfGaTNMeQLPuEwrl8XbMbUdoqOj4L5ofCUvvONqGljbC9a4iLfG+b7qOJZIixzR4IAYaX90MHg Ctk0GrtshRaPgXgVDEooOI3bKFM2cX1TqWuhJdm6ehdZN/popnPn2uPXe5AffNsTyRcFRQdYRl1j eYwHbIHdFrSrOo3rMX110iZPPEcIrPrkDjNw6ty4B1QJ2mt0MIcu3jk5Mu65iHOuhRaoX3JlIrMP P6Er+6zIcxYKzzq0ikl4lIc1Y/YizjxHPL3FaT62zmLsjJSQL+d7roOgJUzajisNf35eY6Ffpa62 E+nv3gE5JEsE1XQlYAIqBZSs8yMb8aHqw/88aVRRSnYBzCi2hGxf9wHrssFg39xmyoTiJ2O12EFr R4l27TUKxNzhh/hLDvN3teGrcnEVJ0D/NwuJKg+TCgs4IQaoUgI+w8Nf4kQY1ZXuyFuhWAaKhuOd 1Q4aPhqc9FSNJFPhidDEWV2s7w8SDsuLca5QOg9uvLLxHRpr0aRa7jqU+c1ao6MeFDLjXGQEdii4 +43zyRVTmXI7SZ5oxzZ7d/EubehsCMhtjE5JFfJuHUBbTa+8KCDGjYzNna7MBCidAZntkeoWWcdj aFxCt3IghjTVUrA4HC0qi1WgVGJpzJMwOQ5HxF7FuJ91xlt9WwUzTJmZhk1PZx+M9i0aA0JcWQnq 3vFcb4nyNK5Vk7x3cU2/0T0FTHGvQrq8Qv+UmpDOMuytG2UEZanJIxZOXAL8MHikF3a+pnydyTSW 005v8F3LhzkmGPfJFTct/5tbtUK1vxIZ8ZZ3hmNCPGC99fiEcX6UNDC1MLXFFceAokvRsERBVBii vY6cSUqNwOBl67V+xqMgrExGa7s2DI5lqHlyoDVCzxHPvjm9gG1ufpdJ9dlC19B3pzL1lq0610dZ gHR/ut2Ha8KrRxtWEUwhS6wRlaztXifleVPAjpPBmRJQaXtfIY5AgoAnfhY/XgPgYH1rYAfwHswi 4+yY4OzZCPnORpQc7H/3pFZcYrU7L6iAz0LrqeEmZ+1fOX3t0Bud4wzeZSEti3B/x3VhdmSaIs3X IEhA9oALNglDB25UNa7TQE4/9PNVEqTbCut8Vo+ps6PwoPn1MShhicbKMs11dzubYyGIcv68yhnj a+JvtXxfIJtfFwVkjLk/kweMoPQ1t2Docp9PXMHzbBleoHj/8ceGIYC9hQyw6oKdExoDsPK6TGEq 7X7wDqfMW0pMLsRJWQKpEgfT67kvV5gF4431v5eslzuyvTkz4iGOvvBJ6XCUKwGktm+dSzJErZAx hjQXii9VXcwaeWzCtyHgrg+HNGBMe0SWFVKP2gl0f0ikYotMIJZytinOsJ9UFxDs9iDVfnGcEtgg HV5S/JTE7FTFUAVa/8IXl91HZl13lm4JcRf5imQZ0zrqTpZdg2ePFXorxwJFOQQZVUYT3kBzCTNk tBRuB8D54tZwOXZ/mFWs/TunfE29H9IgpFycH5g75lL4GIYBCP/f//eZytlh4zgOJaudUpYbZZ18 bIav7mCDSROnN8hle4IgXwoFz8hnQ3ea/+/1PXi4fk16StePFAjr5LrRloRgl5sW4yw6SVXR+Cv2 qJm8GFMp70hPzdHeJgL7PvUQO34ZWux4i4Hc+mAYzOiAIDViTKx6GLoHaSlKCZv7nWwnbV0Fx76N 63I7bbOP8pfv7OnG8WMbNiiGYolAQc5f9WVN5geXcjDbXUPrQXbFpbTKH/KW1yk9x9sLw8rlYywm LtBVt8T005MpWNKVu63S+Occ+UcYz3i8/s/JdgEgKaV9FXrd5zPO03jUFomKmPp23KjUdRIwQDGf 9cOjG61WfdpweRRNjMMobI6WoBOVAaCGP46VREd/61+H1xCwvK261qBDfZDwBLmkIiKsm0KbJFs1 BoFbWaYGSEIBsePlKSeXk7Fze4UszNsHae42+Zq2OpzYoEtjlJCDAmevLMhhiZZA+kKXyrlhuEMq 4E25bmyzL7P2kRNl08WKVCFx9o+WdF0c8UYO+UdX6rg93lnu6n5GFne20qITJT5oadSzOGGBg2Ku LINGJ8BmZFCNlNfdTntINMaGN0SV2mRaF5VKHW/TM9Hp2rbI12sgLBEDZxOTSAYXVr7g6DMinEI7 ylhyDq1Jq2oP/EiGARoJGbJ37EoRTRD3BXNjODTVoroCCgpLece7CO5rb/hUVoC4vbpEaqrAp4z+ sivuS2qbe4WhdiP4AYU//6EbifkTgb+cBdgP1ty4DLMhRo6PgTnt93DxEZnhlyTsKvzY7ME3gV8s 0Vds0odgWTdYrYB3RxHW3XZiTmt5k77rYpfXFCi+32YgBMrf3At3hNxM/kfr+czmMVi0tXo6jVuN SPhFRZwbu0Jd/bLx+8tZx9vJBZqpxPiHkTCRwJjsVajQuQiG9qnH4lXSRyhZxv8izp9V8LqXwIXC m2bGoQWUU1pCEc9/TIrKq4qNt1ZXwmq0TE5motLUgku5wCca++xg8Qau/0j+qo+AKqQAlZ2oh9Rz JnUivu07nh2PWK+3nwKwZjanwGpOBq5ZNAlcyoT4gHiNMPyEHoPUB3YqnvLb1Hv1CpWAmz+/pzrr 32P9bnfJd6uKLHnOPnXx0R0UKqn1bva29KBS4/mMrBIE4H25Zq8RVIRiR8rZMoz3CPJxZ13AK/z0 LbaJzB8J05R9Jhet9SJRjtEm4ik+0Ay81E/uei27L1WCLYMYPa8RMNIQjCkF0VjvB/MNJbrQHx5P rZUZWuYqfQ0E7+8fKxtP34OpMQl+gaMwhWAQEgu6W/VhmynXviBnDch30G23tSbqagTdO/1ISMEf Vo0+y7CyefVMuddCc4KOxYrqbXeI2aSK+8k0+2paAl4VnZ52J0SMw/6aNdrt46wP3lROer0rUczV OjeewREfhin0FHDun28x2d896IQp3/H8OYjg8K5azCZY3iRBjndpxKYr+6mmwiUHj7sjeAiFMhMr wBJLRhndlaDc1rNWAceTyckvNri8lCxCfP+oY6u4KewOZ0M9cwLd/S6/Xywjz/1CoGAcyc+W9K0l 7IIXhlSIE3G2XlQNyccuRjL4GVLqTsNP8/UhX3ngGEJ/9xAmRtGG7/IUQD3JjI+g8DAvrCwcu+lV Rxb4LCSeRK+wjK0v2q6+myz5lNGrSzPSE+6RLIe9gR8tAAPGDyytafh+h1zKQtCSchj/EarcsdnV 3XuLNdBztNsRdpi3o5cc/jNoyOCcRMj6zfQxwvbICIDaoBTwffZpBzIrV9GyPVZJ/7uHU8zcgDnw FARXwxpMBlRl/nXtoiAKmb5v3uNb0p4bghSJxqSdusb4L5oslXe877bJa7hbCMy8Vx/sJewUzoO9 do8uOnnXlmvCaezA5kzO4Xg2VVhnL6a6QZvJXdmAreHPd/NRqZESQQruHZn/eEANjST7qdy1ogYl I2zD3b5zqt3vnkRfjQAoubSuKx/0qr5QpGS4bJjrkQqVtuSpCDsNxi3qIosKPSNg4PU02XfrCinV 7oABy1iqXlob2SUMIzlkeSyeJ5lnnFRAfF/jiFOS5qDzqrb8qW3YMKpuXjlq5+KAQybZ5lFd5iUl BeIZzzt9uHoKNXq7rRhY+edoK9lV7Wn/ZZiaiJ72139uUyGVEZ/F2Rnj0v4BY0FUtVGcBFvXxegZ 1xUM57bSLV0XAWO+m9mHNtpZ0HTFQB2KXDqM3zZpWGVulWcLSm1xOidEcBg08mF/u35IGT6R8K7Y d/gDsaF78YFfFgfmUy7YEJoYdqvp/wXt4X4ivrFejYAj7SL4j99pFn3H/JiB9aNIy58fi2ESVIV8 NO75qNrVFtvMHbXUvUKJ/xuSkqOMiD2vNoh0jIdIMh5aT8r4DKRqtMlE1Kkrp7VJJbBh2RKEs7NY QBTq2pWWy/sLjkQwyk77U+iXzi7r8XBR4TmtKdCymiBLElQO6WJXdjP77vDvn8jUABOXEIbcjZqo M6moLcY8t87Jd1w9qBwGiBldD3b8RTBAywge7X0Hbc2EhaaZrw+/+8Gp6XO1E5+ucyZsVSi/rLYb 1I0qzYWFfkGc8ehrrTflMGKqTZixm/zw5jhEPSmJOFGqJRIYn7i+OMGcFxITfBTsUJY19lGqkwSf CSSUhCxDcJDcwnMgbwCGrG+6pIp5FnRD54xuDxcAyQQ/JBjui2oASRwscIk5AHOWGfaPFZzhMhZx eECfIv6G8aUuSJg22I9QuF+CQeaiJbnZz/OTOBSehDdJnY2GP8vzuPXFZaZCdMo1G/f1PIPeR8Eq b7IIRTQ/XYTEjL12KstZcPMmrf4YE9k1EAOccGHol53MJIIpjJNjyjkcbQ9bvx3VH7ktJ4sc6ik/ E13VA612v4Gp7/I2xsgbx8EcjpDyow6xMf4yv6VJF1Vx+Lc5G8t8aNmzmyuXx3eZ88d9NOjv+rOg GNelowsJbQudhYk5jJ9WM0iloSOtnMUGfp9J7Lq4ZfXIuFuIBRZ1AT75OhiPsZyt1b44VMAAnJCx igMBcSMmOpYzNMaPy+lXSPP7LQVBLYsIs2HfEMuM0fUzH+XEbntiy4Gx0QCo2XCgo0V360TY16s+ +sXilw2ztPR2eFYj90WciXM16VnFFQ2aYxgdeaQjwedcmF56Z8L7MOSKIxhhLaHNcL4DXCJC4iQe LlYW0xKpIB11116SGKIT/6eMhRtpx8TFYa1jUcQ/OhEaajWqO84QH+I2FEU5rINQYonGatl+BF/P icao2If5yJTAzXRicFeDAlonIgrqCYxwtyo/dKZ7BBFeSq8/jK6fNlccaZ+IdSx0nYpBf96cpE7x Iw+kMxpaeJy9e6AqxM4DDyVHgNxo5KU7SQqW6uyzEnFnt+sOSuyZ1uVLo2kI1Uaseb3E+RX8USB4 y12/uSfBz3zMCXbOkVkB2Io8oVlj1qyWpcsR0T2Rbaa2to2tk4x2KN80Xa8R/Ef2q+1z1AdYAVfZ QJUKfD4ecEs1PGvFoMGMSnxibb1xskBUExnokJgnHp78BPMsIv/dk0WSPvn04cVbXTEry4DJkOOW zhqwL350WkwEF5UCgiujq/mGOcjeb2ZVZ+KoyZVnNE3Wk112IvxgMBla3igfpJtHuQ4x0XrVDzoR BllJR/iz4rkHnckEzqACXtWOoi2O/qUsnUgZjCldwAWkoumMoE7jC+CZTGvSTk56raL4Oq5e/oF5 vg4R36zVCoVhOWDbL+Txz6RPzKUuFJYoWDg7QC8mgM9PAlJ9U5Jk+7c84olXgcxtXmgksa/dmv7E uJXSCbKOm0rgLxw/RDL+VsmHLtKX33zvNAhARZ9Yxh0zMzlqcTrd+6/YQmil2X24A8M7tKFg4XVp 5f5AFiZd9V+rWsvvHhGIBIDZVQpZXQRgaA4Th04ixEYLiBs26fhOnX1NA9cOrIVhNPxbWIaL+Uca kwkVyLn5AYE0yj2pxLH7WZZ8s6a0e7ajXVWT/p/LlTjUMU4LLGVm+btrmNnh3oo0sm9tyT5O98iV I/wEVZDPnaLxOl+YcsIoi2x+iGOc9nFDFvFV4EqqG7L05sw+HO4kDPQpitTISRtlSvnU1NnPtoTn wVT5b4+3PhQ6jds/yTGRmWeVRGXtd9weyTiQ/H86ingO5v7dlxQjXDYiaS3qBSM2VqDVqXdpe20a GJQ2OHTtv3b38rQ7MX+4FCcJpq8ocHDwfaEmEVsUim8JQNpV8pWe7fqM16g9sZvLpLQZfbftfxek TRvBc1N8+rJsYbMiD7fHPJGYy8l8fng3SoiVPFhYNenKZu0kv0az28jbiNIWxmcFMR0IFsT00v0l 6K5vqZOn5vNghyCXNYN4nVD8/GdMJCMw8vYGX10sIbw/aikGbmXhoh3WfTiPQYYKssATXQZ70GV2 86YOB5TgvEeVFyNEwgOPsKjD5x0lHjXPbq92phFw3K4vZCay31Hcg8eh/A/12SunRie3BArxsLbP WCyhhtxabEtHCEM1IMK+wJYSfHhKAcGVfoFJPuMCPN06FQ2lvGTWtYYzl45NbmYNbE5TQIYpBL3j ZcU87LrmH4MdsmB87L37mT4PkJ1pl8koJcvlV2xWwQ91cqCwmO7LEyObtoSPTwrgPVXzNj+Bu1Wx p7mDtFGajnMwpIlIkCEGtHn0HJ6DQbNkejxmU/g9fq6i+RBxDPgFcA8ZEi6KfpOiJlQZYIBq1o7K pRb4t6/6Of7Z1RYuadS5e5cPl2ziUQdi3nXcx86Xxd2AKAlUgizSRhh2Kz6bjgnSHSBTGUngOoiz O9JBlhbhnpQSsZ5Kzgce+KCBB5Q9NQ2l/j/RaAfu9H1dKPvFv2Qr2gtxjh3hN4QNhePNdsgLO6M0 DO17pwnstAeoxPlCoaUWxBNKsII230tfs1qSQXGqPqaVgSuGIdedUJhteSj7hRus4bQP11boqP5h 4H2U16yrydQhEPcxTNJxCNo3lpUeSeZHfw+/Mhrx/xXBbklKB8dLp3VpJXdx2bw83ThYgopnor9b Rp2kkQNwkP7uKZHVcTMZL6nMdI1d3um0ZK8EhPMuMgkcHNMxC4cfLsDH1e3a32SPIVpayOqYWG6S IW0hE6jMhqw4F6nP2jYRa3cqOEzCy0QiIR9Rnk8pBxm27XHqhOm+BHrQ9ruVL2/qiVH5ocnxbjfE zaxFvTScf6t2d2/BKrJGUDwRPLo9b6f/3YPEUCuftnEF4rJ3/Y+8CLia0dXZQgC4dCa0qwFH9oji y74NY+TM0aRjb5lM3lmmowmDdMqAy/w7SL85wsltLczVC2fSA1I55LSQOBiywL0s5ohF52pNNqSw FHKEInDpM/7JFtLQRE8hgxScgAIuJ+EgjAUYsHlA1+tnTBxBRAqk/XfPjADdCovzTv/lhgB7I4xb IIvkF22KzjF5iYPx2Z5dkYXPln9GRHkJOEn+3v02uzcBbnZXQgw2KQxohwOeZxWh4uBe9ftYQzym D2GxtdGJdn8l/Lql4A81bcKzeXWqbLVlsN7rIHHF5CORxSL24FnJxKlw5uOJf6SjmSmHr++lMt3v nqKY3EDR+b/vhAJ/OhtEItS5XDhl3qQcOgbTjZKTcvJ79YvaFP7o30U3tHqa1jRUgW5Uy1Zj9CKZ yLp+LHbsPnIybvaDVUenrr4dP1boKE7/ib5hQNTMqtpFJKUjLPj02uKJap9+ItLw2YzJ5gNBi8yW vlcHufaQnvt8neRPZXRGWQx6N8HxJN4261MmASLR8ajIGxBrnBegh2rMxumGJO68CqhfMtvPYybn DeRAbMYdyKDCWnWUXwTVZkwbOdLqe/so8CbEaqkBqzynC65Rp6A3oTdDfTzu0tMnVBlR1n4VhBSz vv3jy/+3OdVuRiyAs7mRLYBq5o8xD4rWS1PFJkMLuJuvuMtIYA+h/Tdou1mtOFIl0oBSXLtOnXTf DCAcWVqEketLRuaVe65ndAvqqUvLFRpbdd4iyC0bEoHguivCbFgJ2U1CP3Fjnif1orNKmdeEyepT fgsw042icTticoS2G4ZD+Nd7/n0arpDzX+TBudHWmHFFXwiqV4T8csvcw9h8j4LW+BissZy775Xh ER8JtQT1FQm+n7XEsBeAvr58H3M0SOtP1zYQNExRwmfnMuOjMJ2MXS4YKhsgMDHWVpqr/BUdtpwZ tAdR7FP5Z2rpvJO1hOi2cYPesWfmZR7pT49T31bKdrzlwn++8psxj6e3FleS+tkfBToPhMpSqvur j0DoOpuhC+IIfS3eI/b7cTUJ1iehpVxLp0jvyXRca9dn3ewJDONnpsWIgJIGLj0caz3IOxgTe+a6 eZbNVDrwaZSIh6S6gwNUHTM8JipjHk8W9DRhleUPq/UAYatIP9Xr/VzBZeXzaua6hhHL35QSWDQs fzBWwdj2lJQY6QwNVga56UsUe+KAMOBprmF2RelxmHWaRmBBpWMkBGpE5Appi9jNlKFseeccbCiD 73W5LqKSRFwnje6dd06/fIU5a2V3U0n5bZnjRSIWRQ+t1CBo0KA37eXn3H5WvFdkrd88Stf9R9vR PbK01TbVaV3ZoJ1pUWKyRCqrQHngevIz/GFTDJpUlbjA66ZQ7yDtEhk9FFRWUbjQn+gSpJ/mUM9T +wGTZM/myLhi0h1fMeNoAW7AAvHD01lusq+mBevkQAazUj3xyU7mXcwpxqYmxjzt4xXNMEAwS8gA j3QP9XUx0gOru/pd5MEJ5PtEhnHDAG8p3jv1mP7McJCN6J/2l+pmZ3Gywb2rJvqGEfqf+CNCa8qU i9yIga5rFg+H5UkELJWqhqy6ID57ZXDGpuidVEBMLKT6GYr6aZ+DA8igITu0XsqS5vHmFIGg6G6C 9gXYJHu/dAEhQ8+x/piTtHWhK2tK54fGMLUPXJ5lCciINeqNE/fqGFJ9ASTcXyqkom2FlAohkQrt 4vCLStm3AEXU9BKobXUUuRsWbM07fHm/QvxzWkcSTbDrPGoKn6UXJtJNgKqA3nR2a4dSkSQiwu9b gFVxRhtRvFenOdGQH1m/WgtqQF9o2YvHCZQX22LVOVnajeeNLK2TIbjdJLXPcQV0fB7RBWO2WPjd 4zzLqaGCXTcYc7HLCZXOZ5gWZLZpxr8RNSApwVmuOzw0aGzkTdpvIPu8TMn1I/u0Mb7lcuaS0ln3 sJXXYCLGxpo0lQLKF16z9cV6uT7aN0WRb+ALzWRGVX2otxwJrUZXlngJh8RSQHxLrLrPvnqpFt7U wbNk2gfbGziN1Kz4B7njd48OP/z2yMN4DaBg5St9TbeyC/A6NF9aGH9pF6JSVOmma/pZkJVvwKDb SzMcLDiho+3lP2XPvbpLuPR88MfC+XOPw8gAaI5OZq7hF/eiXYOSSCbAl9UEv8K7p7IjXf1Xat5g r90muZPoDLchQRERAl8GCznDG1R1ojNVoo6A8ygwqigLvSy/pJKbiJWlQ4plivhptJNMZ7fP/AIl aKKiwAJ73GQWUuttu4uj5qEBDOHEUzNFLtXZegA8ONPifWtZKWCEfS3Z8/GgjYHbf5UpNMhkTGPS zyKFI0BCrF6pFrF/ZMWlpLPN8q5M9WwsCDtzkwS8kDdyMtS9MWsIeKXwgyyCoVvE81x3DY5QiUyc gTQfoR9T3OiP1kftSdN5BDwfyeFzm8eiBtcGsU5y3/QjU+773MduAI8B36GqHE7v4vnVsBmboV6V 65RR97hDPyR5QNdkzu8GWoU5/zxzQeWkxiabIOCxBeY3iqailC/qFm52yR2k3eC8MMkRTvsG6SK3 pULOpvHVH1m9B7tM50qu0EwOWvhKqAARbZXfA3xWeDm7T4NP4+Ov01h74sxVIwTLj5cwBYbBc77P 832pLETR0Vj9UKoKZwvAURI4adlX/YRyFJXDQ1Xcrn/DXw2FkbqIfggnQYPp8t+cKnEQwgza+9gx BOUPLBkbweruS16LlxepgdqpVBJ2Smzn04kiY0zPmrjiXKxZHVctDr9lcgnKiNLuSPtin0qmHT1C MQpboy4gpnqEyqC7XT30sOXWQENf6PGoKNW+1q3dATYK3owfAafrb99I38FmwCeUSsivnQFpYoIo SrKoTtVsF6e+mf+0Ye8i4Z6Sp0ZZixwXC57a1cTnOedR2ih9b9DqyVRFFYvAFfpgBDf2wJJwar7U n8ds1tEgFAOFS3aPKKAMVWpLvtWHeLRGSQtWQj2nsDtQksKaJ6c1qznQHchFfMs0qBDRbdxaPgvW YoW1ENnMax6wzSS+9Q/8EyuD4YSDNzilr577JhSPG5awYg8f4rT0ioR3a56i2/aU17kQNMcD6m8Q DhRwNJTImX+knFM+/GzxVKLT5ua2/3E2eKEsFk7iA+57Mem7ft2U/LKr9yoRKCd2iuACqDZ28yTL 5RXnIhnqs3TEYfzdIxaYZ2tmZMlWTU00cDv7cEWvqkX4oykKjPqtafsOzASsNDViG//HCPbFuia+ Ld7FF/Pm0KxlDEtqehB3gK41nonl5dpHvEGYqlcj20FVXPUBATglys9F39PdhmHFQeNHTXNCbLMn xKEyvDaqCjVtwYHFVa5CxshDqJFLL3cTSwnsd88E3bJDNHMhvfPtoAoE/HPtZ7Kyt7UKR2/ynXsf +z4RlP/KVcCf2JmlCfWyQ/PxFhHKlrJIXT0rqnf4b2kYY5LOB1gS7zknNMXt5fKEeJhKP2Iaw+UH MLr7PpXns0ggvB9FSBKiQepyVnVUQs6FKdDtAdAwMOWyjNe3TUnjzkYoBjBrHn6cx8LVecAG8oWc l5yCfdsmwj4MsUkh9LaUrhTugdiirY6rgjXQY7p2otXDKvIa5ZAYJ0rTmvXn7yKY0aQp4uLn7B7A I5RKGfg3Z9KWsZ/xK11S7oz8Xo+rxYRoYtP55c7UqtKpucTJ8kKihEBR5cfT1CguYb4xBbhG76J8 3o73mANVsyU6G/P6g/wk4N89+QiadqqruwRODENdOG8gA74H/6AGSmBqSu4/vQ6/ILNDjESmIVtD Ul+NnpHvxNQ7MtUWDKJ4eiFZME4/g/T8Kx7c8OtmEPsD8gKlt914OdVGUP+dOhW/9qJ3gDJmqevS 55grNJxlGFGWHzXK6sdt+j7UTNrpyJv2lalouBqPdV4ZsbdOz1jwDSvFTiOiR5Py9VICDBe5YCCK qNK/0AyxlHA//+iYhOPt2h4OBGd6hB8YeGgA/Z3i/sKoPan0V8L5EetF+darJyc3knq27HsMgRFk YWIy5bmYTUwxUZt7j9Rrg5uNt0trchnJOKVkYO63S+If6et2BaTERCTbYxyhEf4ymL0iIvQbZc8c ojQstZvHk/yrWZTFTCnpIwcVp8fnVx0tWQPzTMinF+6IamvDKzpU147w8LoQ4QDDcw/As2yUbThs hupfTUGDtAeUU5fp7hXUUOwmCIDENJHaOQ6RkhE3+QZzEgjEbDP9uJPgAoEN1N9WuJDk3JZBRgtU Jsho7wfxTmr0cJoVE5mVAwUDDz7w9Z1WpMI3YfIyGpRCQL5kGgTDnE09tttCljv4clz54ZF60pOE UoKA9qEbyXI0j49qj4QJazKHd2YUZ85pPltHVJkZtbeQi3FtMYrLvfTBKMzZ5gTDSKIBioW+ZFdZ 9AGWMaTZv/aQjJ/c6ULFS26faQFpMIsE2NVuxLh0PcLr/5nE699Kv508EJYMZn0cDVb96ZBVBjFu OWK4B8QirrX+u8cA28ihrVKeMYhRFHDYFHbptmvIEknLNGLSZ3+wsCLXeAy5vjFy9VJ1ViJ1NhBF xMuYjnphi5BeoBgl1oaHcurYpqNfXKJyh916s/kZPU5Dhbgu+NMpUb35eO66GDhl2BjkMhOlcF06 OXwBb6h4alPjXKsNO24fR/nsZp60t8Ykx9XzjwMuD5QUfe0HcRZEbs6BZLr/sXM84Fwz+tzVQbL8 FNx6HuWsNuMec5z8Mhg2ynBjtETmhNLderaoO3zU457+X7CwAxrlCovCW405yUPpkuOh/NxLKWHa CT6NMgdOQ8/aA3e/ZFSpqRvafK21fuUoyb74XNrbwkRUE4sLfT3tkLKMNBAV62sHlCWw55KC+989 hW5DEy1JgRlb76WpyZ+2wwyAn5es4ZLGmiyT1BN6QmHhLGqiwo0mUoXNDdmzEYvVkHtUcOiz1RAv owIn2ZmogqSTL4poQ3tmBmgoo+Kao5FQsdlA40qrpIpipiovInvCz71R7p9nl/Yp8y2RKKsvvikL sbRN88a3UbwCZlQlDBq/ezRXLInEjtIwlWFtbHZe2eLR4DQrHzqemXEdH1WEEvjFGaFBvi0w05pH lsN4RmvCJmLiJFf+zCPWxnU/c3aiXgNI2fhIn6UUMflX114edZ4xK6vzczwHRSZDs/KirTfHancl D/klgkSvcQLQ76k34uGwr9csy+Ttor69XDtxso5O/+7B6Mn29MiF8zQ/hqf7c2CWC21iF2kf/qUI jcoWLrgqkcnhP8sqRw1aiTFwPhUoeMQRSNOXrhnvdXu/yf55d0Oe9ePgMoy7SIzX7SZuMdVvIbEY AGLynrrAteqQ5zkcPScuKOilcQw2lSLF6wpsK/SlZPHJxwTLaJSpBaiXsg6D/ff/7idddE7vYdjM okfJtsWS535bdbvUaRWmv04Wv0mmo8B178+/CwQQ9VJGX2PxGc5ecpSo1fXYhQTWGJtp80PYkcpr py3bLhwiWibnr/61N8GImsIYhVs/yai+/dZEcbA93F7/Q7Ana4VoHLD6bBHQ+u9p9s4scKQxlUfk dvJ6w1mS3z1c8irNi2JxJCWnM9ExJt/QR7XjPjVvXtbahFaS/sAIBb0L3YeLD5ksJnpz9S1lUz0i wQ0DYUM982UUzrKHZqMKzX1EnzGcT3FzcNH91TxHY1c7emd0Z5eRVcFVrJFb0OU88NiaqW7s30zL vh27jffZGWXOIZFw1gK8FrfEFEkY8L97OjowXmb3y1t8xjeYAdlGnC54fQD8wnUQ+jWCGSr9solE mYlmaxfe7rtEfpxore8ZVgfAcVGflJed9araRmPgTkrOV1YkWjwacORHa6jaAU9zbqM9/ChUko/U qCOFm+/pQMluvdXFrIIslNL1YnMoNY99ww1S/FMzlyzuMYwotNIWRhKU9cGuXR/RVfJ3uCVT9Vn1 rVD5pqGXoLHhVLoj2kXjNiL9YWyelj598yxBpPAN2PV2yqiqVqTlBXyVm5C8s2wYKPiTbaev7cbg 3Ve0iiMCLmrbHtu7RS3HxY0grFgWhT6M4AnaIIZaD7/RJRpIG3j+PhSm6J8KateCKS8StNaa5QBF TUG1++qoI5kQ+jP/3ocJNzIVvcQxcPdg5FnkFX9eLvGdQUf+qrL98jSVwh8Yju4u5nGT1T0p7CAV VcR1vQYms2r2QAHu8S4Vj6Ogq068ImCWUhTmSptwK2t0MM8Wh04LkGvLYCBAjRV97cWvCwcFllNS tRhcCaLathExD4XgG11Af3rnEEEOkkJ7V55U9/c+zS6R37mGGbbOffGuuCz5I0MSP5koqE3ymrtj TmawR1OhFogBwLx5TTCCGEkg1TjK7exwsCzjOLVx28W1HVDUmXZ9uiAgJ7w8sRA2pLSOSF+HClrS +hX3RkTTaA1D1mYN/NHtZUJS7lZ1RDUbwVCDFW9t/vCh8lQWHl7Xzk5xDU+/9+keXi+pzQy3gWWG WLhHSA/UQgRuFp2QaZWJFACtGFoMHqRn6HDSNR3ETu46XLAKIUlGXkuITaJOpI5EBAz0MkZD5t+x Y4hX6BZRsT4EW4geGpxfqz0ZRpHWSG4XBmFpz9B9eJDW+MNU9mAgUGeheLWRF9YYDxbvh7Vp2+mr NKmY8LuHfvawueXhTUZfY1IdFcHXA+kaM5gInRZzbnbxyj8nMqvgj6det6GGfhJebU0K41wYCh6y 3VNqe6OBYdk0OS0KMMdH4GcCEg89J4r7OE4AG+b/yK6H4EC0JQDDsW1zYtu2bdu2bdu2bduYaGLb Nt5b5+6/xV+nu7rqQMj53G0ljCJyUKpFNd9H/Sy6wWmBo5eZnKHlNARrWSEHDmUFuIPUZDH5P1Rs /uEmGRGJhs27U4Z9AEZ+7jkIVV7Fpo4BdS+zvHFKYyYbYtIWgciataGFx3Ydcj5Q4W1CsGUWWZ+W EBu+u6IxZjH3wfZZTAnDyBGbMfaBWdYBB6ZenoZYPnb+TtIkgXZbWbfyPRQ/QMW/DHFY6aUP2k28 vueuWdYpgm4Jtt+LL54sDB3jQSB4B8kDehRi3y6TKz+/JpMAqiBS9VTG0nxZfD0wfkAfcrvItA89 I1g9w6TI2E2isai6KQsTpeRBZ6uowTfBqF3OTEnxvDFB40hMXIFt2edEWZVT6/MkHw/uOJstdmOl QcH2g+0IlDJrUKU2YH3CYfTLlzte5dRAGZpHl5J+ebOCGGG2uz7vPlglbRUmR/5wC7Rnu4XhROfl 4SCNowMbm+7hf1gct4EzJnlDT4fzh8EYYKmdbwZ+GIGC3fW4FmfwBoN3kIjxikRXTxtEwgRx7yCa Ar9W0BUhRQCj1ZO6q/A1aSQIiCzs3/colClriSpYJ/Hf1/HgKv9LRcVtd91wXCmWfPbRoy8Fb9CY w6ZVfgWzPKAk0Pt+MEKpOsbe6V+sQy9D2dY/J+ub2kZNFNuCtiKDGgf0vL+RqDTwqNj8Cg7JtIzG 4tJ2goqC30yqKMTEbO4wI4Ab1qhSP32qTGiZrf8N1Uk3I8sIwcCqkuugbCPeHZoqNuxwtlOH+bJg Okz8xDiK56NHkibmiOMpBCIVnTTKO8wyf9hL9wpgWnEjpMvAsfzprMOoQEIWH1sktMNvcIIF/Jbj NTnmn8NQmNtApVAXJzKpFWIhwlG79XOkx9iKqPacwVYL9I1j5WnnHt+t8dNlPSLHZWqPlLxFlSc1 87zKCHTlZtgJHa6whEEKJ+RboI8zPBr3DAttBLhSUrHHKaw+XRm90i5v2Kp2PtonByP/VKt3i6BS KrbFxaB4s3ueKXPNk+EH6l4MzAFftwq4zNFdy0fLoUEPTsr9QtyH87snHrBtTZBfKOGGYA1ShDOB BUpo+t9DSg3XqOyNK+z9oEJU7cJt1oqBC11Awedmj0gCM0EucWZU696Dp9hN3qa1c6W6irYLpjVp FTm25aXBduTuOjl6SBFNjKKyRzh9GBOYkCL3EktLJ42ho6G7cnpkd1JgS7nn2vrBGII0+rNU4eV/ erJ0VpH40CYEn1MW8k81i0otFgbsHyXQWlGd+hRMu0HWjkzNgTsJSM3lx64D+MMBaZak0vkNTPTu Ntu90kuXiuM/cAl1phOQ6vWJZA9rp3dpJ/XE7jdkF3hOnzQiGPFTCz2GWVeyKAV6nlD/fGRGMt3Q IBtaSTk1mobtEe8BQGP87nHod8g2FVwJIGtm5sQcF7wEy1BvZuTQhRYP7joNkl5xLbHeBtcSRHak WOdcMrtLNASBW2RMLkaPcUd8TIER0xowTGTir7LjU7by9uQ72tUvYsSlQwSbkUPOBkFNNSUZj/SM 6M/Z+ZnqcE8Y5JxZNfeYyZB4xXlxJPS3h1y8Fu2nQcX3PW1qEcfJLD/ad065b3UmFlc4edfUxAau EU2+sdzLXIongSnuJK48xvxa9D2Iqo5aG5sXisFk90mqZWJlCKWjXO8fPJUkNnAGDYXYzuOC4JsY GvWLW9MKwYcDL4ms7OJKvvy261u2rXcmEWrBjJFAEK7NYPNgcBC9aWqkcw9I4EwGoOVfwzbSvKW4 WeJ3+d1zOFjX483QgHQc+P1E+GTkLj94gWvUa6kFla2ba0K5HH/RhuCVN1FzSmxVa9MK/Sm7EJVL NVrQpkB4ia/w6Pbi/bnVvdu5ZzwEEjoOt8m/oJ2U+m6HvCLfJyvCqbyNUYGBG5EiB+x9+1WuAFsl K+aXuB73tLzHEWwyb1nzLDhYRvi7B8Y91B0MrPFEQymRLyabPCd8QIrLxqXNMyzyeLsctPemVFGw 1IjCZz3nktVTrhv0kyK21DTN0jFGoSzFszdI8mPCvkJ2KtCCGmuJZb2x8NEi/sCefkcEoqcP9Z71 ibqa9qffXHj3+S3DnWfWYI2bcKSPtvGbLZHLm5QibasKAF35dw9OWOMAQaflkMxz4Fns1vAns2dd uh2m7peDZ2Iph7I7oBtVaiVU83xRI9AgGX5XqS7FYTvl5lJTWbCUr3myMJ49jZ3nUbT/A5535lcN sJSpfaud6G2Dh3/UfFdO9EXu9V6OfaSIdf1yCpdDdnvafHGVhJ6/EYV/BAOgXmmsbpvk9O+etMFP s65YBhTxJedrJpc6xJkzW2IRSV7zsO4lel0PRDD1Tr6KGhzno6jKu1o7xCSxTCmMnenc0BUEe1ev gh6MwpkBWmS1yxfmxkvK21Ep2jyJnGuDiNCovSSeMRVgE4mL6zn7pTZ5PfvH8cKkgpJZ9FSBFfWt DLxHPPdhRBKYPR7Mvecwdsv8lFEXAY/Lon47DbLFntJ6qOG5HfZDfkj5h8aeatlTUqmkZ1MVDG5F BTmJg43AT7KNugLGjOnvKhkEX7eVkbE0OIGa8ZdYmBA+34YDjz8G++3N///azX1aRK7zJs+1017w Bwj80UNiFx6FyjMwiydDDNWgu6CwEagcq1V5Nt0s3eCWQgw14UXuOwe2NYKf+x4uglZjcyYOqGoz 9mqsKPHpNTYVqv+scN07WlWdihNlyRLxXu4d8ANdEEm1TUMRmIQqgpofRqPXzRShKCVpihDrJo6a wa4ZrcDuYja58Xm4V7xVHF0xmCm2fiGDrveYCUADb2wVhO2yWyYZYsspOaCFQy10xoUzGrn+OWxc YMEjOTJ00yCABukhYyP83UOVmU5Fs3DhMH26VHfM0bO9gS4A5up/b5qySSiwNPNUckF+aUnFIkkl SbvqaFZM0J/T/j4f+FeXLdOqe5Kfd7HCNpyugna9AvyzhqIBxjUszWC1tAZscN+6te3Z/d1eDNrN XJWkzcEKL5lVvYjL4C9rFBFt2UcyeEpM5O304GkOwu+ezZD+SxEmTbiZZcHUUoEuIfWnt5SyNc2K Ghitemz8CHXDf/gYm98kkl35OP5fJs22fMxjrEWHWFKScrzq4RCF2N0sajz65S56PdzaJlsoSxiZ t920WH7IBaMoVAUkry2zWAVOz0qX5xVqAk77+7hLIs48jskVd/ru1EzF59w8q+W/e5IGpIYWwByO aMgNjpgC3sg+yrAXvli8DnB5992bj0Btp7DCP9LlU77+xVicqhU42I3S4oINABUTXy9SVDGhqb6w paUoKs3sjZdrpXTkkl3mYE0NR2jCD5lg4EtSkBQAdxp6tKqfROQ0w0W1h5zjgVmfNHKqfOyp05ss yLsxppHM/+6BU54JLheJzcDgROm3zI7duX4gfxIhXr63DgkOboSEI6dl8eMy0jU+q2wzyyozYRRm NWZdm7YOdlXXyAO2aazcJ1ZyzgcSgST00PyOauAaeLVzIDFZJGrYDacB0ArKQBB7srE5d+a75V7o 4jovU03GLcVNIOQXsZbnASP8cGirpbrEiNV/5uYBSJY11accQR6/5m4i/H6OEPPUOx5DqBzV6Umx oxC7A524L258j90HnUrD22FsyDMHKOrbb5CZI7epsWdheaJtO4JT6WqFAM1yi0YspgcyU+oPLZ0X q6GJAjhB3Sz49pAKMP8krkWKchtwJHgaaS256Kys1DOAFuEJokUnRVM0Y6suH0ko7BOhWpSZaUwZ 4t3SqVXTKd63mPw3iPIji47t4JSvgi+pSVKrmOahaMTJTYQuo+OcyqW2r+0Sir7khUKgX2cmbjI7 sYO7SEDFSJUpTK1xZMf+ndX8agiuumVjSggHfgyx3yzjnE+c++SlH6Oo1DhnhN0wZ7fncaTgUdHN KKhH/9bD2e/3rfDjiyiy515TE6zmjfYc/O93D09ZfMlYwNCh4nffxDxC2chuNE39fFKFqhWKvJDC ujQHGfD3CdDcWOiEnbFFqttFoYchL9/s6Ye0dw8NIHnoqiISe4o6zmaPWA7/owUk0Ai7YGvdo98r /iQI+5J5TctZaaCK1fS/5PVg8UPsimRWoZwkGbHZfCESBpaydIfNCcay3z2E33+agX3LXPKxeRmm 9Nw/Jw6+DA1Lz92l8cqwswqCBDTBLbba2bSmfaUsyZSlIO9nBvVuqouOca+qeXGHnwsu43DU9xNr vzrjg/ki74wPH7xeX8TwXfOumlV6lqWYxPTthEH4FgzhOfPAQmfe1EhaRPiDl1Y5IseRJfJE3def /jOvCUBu3Of5qGLzeD5yD+W0gzRmGPLs4OTlJc+2PiCarCxKiPxj+Y98eOjXgNLecv4SMetuNeqp mkZijT0c10cFAgINmxlr5FCVv3Kj1chJsYKx8CwwMjWuPx/7HgAR5k0Sf2vyi+NRiFOGQGi6NPlT krpj8pC9QtHQXuNrLk2MwS9/9yjPlLCPFSAA9u+grnRAbSCb6W0xdRWsT7Qje/wN98QZAkSe0Ktu Pai/26Mp5kNtqVTeSJ24g5zCMl9z7OPRXzFs8W5rU4/kQ+rzNa+m2HdtXcRzaINrjZ+JFxIqgT/X R9MSN7uduwP0DyZOYgiw2k7wWovURxaJJzyIZC/mSwaR/d2DGXy6h4OXHLvpTDbSgE8c+Gqgyozx vmptyv3xuuXtE6wJyoQaXVNb/NZIS9K64wc/icWDcGectBXwHG3rZw8hkobZ1NMgvqkARm7/Iigi T1snOeRtCMX6Y2mFi+4iAzHc1BWKmYWiUe43cDAbeNs+qmN05i65WHmc+bdebpm6Tvg/PYWodl64 ftXrDdr8uu0V+pwBho3jGGWELGOhTAX3UWziBLGCkr7QRhqaCiHNg1MAie53Ako3hdlkyVy67J/c xyU0gu/Bs0f/oC7eCHmegGe/pgmWRG0XywtqJ8xPLXhDVNIfuXPdxaRCpUKWZgxFhe1sYMjQBuSU ILaquBecp3x/98QWradZj9gs11M5jPJT/BuXnqDzgoShpNusA2QvR3LK7ummmtcz60NVeF9Av7Zc Ynn1U2SX/aAbo1+SZ05vvy0rq+1D3jFvfydhtuLmfh5QP3c4h9rL+aPXggmENeGXS2oMO+6c8bDj fgWH1w9tTh9DpF5o7ACBIHcbFh9lVH6P/rvn3J9Lta/l2vXmoBKosefSnthsv/4HKTqVhFy4UWG2 kX8jd1EE4GF2hfV9moxRKepJ1BtXYNX+OIa8D1Q/LaLrezVEx71OE8E3ZDmnhWvO99TuYpOvUEN+ Jp32D7Iu3uufgPCP4pXSDglniU+XEJXTY5Dp4PebpAUpci7yRcAH18zfPYWC0AQmnU/eBQ/TVzGR TVGkWADPJ50GRH1nOXHeoE7Gb4w0xHUk44TeJJWiCSTfpMaBUfu7P+MlCTU8Cg8Wn0pg73xKogiC vXGqEzF1FdoMe338W7lm0xY3jYzzYfheYC4vlNh9Urn7Uh0OgjBfKp2TEANMJmXdVNZwTwum9HjD v3vOYj/PNrNSxKrr4fOgHEGEOg0gqHTuNRyCACiScR8axFfg44tnGf4JZzdF2Dv71h1uVF1LgEMe cQ8PE5O5bTexWIu1m1+t1UwlPvqx8WfhX6yv1t/UzNSK9C2I8AreiibGWuW2GjviIqvTDKBIZbXP bAE3caPOMhNkFDAIcqNrYP3uSeC2W3bAIJ00KSP+xwMDua6laVBpkdpsgLreTj5QOr9eHBW1alqY uhdHPbfxvIVD2RnJ+GnP/TT11DmJLtt9+WfQO8WiPKnYLyiK2EClgtXKN+Bd97Xah7hoDzyjAGgZ 5Ubi0koa7qJiwHO3IDlmswlbNT7Xx1gY/3Bqew8YIXMjQH3LGewtWqLbTlmUuRhWYsAGGmQHn+94 NKFOjducPVbgJqs2WFpzSAx41YoTAJNNoAKGRvk7UnpI6+kgRFdCPx2Gsp0R7NTcWYg4RYCKWGDp Od2dJLVCYvg2SmQgJMhhsfA1UELYhfexAphCKsBrP5dzib/r0rVHrRjQ2sucB6SA2QWQ5w6LCvKN GumG8LrmbYbMHjlPui+cXF25nQA9gbgIyAJBU6IVfXCDa0N34rjEiWI9fiBp+kdk48wDh0Zu2vuo 5iJnHYcLCqAuV/QBTrGzh1pvPOswSw9c25sa+h0SOrF2gKMsHNxqhELyLgIScTi17QmZSCZLJLLj QqWzcgCAWy//rLIziFgxMgorbgscqUSpSLg43ZjFEsfIdfnld4+anWkpRbIYiA3N4lWQlCbOpIyS Jq65ygZBCuCKwPCfendB9jZO/7b1l1PWVv64kINUxniUAsYsXGcN3qLiqGXTjSZwRHnKp22cVq39 MPuULfEveC9XzA8fIFHU1hbiDO2rgAarZfq9S6BD0vAhfJhB93GNDEAtqObB2g7hmhhruAJ7aTp6 H2J0E0uAe6iTm2FK1ygiewA7Ci8bAs9SbyVSMcS9TCEvpFDHABJyGU0qASjcA9k2qW77isKclJMu BRLBopkzAIC/A3aeX+uDkBLv54I2VyB4j15Wm253SR16DTbf7VSYCBTvPgSwgsRUs81XDMdB96Dy Yb6vtxr+jEVYk3zW5WAZVumZJFBxxoTKqDAtx+Aqh5ybNADSewiFsqIyHWpcm38vM7/tMlSB14r6 kZloHpGVgAarw6j06gJ5mzZOXvywPrXXBpF/2JhgVa0AyIonNnmR6V/b8+tUCoOJ9f7Wus5LeKp/ JGxUoJ5/gJXYH0OBWXaxb1+8Z0WS5BTuu1lJPm4X4FHvpCoKOvWy0PQyJkkAHkJlMOFdMvDDl23b cXrFWpAH3E+V+AkwCJ2yEkBMQQwcPyFD7TjBldB5TDn/2VygoLCIXti+N3IblRX+pMtnA5ae9bUl 3XkqBWWP1NdKCjP1nrom11/qkh+EeVy9OFH3nsy+D7kUO/O89RWr52JrJIx5Lt+bsLPwWOeIteZz AwV2q2gG1b0o75CFpH17FcddQhLmN8auTWSgc8pByI3RvumNAouPjwd2V2BmrNbQLkzBwRyhq2q/ khvlsg3iXpsgDVIG5ycZHwfZuQOUNYjaWijsWOLSbI0al5CyPPnjKOEKyMdih9HnkUpRZjfbkuAW WDlSWV/df3L9CsPEaZk3lg+bBs0CqL3HUNnIfYZgF40Eke6ZEYk/K3gwub74tYI3f7VUawzrhJP4 +PjwR1el3blmlrvgrTIIxxRC+iRhKoUaojKVAWJE8YPC3B8NbdMca1YqaglSyXQ4jfSljRm3Vgxl bzLMaoLjyv+YoU39JszeZJya2xz3cIQUBCeK9Czd/U05okz1e2xkBocuM3f/awf2rTR4Xdb8MxCg DZi6+8O5sa3MchcBQ795SY8e7w9/eGLLTdvcSK6B1ufZzswjyZv8U8KVZoWvARbPZejOMPPdyxic VWqis2RAjIKmSdnKj1XkiAVbWVJ9jJ/DmiPmgWTbdE7CH8E2qHW14DIyG/QRn+IKOob0xxE2LZJ3 ffeNRoujPLFgA6wvYd7rAzsEzBCqUzFbODH3RKyFN0DI9kwgWI3xDz9he1cjYYbnmyyTVd3otR6B jwofQPqJF/ujf9cfRVGhFOWGc89z8pXqgpR9tPLrBQyNVNEE5Sz7/AKM0rgEgYxx+xFUaSZgKrkW l+tP3+/DYEc7dWQVDX+TF02fzgjn+bwE05kOly65pbComub1PPpgVVNVP1Vx//ztAhWavRKyMBou 1EXb0iuSuV2mcjUu8R/1GWI6L5v0h5gg1m5q4MN4M2qnS588B4cganEHS6x39ftr25lRqcD6c9nI YEP1EjfaxaWU91dDtQodY4npbIvSRwZHKDLPvlfB0vg7aW0ojdwgQSIPcWzZwvNV2iUcrIU10f5F wfjerpYSuyonZBfaa8nKLRtyAcT3cvMSXCuuD0UIxibT+ZaHXVDT+R8XEljDZsGIT0e2boZWDIUJ 4eBnbdiXi/7tvzeXFd6zQ5i/a24b5fc8KocGskSteH/GIErSZt6o/pRPFeEAmrJ1DUNVi+Pj7Hky b1uFmJRXFk+uRVX4P/d5Q+7TCvkaSbBV3K5IwimhorRGcyKdBHRVmiX+VR63tMEIEHe091RiWndg Eoyj1DcPZzMB7lMlagEw3srRgF0UZZ3LM5VWFl7jqOwwXjZI4FZeyHbU/PfvdGk6T2+nhH+qvnBn YKo6Liy4xN9M41wJrmvV/zqIgVGr3cBGRxMzlZeo3pUrXPdsvCzZLKtt0v7VFmEUUt0TPhXURbY6 2vdgoVR7es+e+pM21CH4UMot3G4TnqYGcLDUAkKpv72oGorKsf0LyzaFOdL1ufAk1mO/Ux0Aaw29 WR/Cttvy9QZUvjYHv4riz36yD4s1wP3VRR/Qy7BloJxdOxsGXNVqX5O75ssySMp0IjllniL12LjO j3vcH0rWblTE+MTgf1MpT8YFevBOj4JHhMYhFyo/AiA21laZUpyV7MZLzIy5VbZ5KOht44t39u9U c73omPATwSFuBEIiGYckH8mspgQlO2GzBY7ZlBbWcWmKluOkAlXH3iKAhj0mzpHcfAx9FkLu7Mrn RnBpuBEwC15EbYp1RdDMpl5+SYI8l/hLqdM2tdPy8CIxGBPZ4rzfjm3d5Ys6L5Rv3/wXN+SrWBFV Rp26ONxCT8eL8pbTO4ymOxBJkYEvWUKlbMHA7NC56ieSngid/cV2hFJEwg4g5jV7oY9Wepf0M9uT j5OKaRWI6VOHbimMzj0swDM4PlRf0cNA05Pj+k51tQhS5yTnPw/DQp9yXQ3pmTamLhETZ2hXnLTi rLLkuvwiVlqyT5u0mkRhaQ4GrfujoljRBzDnRyYOcFe6YR9PmIADA3ODfsM/NaQ1WVIw482V+XHh aCS38on3TqSoi6V3tqkeKzw04tBtunc2rxKEkYJf6lTuFGlvxDAbRbu57hcwq/zwU+7Kh154x88U OgyDQrMFSLvl7/NI11jN1irJDI3WCzDLhMAm2+yTof2EdQ1vDqNtn5d3dCAAHZriy34DIZTCDmRa hcgyeY71+5JJJ1R25Y6+LBe6VpmMxA0AVjdbnE85AP2Chwvuj7QRgKw1klvoJtkWNyZZujo86moB 1JqChfsf+sPKEi7fPM3LkfP7BETOgNuGwz9IcSuiT/7dro+tc+aZ4S41nKllKt2qaL7sf+iQKnCo l1Egub9Mw2zGTij8pE02voVOy/3Stl+VJxW2rQuA/CMxSIdrQSV9UvshrdZu3nwwEhxBvnKk7+Ce +n3sdvxYZ2ZP6C3ckgc26oYt0Mckl4EqevCVR9kNuzZwN1Uvsqdbox02n4CjmZM/ENj/flaf11dS NA4WVH4amB91sCQrr5oMN3oOUN/fvCzw0mNzufR8xm8Kn4PazxlYoKnT7HZOGop9Ur8Whz9XYi3P 3p3uhjtPde5on+Oqt6r+/al7yb41Rv85HDxG6M8rJRwQOVAd4cbdtpfLy/s8N1Whfsk35j28ElqH wG3gHFO1edEWx14jlUeSDOn2zE6xi/ELjQRlvRKr0mJAzR52JlcZyb6KCFttjutKObQ/bnwSIPap 51mmy5HjF8gDw8U2kbniuoMkGYzbI+TDvgLoHFm0Y1GeNyKg8pI9eEKMkAO0D8ayD5pgGsbqeoXk qZVeuGD9J57z/IcmkSMA6foGy1E/QOCkfjxc8Ltrm1Ah39tzSHEB06O4PgxefYr9TsAkcH05TyM9 Cb255iurGM8LISTFXQyHAkbZ1TpE+ztZwHfyhIkeYf/eDOT0q87MrI9b+KNwVVjBBgpx6b53q5yq uhhJrBHIOqVOQ2VaHfu0aP9BV+o51TY5ZAAZ46eATlQZ9aAfyspDAo4nlud9cP7kQS5OqBpLPYHY W/jnvcR2tkR+KBYXDhJGrxwWePuI2gehdGjzbqME8opI0amIVZ09skMSaTl4ynmIziqnxN1lVXe/ d1qScyJ8XwqW2Kt6KbaeDLHA+KH/Q3HG6gATGWbzJEqvQaq2Lch9n+UaKsXBskUdFEt18qGXQebb fFawQBStWY6T6Jbup1WC4Q35wHQZZVMuo3C54TYTnhOkLz4oTXSvySyR0zabJaCjRxdhc93RzQ2N icCVBJwZ1yt5hY4NC1/8WBUDlFPMQOwC1ioh7959lxa71MVARW9ALvWdN+UGXfnxs6uASOQystmQ 4pNWF0MNuqtCjvR5ys4iGSGVMH4BLpP6bzZfdxDvuAPQnlp6m2ZZZ59xo5DLJ+VMZPlUhihgwOl3 WjKgiePaoBzTEqMYzAguNx7/TNabEiUsAHCyE2aW0/7xBAaLuFeiPSyEkb/UnEKkmOBYweOCdF1C Z1QHrvM+PbxtVV1yDk0UDG0596FSTCrvpYnH9aENI7aSSlZxw+UT7N8C/5rDEPh9z6YtBef4S74/ edXmy7CLWNI39lAfUhs4lkdw1TmSR5VzVqT9UkzGz6mde5VPGqme9cmS/7DwxuegIndj3GTMmDnb EuuUVRh76Sn/rbGzlXYjqpERzAgcMCPoixMVesYvOQ6AUZYeuDceKm0RLIMPXVRWNSjdvdqjhohN zasGrvXJw3Zx50+6l0YUzxyOCaWOIoMagfjJm3MAO2MKJEGCxq+fnw43JXj+MF1L8nr2mAMaxdyw Qm/Fsn5n0Vi6t+5u/6h67uBZeFIZaOQ2LTuSHErYiCRUv4J1SaCvcqJ9PZGNEZU/M7OBzHFYxgRb TkPNDJRNbguzDcTTwGP4ist//SOTISUqKYBOH5dM6GtmIH21DE0/nZmM35Zmn6siqNMB44W7to89 BrNnXFm33og1x0TXOnN7rcKnp5orm+SH5jbxtBq6n6ZlHbBWs8AhkobT6WsOY0V0JhcvitBn48Uy LmmB5FRH0rS0VN1BHiUyCVfP4ggJsnYDg9O3J9mEJJ605ejiNoHuBI5JmfE6IFzt8dLj2hN4yBbE rSdjXoEgc2tEhkewSUbX6ygW1Gj5umgpix9fRJtO35UT1RSuDpMFH7/ZN/BbI1avwM+ZxKqbhN9V NWxG/9Tu+CaySFG0uUQXunU4DV6Qxm8h+MzmiBGB5UzA4udnUWG3fFX+bvZADVFNqYoemKoyMOHF TYR62Ec2HYab43unlYvGtljgrvRsEOl20HuYBSLWbo8d5GQA6iPZZbl40zlWaG4LkG/KsG+M2hZ0 TlE41VA6olPpp63DAnbV+9wQm8ZVf1ltmKbjfP1M0fZtYISQf65+30k0iW0NfVzrLrE69J3APFSD WMscUn+4puy3eblSCHVMNnIH5fOsv0/ECfje4SZWkKlYdTyLsQjZTE6brBApbY7NEbvBWLXkPfEa 94TZccpwFmf4M6DRqzfeuI9tuplurOZ82VCm/BZsLdEzkHpwgPgQxP2DNmEPYy4cB8kyDKCkyoBr OCJ6FDmxTgFvxR56oxk5FFXJVn8hhKTCV9YSS9MbYW9vwzKIxFX7d5IIp6AUqrKmD2tN7MHcsu7l bCTdu671Ktqh74a1UMiwm//PJZsOLkyMnRJ21dTH9tn6XVq6nAkuVrd7h8ksmo8oT/BbBmonvDBj A8a6XApyDt2jPwv9iG0IvwyfRtLmlokfrwe6i75KETdf6RHkrMYM4O2Z8+CiXFV1MmXJqAePhTlZ vjvGeRXI28PmMNhugbLB3+IlPPFiurop0/4zySEBPaeCG0pe4JgDitb91JhSWx8uGix4gRju6gxt i1d42FFeHFAN6J43NSzZspmdAQSlDcazG84FBXqfGZjTab6/4O/NrgFIKNVbsA63eV3yDhH2r+8C 597dxeuHQM1bh6UQYzWTXtV8NEsShoJCuLCo30233GDfYMQASnTKvSCOEBXOBbPqHHMFS76o2KXs ZLGw4/cT1F8QlLcBqT8X9xVTj8vGl+Zc4tMsZsaZj4TRWh1yg2LVzEWF6X7213usIML6RircOlVK mNpJpMxXZHvjcqi5JHCMXtkFQ7coZ3y5S5RuWEUvF0HHQp9ZqTyNeN4ZZYSQplj/ICSWNi1bKdom mRZ2B0PQ8pYnFltvecYclj5HNMyVx7+uU6PP9SqoVD8bR1ueF7fiZ2MHcuDj5eF1gSBZSjOiNpOa qHYnCmkQ5EbCBFqb9eOpxDVgz6TRMbZPtOfIMDyC3pzDKjygnJqNWt/ccyLg6pqjbVYb5kSHk4TR gW8WdE5AGCegfTuaWTMniz7cATg93UwTaxiNAKiRgarrNdqWZS938vrqYiWAOSue0SB1FygrXxxT 9LI3vk2/9SAKMibHh2kWGI3ZQ4/J4OtwoEL8yIHLE96kKJexIi2iiwfCgbXRCo3fqj8YMTVqIRc5 jUeGNoaqRB4mLkTNM1w5C0phcCY4eL2+bP13IOt9wvquAApbD3oOIcb13fwpEGH9Vq3fiwQGFJiN A4fJazKsEB0Z6Vgw2Jw4U0El+p3h2ZeOkBl5+xBL1dQfLayW6PofRiCIhqKFX0SzDSxA3T2araBV CPBAAzOdI9dS10x1uu+TKjysATth7rLSvURRQLFRJ8z9DoDawhFfTrSjECAn6Xvk4rsSYFKUoyzI uNp/stcTjDUz4gIE2pMUnMZQUjj3CfDWwWpkLNy/PQ0wmJhgoF+GqeNi8ooRvr4gSPJBrt+ZuL/4 grfLKITBpfo4FEGyMuMpCxmTuz6ikKZa1aBgPq82Hda2w3wHuqvkOCcltoynXxFpI6hHInkZGztA LPf21mMfjqgzi9N/oEZEwVCTrGty4L1dTPCkr8zFyfHlUDQeNIdBLud4nRIHJUAH/lAEnAf1eDma dL7kmycqRgjBU1VAhDbp9ZXd/YG6bvCJeP2n3f0vHJ45+PhYJSnPFVGX7IdN0NOa45ML6IyVz3/P cRccVR1785/07tmcD2o5h2d8vS6slaFGRsyVm1eHIfv3cZU4d0F3FFT65mkQVRhbSC9r/yduBkq3 3+wf06609c0JMAxLIJT+JRG1mcSh4uQm/+hds+4wBurb8X8CPDjhAlckiSDj+AbL88C6N2B2N+RN Ykn423hUG+ASVEdmZoSMT0YTacwKBmUQxyPhdwRfEBHUshwtqbTGHbvqNQCkDHLJ8MUGVng+yqhK JuflNjbF+5aOwwf1lade6FptTsCQGbrYxTLhaxyEvXofFZlDLI7i43wSmR07Ra92hobGlItpKyS3 TSmH1tFI2zRgQQT8gRgUy1VP6yKv/xJ5MmPWT79FMf4UEQ2dtMGyWlzluqmCyqNyHbJdW5vskFtT R6aZqHuuCKOeIlvhQEMVfmQ4eQSkLqUiVh7Hq8pofse73ImZWzKxCNmGSiSpQVn7/Vm7M5Rwb9ct gsaLBH+tQNP79/QV5yjlS9kWyjDrZlpabDot7y/WzRhvh6kuX4xq+odKnWbGL5GSQbscnQkZpvPu a4EFkgFe70NKR01fj28XU/pNFVCMYkEPm37Z9rwymLT/6CIkWRRO6+1H7kEG+1ULDQPXXyhlWY39 Et7revDZDVUXTayqfErOiEePKBt2l/WZTyNfmK6Xu56QUCtqogHGbwYjwVNytHCE3R/a2zv9r4kv 9Lm/obf2rq5qPSL3ffSDkrAADamSibGA+J3KHg5CPO9uY6Gjnb93ut1J45zxms2Z82o89ahnhKvD q3xy5p5np+x0h2uL/lNMOZVLhQOABCaJi35QNw64BsnT/d9zdrtM/D05e5L16kzoEQfSSySgSeyA KgCNutlCSMhriQBpW14SiD4BgWRbuWCwbFjb8JnT4jx+lXXN7vw54FBGEeM3whJpHSGfFRiQ04NH BVJrqKO87E+txp84BiWhClaORhnMmnR0Go3Jt5/JdHyj0FvecXyQRRCCcAlBcgo8+BOaavmju04r mEdWb9ZVz5im6isDhA52a4ebzsSCL4zOYmPddOTvJ0TWUxQeIs40UIHTdYD1hFY92w87aYwxTLgc OS4pVfaJuLtJPtlrk8VSglqUzwT4DUjF9RbiQxxr8oa0dIRh7sDXCodMhhin5aVsVCriV/JhWoM1 hqeLuC+tR936eJgdhqSvg8ZV7ur5Miqa6UdspQDXldp2pCULnsi87RmtBtt28gj0Ts0uqBeTMPsK pYnd/AyFv3Jqz+DtvMzLT+nQeOXMdYIXWqNU41IFYXZyWs9cNZHTxiX89JM/NtYhMRF6qzhtpdGb rWpA1it8LoiM8LeZ6/oPj5jYB5NbVyTQE1CkEATRBq4OIJMobcvmnDwmvZ/tpMUr7f4vaLQL5Ajd LeXU3WChPojVTx7j+KLbr2TlgGriHVbxsVXCQTyIn3j9cQrfYX+Km4iF5xk1OtHxNvOxfRTUheGY IzlOd1U2+xOdGVOEKgrqmEkl4iby53W5KkQi+fdh4LkadnM1r8l17DrrxgqmVeMbphiFGLo/SNqo Hn2C11OK3vs7J3fz0risNgIvdjaYCMbZNVBhEC7Fp2GP12EJe/sDSGpiL4BndbI5sRI6vr6ERBke kf9UZspKY+QwQwhxPODeS+ECac7kU4GAvF+uPpM7P2OLCaYy5jqMk3krna7sQtC4dsYG96CmsJWJ 1jkNg4Bfyhc5KJjAohshhf8Vf6OsqPCIaQvFkB7l5OtG6tpQWwCHas2STY856J+Rvrw+5sNUh4wM H562wfxLB0q0r3k/crU4zaHAZMMeDZSn7vQydFR/RHjeC1M1CE0xN/+z44tvmd3724tg0I0pwlei sdg1NgUb5YKTZqrdMLatMZCjLgxUEzsr/PYV3oLavbsqIbE76uVllypV88w5J60weaYSSh4ncUe5 h799+A3q2cMonm6h0+yKZeZeKQmlZa7wFptjRsNp1CbU9u1vvyDtluXXIvUm5Fnw1hFY2ObVpbbg /TFgcLpaoJMIgKj/209+ezxNp2hHTsMSLV29AFD1x56OwLLDnCpin9jXQuER/va6iVLJw0sxc0dG 33POMJzl8Z2E6e+UyfNf6rYSip2hVr99i1UorzX8YKwdnLOOV2+Eex0v5Ydjfj5KPTXJG9FsXN5v r5w92Kv8fXBI80E+sGks3V24h5xpKI0L1V0PcRAKhyD0238AWzZHPhoqFzU3ZFcRE/trX+Brd0CG UZWqQSpX532f/fbl6bu2XgqUSe97INcUmABCaT6PQt7nVRZdG5W+AN1qp789AGusKuS/PaI/zqSj A+gpfMwYlOPtp96vCV++qYBrsDu/vT9BN35l3xl9TQGsNZ31eJUJzJVL5F4hju6KrslyL8nWby8u Ng+2whwZQcgbsnnhrkHcssR4BOAZX0icY8Khp13J+J/+MiQ/+6oZyk96mGoE97/ZglR81jxRtn6i PviGmy2OzL99cPzfC3G5V1GUudlKAxlztooE0zF3p0Y9ZMIIMF8W1MHf/kVwsMsbUaVv8kpL2OY1 8kTqCz+wHAdYzmvN2uQf8BzNb79yjcfii0zoynkKdKSzW9lxLnUEMI7RCSRQfMN+dsnb/NtrlpSC hPsKnyxPNXeIP9fuByF0yMm6qTrPsHPY2665i//237zi1t2k7/uN8qb9Mxbsk1EwLf1LhIamzvS4 9iaPR7K/vUw/2oV+paSSeCt3nbqpt8SFv3qzCpQ1ZUXU9E8i1Kf/b19NpTgyS1EFmoh1lmjKpa5X 3xOUNOMLPD1Ng9U2Rr1X+9vPFsrhOSBwGaB1fLU3AipncApSKGynDXnpo7hBlWpG8//2pEem6Gtb qiXR28gKxCGlyK2H6K6RPLeuUXNPhBFAEee//SKsHrNkQRq6/7nGTXgnLOLGU2XjDDNxU/pnf5nw kpjWb482+97BWua8KCEGdLu4uwf/BM1OAR9oqFJ2vVfhpkI5+Z99yEpk+olsZLl3CQwA759pSGMG K7SoGisIDX8ZpzrtSPntSxn++fjhVnK1uueoolE4OQfOFsIFgmXkv61sE9OCt8X+9lueHwboyJ/X GeC59/r8yW8OD6DrcLsPiWJKvqQ4nqVjv70cQwybkzKXYiajQ7BnVeRR1whHuvfGCUtINUKcTH/B 5W9fx8cO03JcNCMwjViWQndYEt5/Sv2tDVUNKW0geJm17/Xbz/d+Kyz038Ugh3MS95JmKS/IDQOm /iNaoUPOdfLAWgb87ZGWcaTxij5ZaMxjBqiG6jIeDUH+ZV/a12WHk2uBVvUV/PaXogt34NyldxEv OLGXLD2Uy7g4NrWIqtBgSHBz28ZzKr89hOOtUKNYgz3bnlZT4sa+E2GvvoTI8pZnhHiiOvD0iNxv X2uWJ1M1/eocVNUzBxgbwGWYNowZTFY9GJow2DDU4IT428P8CO7uuwCPZMPsTDwwROsk4z6hcMaG 4hSk14PDQiLN//ZjQjRmqHJig8sg4jqKjzl6zbVLTk6FGngwQcDgbF50R7/91NLhjK8+NtVG9xOp cR4gL1o8MaqShXgOakIjtKLrydpvD80nRc7yctuiVgzi3rlsRAhsX7QDlKLL2TqQJftGo8j32+9u 2b9XbWqsh7z34xSlZW+FHfxbueKlm6HvktmxkKTA/O0JjEbzy2ZT16NNPL/Rzt2p2p8nq+lfGO4N pheJrFuveP5zb8t+kqc6B+67gGA0ZBAbUwBFO8ASJS9rFtgqeHVN9v/+5/39omblDgT1MnWUNi+V 2i2I66h2U+JUM6gw+MjuYielf/s3RUUQpILKUwul2aPiMMfsxUPYV3/aB7oZYutNxL1drN+eghmW Km9SImetB1U0f/A7g4u2/ZKDy3ym4VYVrFGhPCOPoVIQKncntRKKU+za4KQMeDXB4+CsLAgynhfC Ln0CpFThZKix70Furq0tGzoVlKWkbytTzy1dJGWONMjD8cw1Kiu1ABKjfBsWXFKtc1Td+ORs99C8 V5CKcbr4uo2Kkga28CeExla9dYEsfi/6h4LtzxdNxactlqsdnQ+Sfmksv9a4rxxa/v7aHRUQtnoT qJEirtKQkIvNgtGq6rXJ64HQFM/z/FbDNjy9YIVuK52J5PrGWL3o3MwO9MSPNwUzff0kXJGLKUbh WGo6tp1LcYDPFl4sv/7FRx/Kq2P5w/qhWHD2fZTRkeu8ceofD66NnyDaVasM9s5K2hohy3kg2tGn FiNKvzMxcyQlLgaqTZbHfQXHfMHsP1tFxL1KXuV3VgLA+eqJFy3hkfPxYYd5bj0LXOiOuw8SaJo8 7qKgHEYZMjzYeVAt5IZdln5tskXMaAhO6mpMH2TLEbFOnLDCQrKEsOq0pzeZ0PpgMENeh76XLGA/ Z+mipXffVeEAVXjKmdbh3bbGskXE5fI3nIdkZy42SxtePUGxs6cPMP0V2h3mOxpoJPFu1m7xC+MC L1HVhS9JkLg/LkmPjVA6ksONscAFi5YS5QkH5YpM/AihclDyEOPaqPRi0p9qNy1hjUbVNLAdgmpl aZ0mxxPk62nAUsZpMQEk+Y8rFShDeuVhf9ytJxGszBQj6GVyOIBei+yw42xsThsEzWBlN9Io+abJ HdlCpsvYqvFu6nH8HkO4C1THoCjy38QI9Au724mx9xr0+ANdUKPw8Iyt+PPusGnZOQhU4FuEL0oF G4zVyHVscW5WTxn7vhsFxcxFJF2juBVUp2RDOvHs/APMClEtPDMyzT5UK7tsWYKZbyApxfmVvuzv lfHTJl11lnlhOKbpz2HPt73pO9qzF1MEYod0SZDLSha81tjBRHhums9c5fQ6ftwmypr/LZnLmIF9 0yjjSV4dkLUhdr0UKAfAVAqWBnLFaWV4qRyW4VVfo/Mqj8zokSuptwnkcoZ6yMvSAvKajAOhjjYI En24NiVfM3u8DP+LEhtHNg9SAE2Qle7O+By63Z6togxGp3qDris5fH8K7r8czLhM7lhhNUvU2Ov1 pzcf3DMSzAyR1CH2mOq3yNaXTHdtHEM1BuTKJWZW9m3tj5SIEDqQlYZy8n3whgwcHZmLB8RfDkyr ZOnUUzQAXK8VUCREpLGcEhNj+vQp4YkL3eHnbBTDcPwGwtAyAjrLAef7V5enFK0l0iRbRaLvhxKU w3I3Z6l7hDqZ0RPd76GQlY6HreREFJQs+vU2sWNz+yqcmPq1ZeV0NDG10St+LurRlkQ06Vp/zCUo L4/nLsNLP9vOWZK0MFqzU3GIktd7Y77mRoQjrmkIscSPzs2AfglfklCfARyritIHNeIFHzMYcu/d LCqmgJcOwDMAwHj8kGO+HiV7KhOnxyEmFUyuKv7jo79/Bck+QkPHvC8vtmbBKnSkbFLzpJH1WmGD Wh3D5ytlxbeu6sD4/h1wmdUeoFACeoaKyudEI/q15B3UWNQz2xF7qehKH4FnJp5xurWMy+ikOX5A LVEobA8CiPSKC+Ad2Ee8RG7wJLgzbYK3bF5+12ctRj+qwSB2bePJt/5dCfC06tCsWngdybwZQy3A gQf8rOO/rc6eNWyEyiPjYBmKuKJJGZs/hKdm13FF4nrZwlqV5AZvwQUdaN13A1fQziJApm6CPtCf Wg/4KKoA+KVZeObFzqKKE4AvMN2wl1x077Qq3EJ1HOIlW4SjkQ45HtKx3MTVpk2RsL9n73/588kE HRcyzUwSX5wUcddM2/3x086f0hB07/EA/pif3egH3WiVfc8apKcE4j5PNJ8Fme5G41Sg+/fL9v0P N6NHEqjPe9pEST5rHF3E4tEokN0fsit4MFPk/bkQGN2fz1IwZDN5i2bkY/JOMfd9jmFEQZiXYWG4 oxuAD/dtorSM3CR793eP8+tmwlFRak2iygNtlQBSSkGkXGZ5BSFFDWGvZq7uQBGr0yusr8biJv4Q 2IoNE5DDk1OH25DluVb6d2uDl3JKvmclU2HdJsmxVpJ+/jJQ0C8WD5TimoX1ulG1CUlKxvp9nsqk uXK4CSLxzUuZ91MwqH9vwt6PfNa8L8MIrqswqgvL8qvnEiAALxdB9Ngw0Jq0EDPo2Tz5uefHaYcN hwaLJ0JBa2YZzff6WK7rw+ZWaNwhzLwTILkAR1tsbml8QpGJdkGe6LnAkenb+1VOlYHnqNqRWFDR VaLLC08nTXyLUSN8X8tNfKLqDsVvPZS+g73lUcF0bI6vVtiKy8wP6aWzJd5YNqTuX8AB7PRuQC4e OaFwZ4b+ZQJiXY08pcbRW5YFWgg/s/QAe7WDnN65TVtdGbtvXOIVa0MOVM9ksXn279L1B3YK1/Wy nptZXT57SFofpPrHGW3m55701pL4jIcRyck7O+OVoMxCVDUSbNDf8cKUOaiJHQn6PoySWGRHnxqG OzdafNAZofjygYK0vALAbZdI3VO2HMVOwiYsbzW2Kjgm353BTyNtCARlX2GWmunvf4Ec04SV+vuF Hfw7ueSJfXTrZ3UqhKobAN7GpdqKY5NaULrC5T6qty6DBvZhMpt2lrp613VbNeGufL4cpJX+KIDK Pt6tfj3ypX2LssXWkLULqFOlQmwvRRpKxCtHibdCtYJ6mug0OvFkbD3y6WvL/iVWhUljpxTR6iIb alNYWxphrsS1nmHY+Ow35lPrwYxY8p2JRWS48JSeulxHhM1rlfJp1YN9TaeaPMX+YbuFUcTewag+ 3KatvTGkZ5DFEIdyiKqo/otflMjhIiayHaznoiEkz81rSQR+zyPsUgAh0+Oym7wCt5QZ51bK2rmn G251ztID/ZEu4vmObaZ+zzZIaKjbIb9rBV8yUCPIIadyTrZ0N/vHRNOmVzd0S/LYxFSYsnSpB8zo Da1CXIPBcbkfSJNfnOPKnANw58uS+pjpzzzL9L1DokZXKpHh4Ddwyo+Ja4wfYldEL2HhSPkRBpB1 2Dbx+wruvrvOXTBixT83q13uyb1/kXozIzbjh3OGK7DpE6j2Vv/2MTaNt+suqf6+axVZoWqW7TnJ VvVgj3l5/XDQF78wQCVPSO8hLE5p7UE6VfrOxz5ZCXmqV4ZNktBjX88HPuZoW8eWJlmA7zJZoREd OqNdGKYXmC03KinbXqmO6EfLn+9sJTBpuA9/nABXy+vx1FqTNVAWY6gKpEGYJF7lWDUttHCZ/PvE SAnKaCJUSUBDRpqJUxzjEwuSwsi8Cua2KgTyDev2AUNQmg9iHdA/SZsi8AIj0UCZYUW+HLyhVPIn Y47qzLU3NqrEhCkylcLJ5o2Di92Hga/HtHqVOPY41T7cMwr3IkbpqSPfH/Ph3nyefxFTpE5+mcz6 Enn3sFDU1epD0CJbNtN8lCdE/cdzzQAoLPwpdpoS0De/bhMvbZu81GI0lpuW/XmE+Ie6+o6SIRKW +R2WUfPLWwzGJsfwPcc9amQsHFWOjkbhg1OdsaA1763QHpNjTCQDqhuX16Uy9EYqQnwobO7e4nSz EEQhOKxYvzeO7UAQg7igEBhWPDZ7mqt2h2/M7mob0Lxrp+dSRAzUIppdToIvGmnSTH0UkgKCMg4v RgfvDmQWvYfV71+shF0pzLi9p+iG74KskrPAdePcfdcwZeFkZGyuB2V8FeNoZwA8juROt7m82Pum R0RSs8oqo49l6Tz/EYmItlGDbyV0MiAvx2W2Do3tJ/j8AX5NdNkxGuUoPX80nv/2wwGrCE7i05dV Neu3G1S7B/P8MxVa7/RnofbakZf+vAGJcJqCrWjoCDclNwxd1AaRkj3LcNvuww8ayZe0iAqkvxGL cCIWyrmlznHoysA22GNjEgK3wd7uQWcGbBlib5WuTT9VVwKhkMRxlRSYCeBZHLFkk25iCLw5701t oCh+9YWTRAIWnCL5ZH0SiaFLh1lT3CMz5phdP3sapfli87axfRRtryhxA874Xc4tpkaLc3A+BJKw 1oooLI95NZZchGx98/SGYCxQxbrk2GPmjk3OO74JT2qU75dp1fUGlNOXauzey/XVtlKXmK0B7GmM AtWJeKaOmT2+QNbZMdTYettwuSkDWBcHnYnoe2ecDI2v+Ggg2oILItXVsNghLlyJ7xrIavYA9xW8 KdH76uXfcfHTZ1QPhnDEQtiVHIqhiMcB24OMHK6WKG5WHuIhDRlGjgIZehbRgXkXFz4hkXl+Lcyk bJIIZjldKu6uQIjaMiraS5+QdgUPnW/lIbvMa102e4UdsFuMajANv9cFkH9b/zbRB8/kORTRE4cQ E10SUTGiymAWrxpPhxbMzuTkAj+6tFppxHHrgkUb/WR5cAe7LZUOYya5b8e8gF87KjpEr3bnbqUv LXSyvbwkx4+F2lHJZIDym+UJl0/RFRRQYmb2hYbtVcjVCMwifV4KLToqaZZvoRb5npp16gIHDU2v qfuq1ameSkOg03aNRVnSgEss3Nek/xZLvMIL9TRQUxFRY1AdJT5GE6Wi0n0JhfSSdk5c4ww7afuk rRR40V2ZZB7BRxHpmr5BROutrS3S+MQHrja4WwqWsDWCSYFyb3b2rV8ZC1NqRGMQ0FfIg61MEZqo kRLXxD2tBXE/rj7NWj+S6TtySOYbqVhhwnuo+gyINRqwbSK9o1QyvrRp6FmrAiV7jvZ3B6DZ7kHM H32hG5ta+HW2G0irUD7PP7qyuwiwe5XPjUKtXxkG7pmxyapIUkHRrsIosk+uYeUAhmsZeCH9CDwt PQTwxnktxDdz5HwgJ7KP+ndYtdK/Bord2azgBs3iORtQiIJ1L0YFR1ozNFV2z2ccJd1CFbWV/FUw sMgLz0D2n4eK2TCBxsi3TsbXppYZ3fDPt3Ubkfquc64uZ2hwbVe5S+vjvZo5i6Tgtjx3UtYLqayW n8KXwGLk7o/d28skMxP4AfKNUw+owEP9nm/KvEE5VSHPg/AvbiEN+8qGlYSTh41o+qgEm9/daOlo 8+GufVcRUVk+5NevHIpOdSsnz4Dmv11PJTs94YhqXOM3ePNg7DkBctukmC/xonfaFXuc09jUhj0s P3L7cTLXknnTgOK6sckfA2tg+GNZXwXCMReBj/+9+m3zj0SI6BCRq95UZ81Kns6qB092HaW/YlNH SQc+CQ47/RY0DYTJPGPck2Kq+/Ol55TsTOavMdzRoehsOEKEvxqeNfK/SiGpSAct+E1aAx+hyycA LaRBlnqyi5h+KJgFw3eYiZD0v/Iqqbwq/AnzX6iZYV1CC3rCPBkM4eiWYwArH1yshvRrDLFk4dUb ijxuRVktL5f3BODI0+Daw6hK1ir8UucKAMdnePmyfyFA1yTkF3T8NX2YUrwnfuC1t3UW2RmcAAXf CuCJ/AOm/8d1OQUHtkRRNLbtTGzbtm3b9sS2bdu2nUxs27bf+85/1629V69z6vYg0oGiV7JejUqF Obq7+wV9/W0h2m08+l/udTvIw9Y1WSr1of61g/DMYEGP0dU4UwIzpIgTSceUWF/s05iYOYapTEGg jWLtGM41tiXmjBFxhiB9UTebb/x5VdgJ82HRSbBzPCe7w+itTsr9PxXp1/iU+B35fB0nlt7OrUhL NvlUnmctGN41uJs5mcyAn7hZaoY0aAYxSwtVE9OjVPJN/071zHok4xGkU0SttDhkKAslwpCdZapQ MbHnFeb5t6hoc4Caz9u1y/DBnCNNylLB5na632E8lAXR0ra6S2ouORjqLTZEfqSYbtzfGuuwT/LL w7bT3wuj6f8cbXjI6T/8k5jEEvG4x0NFaGfn9JC8U+4gk/RrzCoyRYt+AC8dzk1dFDNM+FNmjOwm tzRTc3we9iIU+zPyjv68PeVW61D5d87SZifAS9XNaoU2Cy4fZU49TROGWpEeMCXfjXCys4X0RX3v pzyASUOOoeGkFqE7eoCNpVcrzJmJGzK2mnV7ckm+8OgIbYBumOArB2wgWnHMzyxj7w33lBss3b/p EBG/XxOmeh4OGHGcDN5TyLya3zQ+J4mAJsHYqMfxmlfq6QHW6zQJn2yruVR4bx9T4Ew9ZyPSs3GV 8eufEu5IEKU72R2NUKTcGRaOdIF09BOP9H4lIi9zXQPx4Ga6w7VIqGLuROk7Tu8ifygkWdwDaBsz SfQfqF50MQN783FyV2rnzetlWOGuGIzSnGZCiESAeJa/zU7WCZKNGxmhvGE+oG7LsJLomW03kHMI V+TnsqyelHc4OD9OyOBUvHLcNi1pNPz/+JvKAdHgEYGn4V1lxse6i6WU3Vd4S8SGhwD/VRezCDkU Sb2MoDlQBa5LOhAw8n1LkVHWC09pNAxSX7BNRV/zeX8iiCdMxFfn1dC4L2tEILjTJQ26BgHf5u+k 45NUwp5ZEksxBnFMHldflJ4iiVzgdhWmNQpYxW964L6l7iMFpI2zOX9MzlwyNUVF1R2Dl7TeiZAY wu62xcC0X30CVCXjTbu1USNy9h+xn6Vb8+OweagaLy+qEigSzuBPcXLjY86Jmm9l3BE1ExJ/UynQ 2X3FctdsdBXBZbyAhmpvcRTf6cG1ElCV1VD425286xgPIAGkOO4gGPW+An9K8pvZsxpXlLvnFQ44 hSxj2/nDuyoX05Da8dliiAHgdfEGgq3EhLPew2RZ08y4Jyk4Mr6ZilBhRtAH9uLxyijD88cGYGSt 6Si+creS9+/050FG5StHGCNCpq7NzMdv6IpZsJavolqIgG6cqRmUMeUwMbUGhwKxk00Bbexa5SDi a8wozKnXfhJGqFhcY3mEo35XvJv4U67qJCu778+s+92PwsMyzREb1TYK/0Whyfz6hqgVPmUsH1Ki /kBA5kLD9lHLT+2snSsy72/6pGX7F0zFhuJSXH0MDEXWQIvV5Ik2JFZkSS7kDlAcT11FAE3YAdMg Cz1gYL8Sqt+eORc41ZA2Sa2e2JnxojO3MpYEMl1HMZWSmRtQ19Vcda5I+yg42jMCBktkmO4wucyl B36ghrDmPLvg2dmB2JsmIcvXs1+3FDKz3g8CnxjCihTwgqKx1uEBzZcLVbPb5soAsmPX9m1EKqZ0 wr8Br/oKlzM4Oxj0nCyqjgUc1oReNIKI22YAswhNiO2dixO8uxvtp++feuWveFXTedJkFT2TEGkU 9miMIfTqyksI6KJand6BHNEfcpLCyNkb0wseXYMDLndJLEDrBqlKUPDl2MerhyHi6szZ9USxtivB sMYBtHqJf+Rfwnl+kIQWrr3cvddVVZ04UL+OV17KizAGU7w+n1gVJ//0A+wMdTauXohnGYqm4pa2 ciAzGb9BYY9tiHr5evEBaBpKItuizo7UuEPljhhKrvW5TK0u5NsDnfUiIpVxw7m27aac9oMAYOye 0VAwZD0eIkwMw8kzmv06eb/B1l4r5RQQb0cDIN8LvqO3KrICKIbeca7choZ4FI+OMZqwgwa/QYDt Y9XgIsEr3DGcKN7aXcRp09koEsW9X0RnyAYSjgHpJhCwQR478wODUE76YwH53kCY6I2m3WSem6N9 /GrGOwPxpnuC7HAZ8yjTUnfotxAanGPIVyZj2YmVQUpr0hy4/HOZhvseK5+QLh01tV8+VvqDvUeP Od8n4BguKxLaB6kMGRRjqk5EA1CmrgO7jnFL40eMg5Boa+8TVw2EnuWX/Bqp59Jt3Scw8EmsjTfw YWISVAEk6v/0LI0QlD7uuHI2TT5jGHs/PKAz4YnAQRfhaIb11bkmntO+rwH+h8MgxIECTibpuuWx h81BJM1CyLtysv0ExL8tIxj6p+yNPXnJkIc3/YmD4ah73BJzlH3iOSJeAlk6TA8Wdlb+UibtnIJU 5q6n+PDdQ4PnwwssQHuYz9JMu8IDUsShvJ40BoRCBxmyIS/0TgbSP60alby0V8qTwiNZkF4f1Q77 5bvuYTQ+7Wvb/3VYRTacqW9VLKsnRbv1pYDGEUvKr/cG6FTom5pOYV9DxEHFgxEe7kTpEWau4Flx aZ+rO3+W3dVpy2kvOnFVswdZ5jkR5QZlb7TTk0wrnO3B65GFgl3kJDRIOrhAOPYezYjl6Lvj6Rgb GAAm7p1A37NXA6JX8f5r1L8SI/4g0h+AeseAwkj8UMYZKHCCQwphmDIgUXwE0OE8quj2jxBv+Bff EXO7n4XRMuCyqKO+HQn9+6OsWpVawtzWUjgjnZLfoxfPjBxHfgZy+CxT8otZQlU+W6unYDKINXOa bW6Xch+CRAo0AIEf3glhoeqbFULyYpNQpilnm+p43ct6NPhrEYZ03ok3uWpUoEbB/RUs0QNenngu rcXn8iDsqAQuPcvDxAGYxGZc1/1HxnzPpoQ9AINTVvlmLn36vBm3TXOX6SyAHrzXwTFAuhKdlSlx 5tJYuUACSXtMzk0Wx72gnwg14+0gBGfzM5Pw3DCEdVoIuQ9OXZu1Xq8PcBr482PIYUz/EAFg9KzW Wp2rjCPiJ1hsLxzzTAx/yw0p89oei/XftHCWWMIg+WUfylxu05ASHSSpzCoAUmsnCCT0NDA1o0g3 sa1txKikvnxDm1ulnNZ9RxrvrQRazTg5sLv6cblGtCIYZB8cql59iNg/7T4AYtnV7ioqvRSMn/7s umB2bS7u+wBZSgcpgmcgD4wyXBYzmQQrAwAPDg5mTp4EjWxKCHSFyFbpJ1M/fwpvWaBkaO5XF6X+ 4Ucn0Z4ZsucO30VAupiZgX5KBMdrAhaAm+ZQ0+fWgAAyxyYMAA7ebb8wm5YdbjouqMYWjQn/6WQY tsNE2FgaXkozMl19ik4Rdmim08ezfTMpugRaEj6f//f8pc5efMwQdrQQkzTxVfLpuvVgo0rikDAH yGY4rsS12vFQQFugku7ERhAJIPK5j3KQHdj0F2INAMFS3nQOIK+aKTqY4GXjWHdxkittiv/vKj3w FUuQToz2VWDoWaNd6QmtOGfCC7CX04S5WsDU3z14qmlj6tbjBm2ik1PNUMcm24RDr6i5yFJEkhjq zvtSo62+jRcYzGQbzuKMfntF5TGkG85m5/h2umEqlSePzZbDTv9ZEAI4VVrlGKfY3AqWakB3b/ZK HQ9+zBNExGx5n/FX1fqNfUoD23rN1t5dAdtQEuRDj35X8LfAPYf3fE3cy8HVJfInrtYKcfRL0ykH PkPfmb78+v286IKBuShIMXcIOZhxGu6vatGwkcbEf/s9peg8kPcF4MnPR3fQJt2j3eqqj+9RN6nq kCtVFbwPF6+t8VU9IIVBxkGw3xHf8QjNz/5E+XRM5wTBxg3DzPqHLxdbETFaw3vEKFgdUPLU+5VO +vuFR+SSwWW3qcPpZj8nwnNfa9Htijs7RVHPMV+Ee64IyLwAdmsnLhiJcKYMqydeHBNJtfrldZhm luWY1/4X5+DtIFC48EhfF4rqXURfkZAv7QJzjSA4VGxy+FpBxXaoJYOZt2I3MeVsA++cfCYcUbKM KE5tPYO7qvyZr+95IyQh2M82e51fe//il6qLSuu4hSGIPrk3NhZuVpF9gqROyxE/DKvgXFUjBMKw 1kc4tShdXOGy2Jmfxtl09hkRD/Q+DOWTN22vnpPn1R7HsoHCz4fZdo0K0rVFC3ck7PRpSMpRBIeW NCqQOQWnUEuNi/Od0CeCJtPrboY7LB53xxKCbXKwQhni2b4L/LEy4vDw3MweI5bLat5hJsZ6sWaq B+z/+gQbha4ABo6bjld1yHJJtPhOnYSl4vK88HYFbn/d/T11Qmr1XNEFGkIAeQFFoB+h+nEcUdX+ 45DjSwy3ChEAF4o0ROqdnUgnnGZYz2KwowqJfltmB1Z8leWI9UG6sMUj6Eo6rettZ1QV5RxsHK9I 4hfICvHcSlyRu1GEg1quMqLQgR1ROHlUqvoKpm64wonm7cojzE8fpla5JM0oW0eFAjsRtut8ZbsQ oxbBlrG5IxPyXKONfM7Pqoyjx60gktgd/eI0wg2Nru0+w4wLxhoW4QnZaPoKzkrDcfeHpXaZesYw /MzFAthEgAeoVXBbgmTAextMXdbnqgYiQ3dbOKkmDsTKoxLXMqZsVMrAYw+gjf0vkI7a1tE+D4us 5JgZbMCIy8enrj4zXVFEuoG9SdrDJZFeZzmfsRAWOXtaeWfOiARh0OadXYbp+Waes7AFdkLXHT1G ESnmMio/JV7rsZxmrGmfafnHoUvWxFkcgSnqFWSHqQhD8sywaHdTwWL57mF071a4kap93ot2EPhM c9RBHG70uVLFD9xMETIlhG/v1Kl+3iC7lhSYzBtcvFolyYINSNs5mYl0IOoHBiVQSDQnTXzc7j+4 BmTpqQzFDT0yVoD4yxY5hNQYxSaudt4pcGU53WBbkiDIaEY4sBXhaPQGc+YBxtYvjAx2BFvqnPLP JCztNZQ80hHs077wmkBWaYKEXI1Y/oBET87Uo5A5Wz4T47sRAa8JZJFnwzQn2D+lNOZPj5DkaNxA lMrs8dqL0HYV86HgoiBcppyloJyQkaeRwZu0+W+RrnL547Z+k/NqNWdIdKqE/vBqsQTGNbG6nD5E 06ft1Uwpw+EUq1OO2/kbPiumkDnd6+E4ff98HRAw13wQVxG4jPu5obuc5EPszo/nkXUIZVnoc0RH 8V4Qzz6SJFHkitLLOlWvRpXqGQqwfbbx5KrvhxmuzP58C5Yy2wyJReAc4bvUN8fU8CO0/rvHwiLa czg/s76kG0AZJIcEW/S4N2kytmgB9v+2c86rCyzifydyJW5td5WYGGBKYPSU3G/D92Xaj3vzQ4Ig 3CixRxNu+sJtHYiUJJrbI2q4J2WpVw6DMfF1LRcG+YkAWZtGs+GcMFrDpqwIZ8eRrzT+J8B51hx2 PtHDtCrzqqqW5a9NkHVi4nmG/TcfBJXbyiNTsWOs1t3as8Zq2oq9zqpbkUvP22oFmPcwtcUL/g9n nMJe1XiFrzNXvvN9geDT/nX6p7LvaiscYDSInYJQCuID7jJUsI3EfK3aKr3KQvQG0XkeA2wQVen3 P5vhbAtnAwy4nAdGFr74THzZ5vhHM8uzErMVGva2r2M7Uq2NzWthJD1Ordj2Oeag/IMg9oRQPeK8 iEBL8YqonDm4skBUJ/Q2VfR1K2dcywp83Wta3nl2diJ/hE+4w9jKHVLgqygJy7WFSzWT97WyNp05 40pP5XM2AJadGOFjeYpcwQmVmCzq+BnLoMnH89rIp4x3Kll68ETG1QkYT7wOiMY+7sS3bWpHbSsK aM3QMhjor/gAvez0znLH2dqQYD72pvV8qc5bG1hRx4DEdsBgkaHoC+RAgLwZNNB5JMptTZxTwLJH tY+n+J1ievs/j9ufF54N7oZklz8OC3nF2YCng2aVRdGpbMIAC7b9cEGNa82ky8trpBboezQ16ggc 7kGPcgebMsQ7NVFr3OboLhJuIHcOiB6xwS9HOPliZzU3ewP0Vh/bSlrC74ic63fpD7uXBhe2CfQV /kP1qOYn4eIf/MwsHuclCn89QXUtXMzW/AfDZ784jxyFKK73hoJEu8jnhCgH6Btm19ji5TNziFYY a2S+aVo9J1kTEurNFzAE0sWmuaw2fXcKykEAZ9WrgYk+WQeQQKKze1gMBXwsoWggpqrf3/JmUXEn 45KMX/dkwIGKkFSBwXRLomTwTUTjsUhfKx2iZ3J6wNzoWtJOucBndzIqMXxZt2J4PDI5bpa7Or03 gmAQMVRkeuYmrHat2f8tBIOmOkXB/rTkp7eGR69i/9XJGLtXwJwzSk0OEBrtjJHeuCDdXIOg2AC2 ZRBhJ+Pdmo/fxqOqnTgKtcTebaJsh+e9DCZ0NHc3DR/khSe6w0uHWkwZg6OT46kx6BrxR2htrZmC w7Mto4SkY4B+iyqTaBzkmTG4PPTbZ96G2T7K1lDxiDMBI2ia2o/25posjqdlUj0WDqdidvBqkpUY Y+jo6otGg42TEee+Vg2FPw9AU2zc6up3ScAuU0dDDoUAH/Yjm8hUBCNnSFtReSsWzy7HGE8q5XrQ qkJcktBLeVKekhQO2qvL3MfnweyUFTPLHkaqJfWvVUkNkYiRTN9hzH8CCzs9y5rQ8Bfyf3vDN5Ke TjoNVH2vUakgLlrTkaHm/AqkeoadZjjnWODk8mLOO57GhHO9WVzktSNt+aOnbEwdbpvE/ESMJbt5 02YnZSId8XJVhon4TH8zD592Qv5myn0hFgmYtQ1F833LGO5KSPeFofgKlUtZAymqhbi80/YSNtT2 kgsKWVLw/UKM4Gq4yih5GU9H4bzIhMBDL+JarMrNCkj/gQl9n0mUQ5FnaQvKAZxtbIHy/6q+kLNW ZFhggqxhKHV/w3+TtHyAAIGJu1JoIXum3viQ526YtkrNxcqeVxP8R0TSkIsTJorjtsh5MQ4n6rk1 lGlebTQ1zBEPj/pvNU+v1ioO1ARsSsUMqJctpft4pfTVR84v4x4ABDffh8urb5q9fSLdIpfru4Td aIrtwDmFchQFHgpalqv2y5YY1VCdGJWk9Hh5+nKMUuiB5Ia145RTqXf/strSexLug0f4E1FlIt/r w6huSws/kSeIygT86Vh25CcpbvJ5TFwAIrdiHOSvLzDcNecqLCVSgB4u5mG9pQ1ePXPxvFPdirRv hmgLWweWxm53+6zZ2RGr06WdImDFJO5og9hONvNghPZghiVRfW3Uqz43fO1OZ12m+KCCUtVXBbDH uU+iCj4dIy+xP8FluQxIlCrnCIJRHAX3+x9vGgHku4Lm0Ab+82XfTroMMllneLAFCyEtKZE43h8K iAktwGxpvKy1wTcW7geaBpDteZZ6C0w50VC3f9xNT020Csx5glIuvd+d1sjTYUPaTmpX2jbWqzT/ np4HuitJBQ08ZZ05KQ0EYMT+0Ec+aSpPxPYZpzO6jCGxihXphkxc+4df9PYNFCOqJVqxo2DH6Qt9 Zm8AWU7ZxyTFfePPAg4ElyZIcrk9DMr2d/xg5qYhq2xcbVESPrLGWxm7hHBcp/8LuoNGTK15iD0/ pSyB+EHpinKE9qPq7z9kQqyuXxNO/6IFp1fqjx9P7CxV/0JyaJ8UFk0jiqY5ULR2Cs2WoiNpH12P E4jQMqSlMOHKkXr4WJOHz76Yq5Z0Ki4HI5BoZv8AcE01gLXVi3Ebs2VvPItophdfxVwVIas5dy6s FB0S8qTf0fEXTK9MLL2uCocIpCqMqrIWiiNDQPim8sM6kEo4rycSSdp0pkE7DkewXEpDBL0rY+dv b/1pEj9z1p9VzbAD/8S018mDxZkEHM+5vUVP5yC08JD5wu9exJtNnEL/XB4wxU9kNWmpMV1N1vxY 5Nzo5I4q2PPXh37/wvWXuHuroTLHugZyZOJf+VOsEb4SnvB60UfezqSjxYHlSB65wLQ3JRN0N5LF MPWHKC1Yko/64BTXxNDPdywrFN0BflWVeUfjrwJvLYUFRRWkYyZuI+W5qyD03fefz0YYZxiNSwC+ xgNWzs8Q2p0kNg2Zgh6MO6G/zlu3OQVLECNLX8do9eNJAGIlF7hna4mUjBIn9D+2MIJoU7MuMJmA zeGaVauRuLGWAUqe3yWUHqnwYB41Li4ekt00JkrjzrHfp4OptzicN0AwMFv0LdQo2dwlLcejEUSR SECZo+lau5uPGS98rTHr7vwupV+A00iwgKKI9Mo6wgGJ/z5UMpCv7hqU5+Sm8v4kX5m4h8nHXi7D 2QlqtGOUf41Qb6xdOgg9q0bvcnWt9G9vhCCRrTXeFY5zEeLjtK+aKorX9FG92fjOqpg+vocwHT1j 6A8wYPJdunAiSS+30R4vKGpurpWKawfChtf56fWXnUrXmMMr4SBz9Klt5c9p7lc7XbqgwgdVx0gD VBw2L25mhNv31UVsK6PBYeDeFOg6s1forvI+LgrIoHvBVLdKwwGIswXDiGTpCHnZL1zLnqIn1XMb 0y6J3OYylSdMLsgBvwJ567oNMMgCvckNxa9ZAjvK31Y4ZVcvhK9VKVHDd1o3562s7hNlyFC7Qn0b rORQVg16itPGy6sWUUKqBDOBE7lvP6pnQmZVeQeQVUZdeNjO6/Ac5Y3tY5lxs3eckgBa+DRgaM+0 VQRiUU1PAeHxll7ef34C1i83P0VHtO1s/BlPGr2CpW2kPaBLNzg4GfkDFEyiLMiOCMyb3aetCOTT 6k1Hj1xYITx0P3LnAtjkDkD89AXljt3Y+LqRCDj3LknQubzklf8ePufxemn6M4FOnmf0aBl2FcGV VYUsoBOoLts80g4mm/BMkeg8W0X8AOBPLCtwVvVEaN0QqCkNNkXploRLYpOFS2tDqeJB7+UcP7oj dmbUWHIZ6oV1wZ8vfJQEfdAQUQ7H85QvFGTBI85fQ+OdWtNAJyR2eivEGYhe4qHAGp9crFwmW9Ac XmWS4exRk6TAoW7eSuLoLG6OHuxgFSHtYVYgqb/4NPpSfLKM16qQ8wQ02tngCD+lbdpBBKzGY4ci A+Xvc9SszuRAftD1eOlFylHeD+ovlWBsynJZcenUfSsedyOYwjhj24PilEaTYIcXU6q2cstY6uii jw43iz2n1K8w5M/XojFKxsRqOoZRiqNjfCG6+InwdVmanBG3pRz65miQojVQ2KaLOEmTse8/2Nne jFveMWCS8Xx/SEDtNEtYwam2/MhRxShHNex9FfLs3VGCl/3dFH06Cjr4FuR4f7qn/cRLMuczZw6U ruV2rZUugU/dYyEDMNfHzeQiz9BEUUeO9pfzGvZR5dxm4/Fob10YQGDa7MdcJ5HNvMlhfkOpBiQn AgXNy8FUJuPvCFnzzsOi2TEXyW2FtdU3jVpjl9HBX3nN2C8YBZvc7wRqpdy6v7cLIqfhx2HCwcck K+3xWFKXI/FQ/ZS2TqPAyoaNUh76ejCx4U8KfkdHDheXGFKBOKP063R3pIhdUr1RaUyGW6sUeFmA 4+LK3Ig9+egZmqqFBmLpuaODzWwLjvw48pFpVvEeDitu87cIjMoIx845NsPpvhukvBsQWRfGW36F Bzsrl/HkNIZK/jNpsVpsWfqD7Hv4qVmwZYdY5cUfy5nN1/7JSSslfx/UNco6VowFZwnpR9q1TcCh w+cOaLJTptIsKY33DMbi5WXrcBX4IGQdK34AASPexGLQvKGLHcYHUXBCjGWNf0Aiq6OtIp9KEMgI LKA6vjne4b05ehNZaoMtU/npParPBHmAxDp0C9aD47aF+WyY6vJS3rUHqt1izyAZ6Sww1Ay/go9B r4mTn5m4NjrGEGMEB2biL6F8GQK/HNGqEyA3k7HeYrF8K1jp4dxvLyCmvyYB3XWxnxtpOVAZwaHH b5P59xil7xn4F49uRHTz9h8sggTO73mRT3icWlhsDjaMRNymaNkkfbZZAz2kDw+zPpo0cW+FeWqK qO5yBhzbqU8e/Xb1QCDxclwreMNZrRdAxxMkG/8CCi6pGz+h5oYfQ4Gx+j1jW0Be3Hyx0NvwNXhM gIDc0T67jRokwHHM76jR2o3qPWzRoZ8MrH4hdGOQ3e0AaXI3LdoibGQ+pN5q8XMe7U6Be8IfY1jO MaROB3dK6kP4875Ilj9IwYYVEToGFSSxHHL3wYXTiop63JSvCsY2xVH/w/NOjV8oRl9wbuOm6h52 iNgfKVA1JCtIZOeB8MbU4R4Lg7JXjXzzfA4dtvMNFv4s0e1cjs6OoBlfQKoiU/1Zxb8Jytzq1ovW ZiW7VBL4Zi9I1Opo9wWBA1mEvkceJpt05nwpEXNKo54BkCLFvdh0YYrNsnAG+lu0so0t3Vb8J8oH CIlIH4Ndt4jz/59Ev0wF5hk23PzXHXZNEPZbvSzbnnWshphoVladBdX52+/3NbIA2NkSEDK+L8CE 1X9ZVE485F587vx2CLG6hVFoxfpmmbrN6fKdOVdoQZc/XmM1hmpad39JO/+IXjfqnb5v094BcQPx Ah7w9Ue0jWMjqgG57Kvn+0w73TL4QRjdUbo2r1ZspO4sbJ7qZ/4LPfdaFCOuD0ytdK58ETC/EjnY HAwBNqizmHvGe6XHeAIA1Yy5vBKJVLspgdtxi4oKwfbJbxXvhkE+xq1l1Vw+qLdiDOhYUGLqcrHy 51dVHnblBGSi1o0Jab0sodvpzihGQj7vDKPdCpQxSIp8ZfUsJZXG6R+RESXTbTCvivqYPKQM+Xqr 9X28oYVPcTk+aXXJ82J/D9E8keDwBP6YF6wI5fC4k6nCA/x4DfS9ni7+3po4F7WTobgb/ARXLQ00 vlhcEWaYB7Hsdv8S3LsQiqCpGlMILM6ulH0JE3GuajG5zNeR8IusyNT76LQGL9kzJLDQ5OuNoaNS KumSYRQU5/GS5klskWcQuqt99sb6Pky5d5NH16UrnZR7ph3Skg310M27mQ0HT3Yc9+o6rMO1pVvx MX3OQBtANnb9ls6zF6ut7yxxWG74OZiqrcCfVkXSlWPx9tc4hmXGyoutbKJIYNEtXdMUDXT2Nq4n wzLlC5NZ2CWxdLh+sqXVoI5aAq/3Pr+VO5lbXy1K/0ssHPIXoG0lch9aPCscmLEMVsXLHxpFLl7q nPcEUX8aG1ctp+E9MPD96WJL8t8tAUg6jWs3tYW9g4ayeZ/iDg/4hOUIuSaFYUqwMB0RfxPmXhA3 sGPaeqyUTP5nwnD7m9JCPouwqD3Hg1mKAKIsP94eBnY85dHMgrfmMzeJYeFk9ksV7ovjaPJ+0duD 49XAH7E6AJCUwFjbY7PH1to9jOkOjEZIrdnODOhqpfpZapJbQ3HYtVtK+JJudIPgCUd69WhexG7e dgnYBe6VuP2CSy+aDY04ZeTcjacKZ0r4CghCL0amoiNMSs67JvIf+efwEwy3JWgJWb7MC1pUZXL3 Nz7fntQ65DzqXa8DPtUF50QNGoEMe+y83khTwWMZTgWCNGfPUF465YoGiVC8zL+ibKSSgytCoCFR EPXVRxYAsOOXzIot0R60moKg3ZUJwt3g760tDUrPpz8y5eV5Ik6ILfkKF7mxcydOUw7hOSNVIzS7 E3jwRUqEwbkFMtVihvR2XzsA1JQe/HtfQaukWEn+RrHN4f8/f+2iodG3n23XGVwkL9RTeWaBggmA 4wbWJx/GXxyNVBCvCqKbArrcdpFMBr72N7A1iGhlAnzwBDADwkFMtxupUeobQ+cMjaDBtoDpt5xN pFKUUVTR4BFQQPf6NBcIcRU4/ekD/c9MjRB18jiUJws6hZnbdHiMwxAjjyj06R/6r4w3/2n1IhBT jUDSRnPLAAF1yQetEXhwpAvcQgpvS9jmgmBA2J4OVX5aSnaSvpoyoii9RmE67DGEyguiwX3mLKR6 aXW6NGES7f//EsyKbXStQp947cPXSEojErCRpYjbWjFn55VRfvdU7p1qOU5i+nyGJo8z5jmE3YTl //5XkxhDIupXmUXxcsOMRFimLaWUu016XpPjHsKukWjr9ApUJqfK04s8s4Y0+OEcwLjJe5skUyzS OOqulKt115TlB9O1vgy/f41HnF1bOX8VGVyTV25FzdIOmfHnYqSnoYkZWqs/mZKudiBDs0w6WErV ub0hIITMsmZfY9HalqTjksZvQ7tVtj/ufBl3S5nOu1Kc1IVi0NXqbPpV7R/kuIuWOJMx9eLqXPaI To8losRnWJRtmJjy2ymqqM1BJ4MVusQmTbfyAJxY+1PE6FS8h0mxb/Ub5jCCumrNvqPiSN3kzcEB hIYQRy1i13wEQrx2tF3mGEg30P429LJisIqT+06z/ZF5q5NdJ7H7tmFeERAEtQ615PJWwETCPqdR BYbLujnCNFwKwZisY1RpeLHYfITRWiOVKiot2iu9+3BwhzW11JoHJ0kyyt+A5voQV0jgh4kcmMPi vIxUKQCpQ7sgFmV0pFOnxVuLfF7Cso38eKNBa1NXh2MY+WLRCUXBJU6wzBctkR/TKFRrBJZU9mIx 34IsGSX8i0T3XI+kr1uws+6x8gdZg9lzfVVlKHb0XsKynhy2IqmMEgjjibdlQNtzIYcfNu5i8mng PAGU7oN3HQsuhWmvpB/jKF2Fnru4RReFntL1lo5ID9uKmF4DoKyxQG/S+IJmBbWysebPcWXdMS08 HDs6FA1LQ2Hl3hKFrOkjf1PvM6EQKUZTm9h6ZWYzbYAhqQ9UyY0+lA1r2iO0fK113YYSD8hLQLal ZppiC3uZI/vypuNPYX+vvmrDqjdgD64zzRxhYFRzWDl0tnC3OvO9kB2uwjHHdoexAmJ8XNYdE/ph c+reUtl0sRiwslAEBQElvTwCuqy7+MGUJq5RdfijhPC4Jgp0UgEVIRbdHEN5aS4IIM1vn7cVe8yZ 3J/ncTggPFSwrU26BT0yBIcmYPOtkt62+bDvVegZ7EnQlSK84DatEYB5GD2bJLKvP/UOJ8e2fwTO XfTlX980RR569Pz9yK/f/+giWXqoEg432R5jL7qsO18htvFZE9Cuse2WVm6M9Icj4xOx5Ucemty4 PLRFAKvDggjouus6+73FfTgA8GpblscB9b70qQuryqHL6EzhKFMizjQ/6doDd+suaLcDPOoSQMd4 UIOTJSXImVjFm+MVlIRm6M4PwMKxtoUZRM/ahIvU4RDbAr0tBuEMvIa7+uJ2E3Zr5uNfSQgQk9zB wX7z0XnrHkV7cloKtUC9Yyz8XFuu3r/Y4A4GJIq+ai679xsaKFpCHfGxM/yzpRc5eOr6irG8MA+1 2cemuDFHx7ZccolOqaz8ntfAVHgN/id9oHrTeNq13tYS/a0Dyw6thDAPyK4lD+qi43lu06KbujmO sNkdAZQeSgXOBbxgP2wyXa7v30EAFsYXUTvpjXsIO9MSl9knlOawEEGt3PLG9BdDDI/SWWknRNK3 oI/Kl1m4ylcuMinPeOsYjYhS8M1UyJhW4W1LnFg1gNpLl4YOHyd8EJjF5fmJRFxJA0WhyLGh6TDd WrqFExBUrFkZrKPan6MwzL8hvWl38lUc+uAjsnNfa5JoctlnFm03LfEkyJ0eI4SCOpJEwFDc4G5/ laTaamMEhOLig8Gy6lVLH6L5rxgMeZmdAJ7C5M0Av+UzHnDQaQUY4pVMLFxDiIjZo08EQwz67Yj9 d9jHXULgT/2pOZU8H9DAA/9YNXni5BSQXfnt1tv3T6FoVOoMRdIhdgxsO6blKkPebaM++VT9eZpv IvtZ5WiU72uCppsaGz9iooJ2cR3lo1neppjYVaOqyWvWxz3HG42Q9VBHhdrLfNeCtEO/1hay3BaC 02KLiJqWugdIo/I9MXdswZGb5Nt6Cp81KOkOm9BrhipBKoBieImQlWJuUyBBLjQWJm5rjzvyjC4H rfTDOfne0mZZSHaBgHtTTnEPXYu1KB6xvrV0n5/c/iqaSw094bpDkItcg+tbd48jXw0UmvkMi8R8 iVvT5ReMoK5/BehCeCMbelBYkVlZBz6uU9y+9975IS9z/FTs89lR2pjUIF1AYax29qYSS365tLZt IIqK0vrZXZzP4jWwHJh433r993KeuN1EEzuV7jWmZBP9UdIi/Qmc0B9B3HfmeIQ3wRYNaot/g6/j xOd0/0J48ihB7Ht9Z9nC+5A8Zptl6yg22BpSPJuNEEcCiPvVjdUrZNpDPgCy7bW19WBfiP1B8q9f PnU0XIu8hiG9jF0ztU+PQqijVJQZ76jK5i1WOTseD6Bdb951TyuyfFxpEUKFJXFSZQASdcMBQf4J ec0tCHyiGc6v4RclXxCtHKhoEjc4+WEQTmAN1MmCkPGr0x0Wa0nGah+9hZAfBqx3IyM1UfIm/tkV 6abgwuCYyR8IDtVSX6nDsw074dSRIYxMkY0wTVmKbIyMWDnec48iIoRaqNAfSuSL6rYB/FMFn78u 6mMDIUn+grfWzGsqe/YUCfvi56543hroRA6BDy/KmYwOn3uhYtjkRdCAiLh8IN6PfRsZ/ua4xCYq j1fa8K90AN00g9XjBZ5suP8xDwQ8hDBFn5iSEZUXXSa5AAkFnPuUnuaknIZjNP0gO5r6dJRrKVaC Irgqti3AFiv19YsudQUA1xcxOeabPkmPSXm/98nURdKotsIbnyFMHLODVLfXjdsrUu4nNYW5/I+j BvQ+NwhieukGpQ76PQLA1I/srP5xTeGEO+l637uodaGuBFAwnfZGU3wWpXZoi98bkdKaf1dgKKx9 4WkXqxsvmATQ1jdsHUa3an8fcq0yKoRDFUsSGNe//OnEmHSf6ko3jSw4XjeS0kjdY3fZcKndgZq8 V9qT5403yI6RmkDuAhWvh8pFzwTW6xyXU0TwmXFomQTVWrocCI73qu8GbTPbEXTnI7k+5rtugAd/ 0T4/AevTWQ1ESQKWmnCgTgNeJTBzCPoFhW4LnUZjypuMBri78nmtyJ4ql3dPuK8QwlbyLE8Vsi2h FS+86U+JVyPQ5QP9QkXKgsbu2YiV+MyooM1UD7zbUqWZvnjigaTEzW6HuLaX0enqSCzJLHXwe94D xvRLvnMSQKxID7ilIGS77M9bXVoy8HC0nWf54sPjjNd0TKvgRwW0Z5E7PALLaqRThrzneZqxOWle aGlRfH0OHPGM114iL3QHZ3/v8w10DAnMQJa5ZH5CwjVFqiTc55SS/Rk2FgquYzKJuzhZxF4Ma2I7 nsS9awpI91oSwavUJYk8kLbk++87lhCP8dhmnpRIeT6efdZXmk+E1AzDfLvxvZxox0ENUKxmxPRa OdaUJP/Wl6GLhnEU8mqTAhKZMuynRgS/EX3wTDjY/W9tWgsX8zQQ03Sdpsj5Z4InZ7MJhZ1g7oZH KHKERxiM4nO6vBY23iEJnuXroa3kff19cJ1TbsLsACvk1cC2XtGUS0ODkDXRUceIQKdW5MuwjpSR naXLpSv7foP06B7/YxinM0XolU7+C754IanYg/qZM1k0Tdt7X8PS3UMfrH1Ju7x4aWN0Da1AL+oC ywArEgtK8CFJzuhjHWQ0nsF1GNJtD0+CShFq2yJeHOPF954ihRzDeIBbm7CO5VxtrTF37CWMlZ7I xcBmDdxM8jP2iO4j7hcqAvvYwMIFywGT7T9QX+L3UM/HOhnrJIInfVijXOV529FA5yYZgz4FuGCx SXHf3lxfNEFFWVVVKpf8Zr35G52gjKX6RUw/6YPb5ty8LjWlt6GCIcTnwKkodvjhVPvG2DBpT7DE yACAjRZEzIhWutAztHDB1pUUdvC2ZiorXUzgG8qX2NOIcD0caH+zgkv98ZiH+O3QzDp3dGJ232LD fvivebnwAo6gtA4Ozdrt14GpUUNpVc18w+rTc/bj49D0gQXeH57uh0YBrC0fYUJAZJbWB6/3NZhZ W3gHEpsp4y9UE4xoNTfRXTyfoaotDQ2hNyxOD68d9o3Mf+dJ1mZLWyVlfKYyYshRcuThsFPhPbA3 xMV+bx054O2RMvmdB7HA/ViHq04qldE50YIz2eE+0gtepgpdUE1ko5YrJC/ud56aFrLrq0IzfGhK 9Q//3YF//Qcqq+exdEIz6vH3ERfMWb/zQHCfUNgNf9NIj+G5UyZXaEHpCr+17YDLOG7Rnodd5/VF mzKLhJk3r6TngzhP0JI1sgcd4rhJ+Ox/8XMBMsYLIgifprXtH6CHodLuYaVhqK6jjY1URRyoRax9 3jOkZKyWXyH70NK56TCZH163vMQDAnsnrATBDEwlM3IfqCS4oivl01YpGOG5Daia691+C3f9UGNg 0qdoq3NJmP4lozDfJtEJ4VA/+CIG8FpPpEemaLsn1RZo3sDMVXdDCdlsLQ6z7osPFkDbxLIFez8S Z/m6onSLsqgOHQ1RgmytD8EEbf743dc/BNkwnoKKt4nIPP5E8FtBP3kp2eZJtDPJY4YR6AgL7Tf/ q+pG7qxCLOnwYz7IiJ0HBBo2VMjTtzTfDL0g/qvD4Yvf/Cs9zFcn3SPkq3hBgXASog8NJbt+hk3Y +0vKKKMNOUW+Zrjr2BMjZROmEULb9zaxMVkJvqUNXIepry2/5ZFN8w955pXuzhmpwSzIznOg954Y fudny9gRc1fkpoE7A0BU64c7FWL74/IkLYkZyJHwAaa0+vr7fFLTypARELuLATHmw4ClBQfgC/jB fN4lwyc1Wc+s342KL/4PBWDrHXd2wpnzietF7bW3WycNwFMVUSEwN8RrOURxLlfuNRA0AqBlMsdT oCyWx5B2yCvAmOIXpGvP3L56Mthk2fcIP2PACTp2tKlddqe93ahBICi2Mad7WOred9zEb56RFhaa Kwj2dfJt5+37I/SWo/1UA1yMgXZynQuv3X9fs3/zbLCmvjHtVnOwbb07k62yUyDaXVCWdrI7e+7d ExcPvd//zdNDs+PyLKf0U1yEHxRu/JZwFkR+KvJPihPa/WU156uF6+++wgVl2z8ncHprdQ1jlSrx 1dFmJU0B55amTlZtCuRj5lO/eaJF1/r9IzCQfPoW39YKjcbjOS0fjGR0SZ3NX866AYHQ+e3/dVfT JBDaIwQ+AB/XjWpSZlFu9YAR8kxFxhsBAzIG6MNv/+MGybo4DsvUlYUVJeOqko6tVO1/Ov7Q8ra2 pNUz7W5c/vZftC73CKCQ1VVXbTcVD1NJc8hnHYlSqtl6BVLJKCIF8vZ3X3cfGLTgoDnWjBXN4vIN uJ+J4y5pfF9wQfLF7dpu+Kia331/WCILe5DDbgHPQAEEjc+Ld4YuJjDLNcRSlo7sAExcOUp9lIbL BWquRfWJM7YhmRrT/x5iFfEIocUXVY8RAEFTAbqfsfpW8g9jnZRhBtVANgNGkpvDX2YqAjdWycq3 x+X+SGkTAqX8ZLjD2ZtMGXbcMgF0o5tKvgr0ZrPX5Y/j+P++3y/YJfh3VSkmdJlDQt3ZRWoi/1Lo NuJ8DBsFfa9vGIDJ39+vrrC9BgqTI+6ocWfK4yxNGkmRDEoqwDejKpLiMj8VcPztM8aLc4/Y1VZQ l4uepHErT1o0wOfZGSzZid/IUQ6k7+75b5+LioyTBIJhyYzzRcDLhNRHzrUJOMrHEaQ4JqY+6PDx DH/7DLtPhZeTByabFZphBeLatof8oUQXRUC06ndlxyNzzof5uy/QO8tu6fSmv5zfZPbjkvjZ4zKT nbgszo8g7gcnQlJQlI6TTl8Mr0eKaZ4Hc5Cx+rktyX4AeXZRmLTgboieQD2ue6bVzyNQHM+uB2qG jUWyYNBOtHcTq85VEUbwer+Qpdkcfy/2WnSlYiBbMHfFBR8MsH46QiWbZVPgAAxr49CrY0wWbftj anI1a+L6/SyDll17QgMG0zyA8EZN68HBW27f6Lik1OYsLQUb7YIgF1Uh2+/8Y7yqjYX687IxuWuG hgA3RBdMUiw+pWoboGfEzaz5rIW/82MId/cgtD+tA/pXF5aeJbLGmkJ7HWxWdiVS62t2UX0Rn1Ep vyZ4rCOwwyt8tOXJGtQCrGicWlFKZNhhQ+LOBC65N1uzUidYn90SACnPr4EW/fbZKQT5GGrdCBnM 9LRZthAKK46VxteRjPH0TuvGS5suiOr3/BZOnoykNECxrDNKBKWhtVqAUixzStkqse6NUPAUkfup /57f3kCV6giY46PDfjFP2vMUSBuEVrTQyXDaxK9JCwKoBoLf8+vRKd4V5qMrHPCG7pQcW9sRaw0v PdZoeqbSPd/qvc/F8NvnRNBubEONxCK54XR4KhQX4Yxq6GCH7N3ekr7aqTmuePzf95vp7ahoXkHg xVOD/m3ZqFCnbnDrYkXEN5H4oEs+vcnzzviWuOJYiIJbpKktteBG7MDrmer1gYq/YAS7w1S0x/B5 IAnYRntxv/1E8chXfwVz+ptnXY7d14F9nhU51tsVp42ocvLYLtK7KdmiDXdQPwKFLOfv/KCAkRlc pWKKZjaLqywlsfuVBMlfekkoAyE5O8pDUzOfv/OrTQmjjw6G5dvpyF2roMV+AnEeT8c7IhtXwrma Nb6Ia/zO3wlPG5r9pMkEKbbHo/FwNVUIKt3PatlXzTD6EVlL9ArzO/+AWVvUY21bGSUNEPmo0uWe 1dCXIMhGBAEnNtckp3i3428fWA4LlyuGqiBpOOM7IY2rIgou/CiQ6JaUDrmjYwNcfpJ++1D8MpzQ K/H0Ha1UEVTjcT00I12CHOB/yJINY+lTmxEn/tsHAc67uwqw1X0/I5FcLRqM5K5sHQSFvtwH2V5B oKvmB9vfPAe1hUQtopsrlraULhTUI1ZoTKEbti0Z/fw/jo6QXbB9f/P0L13ZsnYwwZW90XIM0qt7 z9dvcMkqfPT2+agVeyG0A/w971SFNHCqJuBzCwGEA0ZrfiA2fuKqN3BW/adg4hjpiKQ2v/ensU0j tT15qvJY9sbloLSA12Tw0T/vCfrneaDd1kaucdnf+5Nv06JPECBqPbdcvRaXtUGFQK0rXRdr0dpC 3Nmbn+tl6/f+vN0kqkNiEVQScVXrd33KAl6oxUgZjxOf0UJXN8W8i2D9vX80X+bAEZPJ6vsMANz8 TF9SBhNfllApFObWq/R0qScwjTxSpAhT19AW/oRGtvmri+8eUE+k/sNLEtWAz6LrmzxezJZGsACY HXI3fVLxU2NNA/m9fwL9e3sHnFMAbbQAMIAuB5LTSWrNXoXRxT9x0U4lURw6+7YV2aIkDGcpx80H uVXeJJFKAtVwNFj7h5x6TI2dSKH9Nl1rVQqEZY9JXFIOM8mHfvv5fOmpScQAnKnmwlv6Eva0Vjrf Zv+JK5wf+xRFRLt59P7bB+5bEkcfzOJBHNwfru3D9LvHdMbhwCrI1wedKOyVc3rS3z5wnCuakWex KRYPZqwzqmoHw4giEQCamDmKpRgWozLlwf724bTSDIgtBOWEoz9yYsHVCEz3Q3uldQ8cphXUFfNI 9rHpN//E4jsE5eY/dv0XiEXki3q2tK1+gCJ4lA9cj7yX5010f3/zL4WZZvPvlhhWPxmKhY+CYyES JoDn8s7mQ8vPvzbMu8L7zV8BAsWJ/ojSUsdorLPILgjvjhhVr8lFlQc1bNDW8QVsOPsMT+5hgxoH qBEI2kjur2mSjfCeZcI/z2D52p03L4opq/hzBEAY6jYn1Gki6u1MooDb6q47a4rEEUcaFmFerTcD joVhf1u9IZSsRxfmDsXBsjFmH1gROYUFmbERKZ1uTxeZsNaHaeWFsL9zMtg4SbLbBfQWFjQNXre8 BKJKcT+SNudiPDdz3GAH3WY+kMsAexG8yNmIFdgRQ766ll5qdyyPKaj79sEVwVfhELXV+0yCqP7o B7XRyMhQLQSVcR7M5Lsrl/x3idSbH9zqWJi0qNuD9UanFT7BgiSbmhR/u5d6oZyIqS1n4IQ7UJCy oofrVqPrKh4/2wqhFuozoC3VJo/dGuOpNBBVyFUSaYjZD0xo1ZaojQ1yLlJXPwyK942bvFvGS6BE 6l461MTFJcSvKZWufQbRJ8mBKofL/P84xLijcz3n79qzalLtZkrbHwrouQgfU4KZz9hizQ43ieDS yvc1VNvmM2Ilm8MgiPo6xJU8i08/ccwiTqpttJJp4vT6juB9SkqegZQOzCIAiAba7qkQMFOrgJYX 3I0ILiKnbjw11jJIr8EuA7V043WDEr+sWe4cxd3hk5eXi3L9AWoYgiJHovWCF9DSeU7zx22Mrojs kxgUCvUhylDvzRheNkA6hlpm0Fq6u9yYTSVzUlC2fJ3YLq7QqI0mZLTAKhFCvEm2IFBrsspwDahE FKJkocF8/rf5o3MpaPUCQMhHPbdvnTdHwv3K5sNpfZDLK6Xmu7yt3+QP0W5luAqsHVY1/jpgMPb7 /MA1j7nuSaDRfJlryYI6HCe5jGDyWSCCfGzu5Ih2LCExKQwGAYkoAG5Gez1I00BE5HlnGlQ7ywu1 idvahBSt/Lvl3xb9bcBjpVlNr166r6cmcbj8ZXlG1lAZqe+eOsJzwG5JsjOCyRlk1QKxSMO3dZNg P2nB6o9/oiGpq8Zu81MhXu7wksQvpbAJyLu3DppkkA/2Ey/oApxJfztrb4VPZebwyw4WQ/bBbZYL EN9IUZ6MsK28KkQuHbYj8WZ9j1NFeLckTLt6HJYg0KXt4BTthru+EwksIxxLdHflD1jcggJ1fvd9 17oPUH4OgvY4cVkROAt+C8raQNTABTyh9PhsH4plQnk7BOuCKZsYZ4WQk+9o62NvDru80+excooE /TPNtSIQENPtVwlPzZmktmh4rsBbqX9QrSloXfVz0SgzeVHpBnSk2XiyhzZE/rx5hz/45Rx7th0H xBbPC9/SX9vh/Axccfv6ZW7FgebuTIfSQC2ve7nmNFD+pyOApSBkPTSPly3FBcSKJ6mQ2RwqKXJ1 S5J1cHRwytDfQOTKnG+4q3bdkS+Y0v8a1UYkuoXu1UrIPjFzXP9Hwk6gp5dMHLBrpACNsH7CRRTY p6EtmOoTb/Jq4PhK6KjF85h0T8qeQ/wbTaVxpNmUe3SPYwk/ONZpa2TOuMKcLvGFeBvoVpUZzGb3 GjOG+nRWGu0RLy6avuhFzE/uPRsgTVGtoNKv8AOEvhJ74hwyYTnIkrVSysfzppRCbP5koENL9JCC ar2QnwvykEIvOmqCWzzjoXamqjgixg7H+ZpfYgu9j3Wp8wwrd45MocYRhB/ChGvSzOAOOPX63LzG 11MI8aQ72bI6/AJbEkZxHKRfzNnRjYcAwSuFyrKrFz/jwy8evSFBCKhvEDb09jGRNryYvwZ0JyAM LqZmNHB9l3ldLBOlJMnn1A1cBwxb4ASv5OJdbDVF4QZ8PzJnuSR5PZ+z+oirTaQD3Py9KNkE7j49 J5NXwnlSzdlnY8C2f2u6hN0rDi5DS4rpGlm7+j4awormO45yxyGNM7rCO8skw0xN1eNkDG7Ue22r 5xmney9sO1D2YOttA7CXUqhngd3hOShnewgm5D09Jr2A7LMZkv0yUN4JroVrHETb7kYjNwibXg4X QzFr26hdn2HqX0yOnDYD89B2b1pAe1pxr7QmzlD1vfWrolHJGXcOO9MxhKXJoxU3lLj1Bz9IdBzp l6vVgUV6BJzhU3x3UZ378GPIXb6TVFpGNsi6jYsHP6W/hpH2ata9r3vBQAlVzGgWHSHtoEtFKdxw GWrM4TBD6o4P654FNq79vaejI/BVpbKxqLVMO0mJAuGJ2qIYLn8KV8jz9TuncoYLl/NCXXCHuIHH 9pW0zwVW1X4wQaa2WAQG5DXIIYcqrzaUGDAKLrF2kTQO+yu1ayViZv1XovVDQuBV0WAi14QzITkQ 20GYK2y7QoRD5kK/T1mrf6Wizz5x/OeREKLCHmm7ai+ECmEftSt6dpF/qKlnwZABGEsjVU8Epame z12rHzEILJE2rDfhwM7EeIRQvIIwiB6YtcrDU0RwYPcxpy5I4sCscN9mE2NEQJkj4b/pObb8G6A5 9kaEpTS6YLBK8INoEpnbQ6FcxudkNV/ys82NwT66O85Wy5VxzIsVgf4sQdx8x1Q5jO9TquHGFUgq +HNLhJiqg4r7vAXXRNZ78skHpCSHgyNbEmp5skxbGbLOpnxS6AScM0OMINYuW7IJEK0NV8ZxteTs M0pS3+u4lxaTKfEhLTRirfF6l0LEjeZLAALRjFbJXmaKf7bRKN1uvCcXXVqyW8saQR8186/3cNVs KMMQmsY7xj9Kz0cO8pCaWhKoa/dM/lAxlu4U6QqqfNOhGemEf/xNeMv6sa08xPMB4GhmXdzmbgY2 /ShQV/8jw56iM2m2MADHtjmxbdu2bU5sOxPbtjWxbdu2PXFyzvX33z+rV3fVu6t37TTcvKAS+LU/ iWvp6R62PMcukF1VRwGRAtScDMJy29ZOIG6n0fzE8LzW5sMfAi+C8Q8t6lTj8NG1MiCWW5RhiXBN uIKuW3MqgxfMLo6OicIWasS0bzzDBrpX8Jrc+NXDBuyPRCWyqlhTyb/dDWtE9JOr4lxNmdeSViyh 1sL7mCXF6mxXyMio2om4pSh6oWv9svbHBmolTc3H2l8FTDgtwJP+rTNDtNuUfxGbq9ngXcprQxNM IeOo+wXnbGzDnUtV6/KfZ5WYR/WYJDG9fvQy82uCrhIJK7XukoBoPercsce1lD5nxENhlTwaFnZH o9juDM/B7DAnHu0ydFl1mTdAXZ2eZ7Yx6+edTckcnvBs30e8xCTHmszpYrfpM5UR0KHGjuBeTI3w Rj2+ws1gCL4ctEmZdXeDqwp3Y2SraAiBmadYcCRcB/s/MuztRp+4rhEctRR1eSDIY4rVxXWFG/VN gz5tffqRYxSXGFBs+/IsXDVVCkhSiiHhQ/n0XnInKWj0jRGNkTENpU3mclkyP74TF4H2I1gK+DvA RUsNj/X6Z+NzqfAVll5qzX4KuMvZLXxVXWIV0in6w10YaXtUJBdtcUz/m8HVUD7XmQF9WhLlK0xJ 2aN/pHTozDqFJM5GrG9IUa8reQqU5Q0JxLvC9nTpjlabhVAcNfqqVEVrCnJicA91tskOLt+bGB1y KcHOWP69qqvNxyNUX2vBpU7ZyKetgCtRz+ddUfdWRkF+lJeH2TcNwY6k2fj+B31Mhr9EY4LR1yQZ jFZnvkAafY1LyVAPKKYth44/50cLZOffA8Sf3Fbae+bqT7rjf8ov/sCpDVWDzB8FpM/gUo/wRwFc cReGG1FueWjMSXU5NXKsL+bbhKOCJ/iU45uSGdmTLwKvZkczKng6E6juyTsqA0OLrIkzTsmXRk4M 704WrohKkt28kkXnV98Qt0i+hjFnX51vfgeNmgmEFE1+i3meun97p6WTlviUfSDsBtMTfeKZfszg Bu4HmarrqXiPjKaFMyqTmHRagGqHXVtnD/1K2XV83T/ZEmTPLsQxdohwFiIKoqtGbh/hglIQV8Ax 9xr7ir6x+qGGxh7A/0BB9Be6w0r9FQmPeRgT3ssgzu2LkehwNNaeKF+XCgtqikjpRHt9r2IA9vHN HAG5WuH9iTkyXaNN8uLtmdsLD3m97LiNyBwEY4Pvjrnd17P5lbF/OYPHc+wP4ZEtxfGEBkl7zd+Y ph02MdrcEUXFg42b9X2sRvaKM0vssxyP5N9Ief13kkPMZs7Xh0I3Q2hca+SHxULjDx1Jmuq3u2dS ZWskXjitRrkXDlGf7hbvGQ6fDHrqP4L7ss6R1az4h/4NFWDZ/mpOI/4SZ/A9fEGD/hYijiE8N6ZM 8n965hExFOboimuB4CgcHH0y9UDovxTb3SSen6yFW8X15e2OY4iQgJxxgnLtU4oYFG+bKTCmA+Ap vmjZq5etdjI0oKIEMCVVzaKNuYljvAXwBGoXJzY9Ou6+0JgMkDgFUg4cnm9BpRr3UZ3sWKww/bkg TVH630HhqNfBHyBU1/8U+cEhMYQFwy3/KesL/egRM/z16Cqunj2M+ijBgxFlZOdKE4+W5KXkjwM/ IaGjX/iHNDoBTkZQO5YY3JOnljVPAeX6CMfjIQYS5+SDdGUiJrNIh5KLU7RM1YGmDRk4ldQNE0dW qppqANSROHcHOhdCBjaGlfMLZFGjYHrJKjcheF8gVOfSPSGPp/5G15ou0T7CP7xWES1UuzszxRQt XNJchMaUJRUS/x1OroM7s8c+KWtHZwKxOtkey4jDnO33Z8KF2CwpqOzD5bDu/tUU9lzqHhDw6OTj pAk3C/Hi9thh5q+PJySKVZmkwKI75kzYlU42WEhhXVcxcI1ZrcOvMMER2YYdf3UmcLIExIEOG3Ks F05bUZ7yriPoEhfTz5IvHZ3vE9lEYwuPT5PH0x8z6BbeDHz5NPXzVbcljWTcsYmW+fkyGeXeUQG7 kRRgHuTGUiGkNQXrkNkAXqIWAjog7WlSshR5FaMz6n0WVvAuEBZqKuPm6JtaZGxKuub5RYSoUNHh HPihwUH4wrTAaFniH0atF83Zc7NFfbwrXlEOOCWEumbtLPQVJBtpxcAYJCrWQapVqpCbgUJpqpsG KF+yCTr6XAKb2DTnM8kN0Ijm1Va+wHzfQrASzrVCGPMaI2aDiJSlWE3qH5k4xFEm4dflrJUSMFkI J3KxO/fEPXcfU2ApS/sRgQiFi3Y9lokQHijUM7QzvvGsKIEHzZlt6yNbZ52+wRvrl1xVplluTO8W 6NfJ4tJ74g7q0TKVxcplJdiVNf0B8plZ9sDNJqEcHYL5MV6nhxDBUyQiDszYfuqTy31Ny0fHizNC DfcZ3TWBnrHy5gxseog55EINdzZfjapVGZXPVuP0EWyYlQgc3BjZ/5+ghCDdyLBYF3kC+ExOrg+S mQNrzR2uiavbDpw7+SZ4kcbCQhELibNLGSC1Iq2bqMQutA97RMnylrh1c8VVKkMTGal4kIqR9Hbi e/ox7FYOUJIVAahzR1Rp5cRcpxPvW3cOvfhOJ9sqi2WdA5n1pTpBH/THpS+Mw/28K0Iya4P0xvui NOG61NUaj+bb8UKjv0ZjjIz0kVY4dOUqT1y4NYHCKCNwO0e937cp60jJUYZVGxowEYu2hVJx3knX oT/1h50sn0FPZ/YjDa5KsPX4oMRPwaoTiIpQgJUcQYfL/VoiBiKQyZFvOGKdC0WjiCRwT8a7ER37 JCbUvkJHryabuRpN7tpV2JXkFIPPxvXfQjNqe6osLBOv8GkubEqX4KKewt9ZQqQCWzzhToiHPe+B 5FV5c4ajRej+FHnMuKmsD9v2IoZwoaZzWnHH//up/02r3QxC5MpUc3eJShRv86nIFRjx7yCDcLJa grMvZJLqSRh944iqQL8q66C+1H0OmArOOcKx3WSkwa2ldzfphFfdcwlMa93Xea6Y49lpfqXeNYnH DJiyNFA3TWaChsRnjbyDNxdNf0mKdSoKD50Vg/zHSh5ESNvr0S4USOKOf/Bu40zhho4sibtL7gPJ rt9It1OUNbIMWCgSam0r7XgFolS5bl/eXtQXdPjzaucQ56Fo2MkHOFV7WD4UPon5yTwChshrn9T8 s+LFPmm6Vn0e0w7eQd4HdW3mCES8Mpi15ypxqN0q6B8aTXPo2fucUc0ovKaFZSRulr5orb1fp5cI JWSLwxmh9rx52CV4cAeRevxR1tdaAEMAdmHed67Sa1gDYH4W4ATupLZfeTHNjFNgo/szXe9tJ1d6 h5UN93mqIVLRoAHz4xYWHjXJmsjnI4Oh9kNI5u8lZeaPJ89vlcZ2WhpLUTUImJ8lavMPFn7VArem 2J/qnTGqZjGoAW7O3cFE8g/tduxQOMD8MBKm60Hf01hj7OSMLJ/eYOyf2gBN1P9lz995R2FmYq0C zA9fgqpX6NRz9mWWfhKhHN0EQopI8O148rLmWVjLb06wBMD86KzG0N3UbbkfLh9aWxK8RbAJzzHd 45lZ8TF+JmLV+44D5mem45cnrCEeZ+Nol9B8bZvU5h2vIsVD48Vr/b4LnfX3JGB+YAa/68LZhvC2 XFmUthcamL9eIH9E4l7fMIEVPxUeOXMA87Ok7FvDgCjWX9wNU3QywHzqfV532M13aX4fs0LaaFpJ BZifpaEm9jV+mmYg3DdwTdXHVppXitRLc2RlOfKFHwFrhX3A/MxCbMlNWvv8cAGpQmAGn7rIBCIq +yIZmx06o05TJLKHA+bHKjggbP8vzI+A1/z4ZSAPaPQqbG7wUm7W/KRk/Ln+yVOcgsA+5xjTMczW Z4e5E6yeBAk97xfJzoaz6AIc+k2EJk2Jhm+dWfkvZn/MTc0/DUutpo+VCFjgrr/RawPAIsyl4O0t trx/ysN6b/3u1UDaPNIJaImr+9BF8kNfdcF4WSgpiXJrVST8Iiap5xsgpuYz6nsmKyEgrw/B5F+L Akuh+jpir7VOgWXttWV2N3uwBL7+LLz0KqD5q7yNzwNfFQ1ais1XssFGXtIUyR3hDe8k8W6AXfCZ Vjrto+qXmAUrswLDIpii92e+2ckW62s7SqHkU3CqzRuXdnsbq7b2R9qw+jzqk8YisW64p11VDeAD /ebloxvgnDSuRIv7jpmxPOKJcPWiU+UsP4EpQXnpyS2u8HFL/ay9L3fiJtlHu8WcPw1WCjSIuPW1 sNKfzrUKbWrkRcRQ2YhdV+hDxu4SBNuCXTVmUWyBdVZ6+nnXP1ZxjEDE/uDThwYPr5zE33KYCUu0 azflhuPbj6BFisN4J1Gki6yJjuPTTSRN3j1nk2QA/Svbo+LuwKlkZGWv1mLzLVuyvlNVFZSyBKpm 7jXk1ImiMM5I4NODCdQD5RocQglD8scww9bIgnkRdjoH1J+56R89d/RyBOQHljyftB8H8BYeF06C juaCo9HmT8tRhw4P7nOxLsecHrULJTfZeAEES9MJbfoXTvMiBhzajLi0eBEFcHp1bAjhPMhoywXp vRzpqpBgEvhlBmi1kaZcUVWISk8TLT8qs5tA2N1vl0Zb9r9B64eLvzZP8rVq9x1eodZrjaOTVBqO RJS0XAoPOo+eXXITaTYVgoICxgve+CD5UuJZmZZZ3aB9wTGnLZZgwju3IMjbdX8VpK4RkVpvcpS2 FFvNXXaPtCAs0IedS06aQyq/7ociZl9Lhbwbvj9lO68ZSKGAVX/WzY/UuF1bjOg9GMfpyNsZt0cJ 2e64Bk26dLzHE0GlQodW8DAffnLIEXuv9JYj00c23eLFU0TlEYhAHajuglkGBK3llzWD4jL7rcy7 BxgcUel53vIiVGKE8ZiucC3VsfvikLWYG3+L5yrx8D7FQVR0WAfPDQoOYsqVMC5HOzZR0eTbiXwC /e2iv+6EXhDhkH1Pk3hSbAmHKLPWyJeFmbZ8pS8mqFLjdK3j5dilDRN3PGQQNhERDO0++4c+0bYY 7FnJN5gNbfakb4A75Vf0C8FoxqXp3QUZ3lRfUvlphfcTnPr+HcXkL72cShmR8vrGT9ljjo7d0Wg9 QcAPh++TCxOylDeR2LAQnE7CFhwB4eh6JmctIYcvJlcNWfR0rq2Y7cY+5p/LOn/C7J5leo4O62WZ oQKCfVdwsROzUWd7P5x5alSgWSOf1fcSl6hcKTm3Lan6wSLR7BpskKxS/JumhL2excyb2Zxyxg1P 3Ze7Kr+/ZNvCIcA0tEWTiEsznaJ0Tr/BL7555OOdMeil4Ah92Eh6dLAbb+eYlZSAVpfyJCqcWlh3 kRw+ELbGwy8q8M0WPoG0mJYFywgjvcGEL3vNSZLyTrESifJpYoO/GXwKdgJU2aBAiudq13Mmqf3z Jg8XzSog73AZNmbceMATlUGMs+ZMxdF87pkK18uTNNJUL3W9EBIy/wUu4eXazdy6KsSMPFUG0sF9 C2IcaAeckIjOWTZLsQ/9QfZr2YCn25NEfBA3IDn92DgW/+NtxNKdm/IgzOsCdK3/WMWgtvs6iReg 86ZWKUbgENpSSMPxc00yi7zJuNkQX3+MgiDU+8Sa1VusU7uLPzsUbBhhv5HkO3edsIgoDI11IM4Z 3WFLy3Xp/PfgV5r2xlhdc+oXXMzRe+DsTrirdug6+FiffxgCen2JOUd+OwXORQPnMwJV6yfotUPm DuXU7Ylphp6C4lTqZ8wxlqznPRejyEZ1hH/9ripydTjdAnTa0fl7NnRvwebieqe6ytyo1YC/fhz9 4LpdPkckjduebyZdMH4qcA5heG/n5EJgrRLs7VxDE6/Y+HwGXj9XfMOeLjcZNDzYSrYWhq2F3rVw 4s8j6cWyzgYxtSEj7U2znNNcnr6FSEj/YT1Lo2+65SwrDEZUpsFXh1mh/JOpW6yJcQHCqge4gnJi R1/fK0MF3dRsyvvMEmK1SsWkyi0rUxobtocfGFSRybCvZ0cmHRXSD59j+KWdi1YFNdg7g3xPn08c 4S0wa2Pc9h8xb4aiWdA+KodUyEEp4Stc2h/mOgKzlxImFGriEYsV/ovVHE+njzQeNM1ehpPQTeOj 37qVKFnFl0sHLCmaI6X73ghtGK7uazGT4kaB56zF0qR0gev4komftn6Es77SAQTej1r22iylHXcx Avp1D9pZtjX9Eztaynbkfs66WGWxw9F31nl/EnSX+3968L+4b5layPCRbP59Htl9sz+Tw3phny2m X5iIGE+xXTtnwhHFlhG0F8STZAa/2x5ge4AkjLmcerNYgbDj0nUnFgyvH2NlS+Qcbv+7R33zuki3 b51nAPudTeEgIVqSwOz17/RkOacXQve4Cj5MggL6hPxmAHEIV64qyjbNlE/d51rWh4Nx4AaW96FR NBpP2UEqkttefIpsz+6IHoh9Mob9OSI6QgiMmxNypc6Uu8BzkaVsyooytv6ne9O/f0B1obJxY485 Ma497I3kCW2onppzaP28qbrQvpeCl4hiYyyTqIXinzj3KNxMuUJv0jr1sWpLlw/EcGgRCbw7a3Pe w18CdoRx4PZ4PDswqafynF+VMbGDJUkU4HAoVy35BcqNJfDKgzsm+AuK1sXsuj9cx7q1pZpNI7vx yY9toyzX5bBGuxaaFL/EFJm3JEWRCa8Y7k4QNSZCNUt7p2JnTKatV6qCSgY2uYEGJPl3W22LO4W6 6JDRRVVhrdYrPrMYm+zu3BuaNvgDIpbaKd1GZ2uAyDrRRmadlf7K3ySjXS/dYG2plD6xbW3J6FV9 nwDRwULSIfi6wCUDVRaccJh9090+vf4MJEiGMpS2/dL6IQ233CTr1NdmHDNb7LASYcwan8qCRceU bDtYkPZX5twlVKuOFA3siMAOTrilU8OyrmHP83NsUU1znviL3LgIFIf9p2F4V4yeStcCxO0ZDyup PzE0WbLngGLOp3yK8rFRXwuZNB5pPUv/5pBy1xJ5LsDxZVayA2IeBOk8rNCoyMGhfjnKkEyJYery qP4WRp/vog4B07Vfx4x7cNIKZEOJkEavM/RVmfnCSQUUkxHTtgAb00Hzi1xl0yCxipNcZ/Z6czwu 9Du4PWYrfUX/T6ZH7u/3IT0DrVHuHMkUbFsYgREDxurGQN/FxRZbsrqX2J8P6qF2zVPHH47goEDS kA8ZmPLYRbc9VFN1R3QK3xGeZeZhIvpjTl9MjUoGK0cD1lBghSSFV12eKfAUpETKcM586NakZ5TL vCnsGrx8e5KXh9Gd+h/+iQKwgvV4i2fm5MDuu6jGn/cdl0GnTqlmG1Bwjuw0vmnzKpbRIwx0buO/ PvV6Danatn+2JCLXFuOa1Th7XbDwWxNHdwhNPi9muo3dqdEky8q4q1bHaThQ5cp+gZzaCwwn6fYv CHkUP2TSJFP/A3Ek3LIaZ+HEf/R50TVNirX8vCsgLifid3f/ZnH91B7ela8TtkbEJit3y+ZxtDnQ gYiaTyHGMP68+zBPUYWthfu+vOabMFiZ9SlLroYghGOTLbxlMrKkhHhCrA3X7jK+R8JVLGPzBYsC Psj9+RRE8J7Hurocjxcj+LvC5HCZoATL8Av7oPiwI0syiqyXxktMsO3szRJWM4PDUwic6C8SsRKa Isi/oBkf7mdZInKvEU6y2VFR6uFJlfojKVR322Vpz9hP2r7hAsNX9WNR85Hp/zcHVIdN5w73sPNT OdyZyF1MVtzs3SivY/C4B7GUdiK31TBPE3nm9cKU7zYOA0sZoEKSbMR83/k+ICF067NsDjPGs+td DXcYoAqnI+NupvytsisKDxnjOmjrn1ru0WuuLusGM44WtczlIGyOoGEKQ0FMBeMCouc1axf/JlsF Ruq1wI0JL7qdTl8mHqUuufyb/iV7oJtpQ4ZCxHdkZsSrifFPeVopBNH9wh7RMBCx/h6jOiB//u3i 6e3OM8cxSUIirrmn/GCsrDFZ63w8KXgsqyDNCqk430BF/mRP6vpwKLAqn3YSL3PsVR4/WwYMFRIl vf4DF84e9fX7S14A9CdNaN7l0GX9z1ZdrAKey2go4sNw1JR4Vvkyu50zMkKVrBOjy+SG66hRXHFN mMfiefeB5KjCGoZa0yYwNyce8xUl6tcxghXrWg0hxJbYWJevEsdDEu2N1ATOOBxcyc2MHQgha38X txLZBr3Ar3XK3QBTKgLBp80eqAogKvdjTnQVhZlRz6PpSrf+O1OE9hupiu2xsFjEzsmyBODYqw5s dBTGN7NtUDokmR/DWcIuJUW+29jsFNW5PQl9cBNSabBbLf+c73GTn0wRTRkGK6lOyfzG8/TaCzK7 ymBf+KV/S0/akKIlpyJZlYK8ijechtQfGxVCdHeGz3mqcO25f2k6F71ziRFEHNle/5BPVtdiOf4z VDcCT3+RSLXNnRebLcwXjUbNhm6RKIQY1IjvFTZvdTomjo5+sUvu/U07hbd5YfuIDUW1w5NvN3kZ NhQi4BHDizstzuduCjm3GMwM0tZ/bRAmSqYUdPHe3IBurGbvmiJ6oUdbil0UjXch2+lCn7kHll5D 7fWoFXAqGZPQ6XVyUt69jhTdOXOIj0F49PbvijDszr4o3FmgFHegIemXxSFrZ8QPWG4fLhyYbBM3 0HBJ+Q3ENALJ757xX8uf2CMRDKjH7NPTzH/ZPLBtMvTEqbzLGK6D3/yOy8XZxs90Efbm0QzxPfO9 9tXBM1Tg7e4gNaHIL/NFFAaidn6QdrVRfucU83ep5ZH/7t2lVexzv09iuYPwPOZpAE9iXzgg8fNr jFH2K7e9JawNqRquJYRPoSGSqo0hriROQp1tdGFdsFGUtQu0YcdXxsi33lzIiz8nNxPODPlk/aMx +WgRL34u7iIIdTHxNCkuDVRKeo2PSnDjXt9Xr4QPOWXt4zpUUSSzHW7/TgPsNDo8BGSDwQlt+oUV TXi0d4bcb9yDse1WUI5Z+HFCuwepKPglPEHLuVo8rU55fOWquHFwHnS1OOmnOZ87O4ZXnSgI/TVA kYdPVkR/aoElVckjD5p5eGaQzE5rNGYHj8wWkyzTrvXYhqzil+roOs8cQYce6eRErkzj+zdDtJvB oFwEC/UZUqvsgENIIdYTe8nVv8AFVdPlt0pl1WLGTk2NV5iuidpOijbvYAuiQncaf79L0QJYJloD TcxOftc1TcKbMwSGh1i4T1Ty9U4PSG9JKUHiCIuNGm61VZuUyQTFJkr9A0MEOi5eIMbx5likSGd4 60HWSU3ydPNEROO/BPoHX0xFLaKkyL0mxdWtrSe88zS8fP6UScZiAmuwBe4X3eGGKq2AEg/YVglu zg8wkhqKDStPKpDnjVzjD0bt2WqmyY+RItfjTBEhK1Da6EkPMWL+rJ1jCytWCWC68a4T37E//i5X sER6bejdUTih74uLuIOXvxdO5a2f4JMK39wI2Af1xmUazJC6Fl8lfAp+1zlY00C8NcS1cl3nJVOt 5GtvCMGGHLCZdDRhimgmCnwnRHLki7pFZmsAU2Yh+oJ5WAVR/XS1smUc5Haxgb/ddQQP8gAtDB/U yqcEPuYmkxDAH66vAyGI4m5IfKoRX4cPu++xvrGL0NglLfRk17NK9EK8vCm6djg8jLQUJb+o4Sd/ Drbqwc4XIlmLyKR8GrFMQeayNzxDzZnbQCBUsuNq64IikKhjYgYzc+/C/8Dp6Gi+EtcxG6Gci5Do 3qmYO7tR4nGitBvsPOZgJOcCB9lMe/jfjoAE/95ZANVsRxGALibFF+neAArNrE3bXtCuZUxtvYoU cA1mB5MA8rovtGMX/A5cAHcnpcmTKw0PnCSzLTpCOvLrEUmGLKV8YjwXfgyDs99Jnfsac5APo4uE eNweQ3u2SaXXX7547C3o0AZBbcFhujKyu+TVechl2TpNX16Bd1LHBvX45RWel9Zfu+u90ikd9niv XkXLkxmlnBTObGEzs6R0WUX4ptCxKqTPM8pn6BkUIog3qw4vPjkihtUWPXItry46IXB2MTSaCGaq 3JVU2NOqhhtkLOG1PcQuEWq7em0iJnJ1EWkq124B2vDv8WRVCeHvQNbVUlYMHAvmApUQ5Lk09kuG qqAZIXddNrpeRWRjWK7wtjH3P8IfKm+bz3YSKxyLpVoiTVO92a6rv0xhQ4esX864Pibrg8YaN51M Af2XTB0mzfhaaN63XT+BI9CKn6CXHxRebJazQ8nuFlKMDvM8WXMRlC5q8f9ui6fflthEufNWseGq Hzs/J7lhfOoYHc2+W1smuL4+TpDQ1jsbdb/Jsb1KCIf8kKgx+FJ+XN9HwC4R1ItPf0WU75uD7F33 CDSMQ7q+bYhc6+cdOsf0fySe39hjFpnf2N3fTBUReB9dwO9qu7xEXhCxJvziYAj/l9yoDbFTpR+z i3KlBlr/9evaiWEEcSUJx1joWvifCKZkVJTSn9FvZ6/VSL00KMq1RJ6UV+WYL7gSn7rgHP0ocM9H KJ/90YF/HO7/vqObU1M6t/nEpB25dLCbr7ceDuMoRKS8aV+56b87M7AVRy6INIjQJL/tzc6bhFxP f9/YzPnPil9jXwqe+FRr2evQmi0HkqI3PEnkpzORt1tTl40hTE4yiLWX/KvSy4Q9noWwQBI1tWbf z4B98iW1RVeKc3d1h4XJ+i2ti09c8mSJJlZXYl4Mi0Rcc4wI5E2O02eBvthP2XvY4bMlRSEwtAuq CsaeWhrp/iBgEkfjcO/AnJHv/TDJW84hxm15ENnzdI4/b9WYNUQ3e5vlmz9Zo5pQmHUL2rT1sLK3 Hc05klKgFApZPfuBe+eTw9X6knby0Mc14jAr93wwbETmwjdsRAKnKO+6MPs1V2ra1FSm8CDauECY 9seo5uMs1FwClkIeSRmq4HNd2012HwwD2C8VBdVN+WhT9CqVeVNYHDeaYRUB1EwSbkGubPlDcvXe H/PBNBtGQI2nx6/6fIVQva4TH/QnRJKrIwNIgqV2g1sDK5Lr41RTqU4eabl0rW1iN8c8uDwbvdap 8R0T+iO8lBjG1ijOiuDQUkEnF2oZ65+bU3Al2vzr0SMlY7eNRcod+/iaU8cW+u9oKj/p0+h2whtp 9SInWHSdTLEirv1hXqpIudWMwAerKfmZxkiTVQLC77Jui8khHlqgzkbu+lwxqjscLDijsRcD7LRJ 0FjmQoWwD6yUvNHZe4oZ/9XQFvtLOsNofviD2jpEp3y4Iem0ARPvtW/j8Vpm8w2DYzQJGczNidb2 OTXyP6YFp6Shh0LLLpsCdTP+E8sIG514A5XrVSlNhRvdx7PVJhlaaWLanTu/7JZPFWsl/kjJGUu9 e4T9SzXYvFyCNBZtMsNfX7Y8fwGDXobsmmlXvHTqnMy6eEg2Cwg+gvV5FV84mHMU1F8O538P9JD6 tdor/zIbPvNJNLmiLRAPpI2zqm6mAi8pLc0pItUoZWSqfbmUZLaZ+Qc+DqYkhlbel24yTJwLnJ2C qzBAoJkjHSjFmP+GfLFnHAMjymO9a4GNAk8hPxPneXWhFHHvsDN7H79djQbYzdDvgVXTOQi7fhwJ KAuzvlr5lqI8VBu3mFkWTitPYKx9Aa7XMfk6GvNhq1oK6fwbnifVXCxl9Ql+jdRotZlNDmmpQCXJ 9BmR1Hgf4UAeXQpaJVMK9woY90VIzh03/XRVIvYmDQb++LG1pPmQ12FuvaB2vkrNWQZFCmiWiMiy 5WEPTI7CTBVoBWWsh6EqH6OdAkbFiJ3gMShPtJz+FFUFiE1uNiW/9mz/bwLoW2d0AggRNgOhE5mu OFUiDBinUuCyoGggqJlfbLAPU1UoqFrT+3dnXNp9EMkhzeUzz1IL8MZNsrsLBM+uVtt2f6VcD9P+ GrYvkwO7YkIvowS2UTJE7cY4I2qBn9wxEfIpKiM6y4dYyofe0KSDLp7hJRi/KswuwU4EUI1tByXy X4ZV94zcinszbdRJoEBzX2zzfg5NkBN60dzXI/jxsdn54EQRsDejKN/MxF/x8cVTtoh4D0V9zufv ewtE4kESi21Nl3R7qbJEHSts1TLo3joFNCY+4nVqleOqqGCmVhbEgecekQ9Frad1GWaDevYlfpz8 s7oi1Clzu8iE7TdkoweeGZm29Jq/1trgN1e7eBQzTJRYJFvq/hZqbxDV6u5stjHClpvP1nVl0M4q KxHqkro52RRa4ylUCGiDFC9QrJqYOxxAzk7uXbpvQenzJ8XnLnIlXi2c3nmSykZ9DZ+2ISWlu+tq FF9CsYLoLrFcWXUnRHxAqD4YrpN7SPV9O5g7GPp5124nEf/NkxBBVBIHQqMtPjJqxyE4UgGiOrIV EXsMy1FBMXex7/vHyQkbJYgjIozf/VNukFZYgXPdwnWa1+Y/c/GGsMVQtastQRLacF4yuLkBe0LW 3GVYy/S3er3EDgXDH/A+Rceh2v1i/L3K2qPliOsBKfnK2hmFAGXa5dZzrWW8yzM2LeZOuZBhQCnf RwGx77bZUw1PFXuin2kpdU3LnLJ810uDRJgT/GixR6WsZY9KQpnA89lG3Yz2fMB8txh8aXqwrCsb pw/01TZerNzl34Pgv3YGta5T3qyVPSh0/tg+ADZlWJZ+HoNciZaSyD0XQn6ULaKJN2HJhnVdKw+0 m2se91HTJiubv7jS6Oc4huI8eUza4yrTcXC9VLwRhhudfY6lOPJme6swjBGn1PvVU0yiipJFFzYM WhLnpSkFglXVxqFcFeIhkugFHIwHquenWJlbYHtUn5GYZfyKtOvPaFSY9BHPVElvJfyrIY56Ekbr bNUpeQzqxK+hNPSiSWQt44zJTJF+1dH+gaRoKMPDUP5AAK3jof3v3HWeE1tVuZLdB0nb1tIabvNH adkydueZZwo3WA7myFNITiTkYg3jjqUWjGV6gZnKYw9jOsuCEis8SkN4SMiTHJlKFAFCv5ak30GQ l4osY5na+rUgNsxbBDWq5YY7P1ZOSAnJI+tEkzLc8PQroC+AnSve2VVeIZ3vPNeBrJIHwsLnFUZv e01o3AgT7y/1APSMyuA6pHHtnOBq945GiJMt1cMHCbaE3j70rWUGNNf5wYD+N2LmvQAfrdVCK/9C cFuSi/77740C6uA3IUkRxKtok2dAfzUFfe6sm40rtsgz/K5zJJi7BFXRPhLUqr9MIPacSvQN6M3T OXAZ18bKG2cIpLzKcVAZG3AXtMcyH8TxJebdixYxAf2i1Ea5TH879JFi3G84fQN6lM3t3H9aA12e PLfSdC6OkYDep10SK1r47jXs3JavXjVOzFYFewNyFNq6YpfUTlknVxLQ93TChAdG1ogqnx8MdfMp aGWVxZzEaNbbZorkR/p5W1EDemBFBzT5CwFc+r6B1qMo+RQN41k8X6auoHA9Zp8CJfVyQA9alf0o vSWYDcq9ciNAXDj1UxLpqWlHTpxzWIXp7F+vAegT+L4jRP02/vLs0ard/3zIpW91G2bi46PHH8ci V86+PAB6rSvhXlLPOd8ygkj8GxXJhHBPF4ZO6zS64s9jbl0wIRZAn+z7g2a8ixBDzXiaTeZd1ttu 5mX6EUJvFgsMjy0d1nUF6G9KESGDaxdWRud7wigwknkiipV/3kOXZjwql2Blh4RyAb2+HlN2A4nm 0SADhkUHiQZePE7jdsG06/yioOOiNXsmH6DfWE/Buu5y89/HCHxyO6vy3nfLlcE/cuOnb8F8fZiJ 5gL03kEmQSWS7r4/NyiJW8HHBxHV6oxxMFi/zYtBpLG2J3MA/TiyAtXV06BU19gZO1IUGQ6rNrPT 4jwa5c5IX7EdpeIooCd3VOXgyH6+Uv3NyrVNZDjIUyr8Qc20J5OWMeFnjV6zDejvkE5kL2Uf435z B2iqyl4XPTIF3cIVvEte1jSDwHUvIAB6nGHmt6OMBTa5hjvsPlHGSCLo2dv6bwpf+Ob8jy9+4CRA P/EV4IpesNh/+B4wx/QgAFHtL3fC75wO8/cu/XBoiEYI0BPWVVDIata+1eFs59KDx+S1JSsMf/gg IDpGS0bvEInsAnp1bPvC7cx2vJ4I4mJ/oxTC74NLAg31yZzpPixYrje2BUBvJ1dECBUPJVaQJLTM suyAksTD//61H0IS5XkKbgOcWg3o14KKbo4VuuTUSkV1gjoaUEP6INL/MQDx4FHYM46G3UIC+gcC sxpTqYqnj1iCvRpn3PQVvqwwy/2RdZRH879as2mfgP7MURUcm7b2FvGIR1co69oxR4OvnavuzSXt 6d5Hu8HWEtCPrYdTgvZQMwTfmKpOFrdm9BFRQyOk693sHdn0W2DqXgD6g1XpKeqynndjTKO2dg7X 3YF/CiwjO0AB8ks1rJkkF//53nnjGVKGekza7mjz5UsJlpQd4GGwxq1NymYHufSS4uva/9S7gwyU d+OBTiWv93iJLv9cU3XYJZZBOTMZfwVmqlDbF6APIkWxm2T2HdJloGpIhHoW4HLz+4Rn1hnIZizh DrlSIQT0XHgYU/5VxOQ3xiGXjaF1m77Qf7+K2qktspQbmSdIxfUBvea0BFRrRU1cPVTjQsVhtfJ3 D6cA2sQnsBLtJ1hEckTBf54/NeFF3xSSMzwEYwN9katG0QW5iUIAp4lR4Can+/u33n/qV2y7g5YA JUBMrxyJnVS3wFTHOojUt0tpZfJO6SDTKRrQS3KUelRHhzUaQqe6/Qs4obj5USHrkND6MEh5eEfG qOsB9KXh4R7TcdUv7u0v2DahzE0waUmNmvCxJjjXfBodT568gF4KmlEwp8vvDp1Qi7ERwf8prYFH uIjENUWLZDemuaK7GNC7N7OdG0rqwyNidxygGoALRI01HL1e0vPieh5e+xISYQD62nraWU+dZ78x SRQmIBHwcvPTV4R9VBg2iEYmrq5XZxlAH4NlHN7TBKndRvC1txRxr/Gn8UBOs3ktycneMBojsPgH 0NN+/XKYZvaVz4bANKGRQXf2aZxDTuCk64zMJQocNgH6Tx6Gh3ZDtDWa5qZ6bRvRMXc2E1bPvzOf bupp1csiOUtQTgH95V8bgk86xeklc2ORbC79ZZgDx3zGZqtMbDAJCzmh6f/sr26rUrfM4rBE0id9 goj12i0aM7u59wqfsKYFyzqf0konoDcKQAc3qkc44HrsqSc3l62LxflVD/3Kfu/KK5sYVCr3n/1q w0urLsYO+rkMjMnmr1KpAV03MzCYh1cmLs9tqJ3v/E+/NODQc3EAUrUdGxZzBjIWK2GyWglhQ+y/ JWT2fK4AraAL6BvvxETym7drS9chKMtLX4ZGupUL4nmCXzXwpbbsn3T+cz5sOS1DTa2U/3PucCtF eoPmWQWVYzRf2ZjvlUYyOLjV+c/6Dw0rnBt3n2MipFyYWXXfs46E7iS3fo5+2oPtxCm+ldkDetvB lZBkoIHhH/tT/+5cfslRrp5Tl1KEbFUcauU7CqtQQA/kZ/JVQmc+Aq/4QzymvZMIOg/hK+ZwJ2r8 prJEqCjyn//RMKTaSIuH7AJCc1Zba6tXWmS/CUaHgKBD0X2pcixs+n/O/xnJDm8Yy5NSS16Uvsu2 qdTwOlLxarFoOzoaZBrYsqj/1KNTY0XMsQLEMTUl8BTsBrJh0M+z8x5emjgs7vMfMdI9b0BftMRq 5B7AodOU72VmxEIhCLSyawVU5dpSG0EkkL3y3/q9p28cZ12P+7hVisJuj4473c133/u+kiRIxbYZ vUS7dAP0SNJfdqtpKLK8VTkcFBAeuyrruDnFJkBVPL1xOysmbSeA/joFcr7XhRpoWOhz2hKdEIwO K6WB3OaPb1Nr/MZQ3AwroJ8NNg5kIqh78uKQpQjzm1M2ns6feoOdENaa/Lr2+PuHa4U8QyOvwhXx WwL2I7O2j5TPc3KZwJnfy8doXdHB+oNsMXF72d3TyDjxDI+rUkCOAtCPay5+TvPSVeXhPp/JbzXJ uKX8W/mJGb0J62+ne/3CYAL0IkxJhCHzUDr4p1HYphMiUNXz8g1PyDmQ1gY28C3bjh6APi1JU9Y+ Xc9jJpZCB2xNuV4UrcAW1RUfngD/AzRobE4e0NMZLBFhz0Nb4oThr6oTG5d7oUbFd4XHscC/jOib PVvRAvq4mwOBLUYs+9aSAWiBGDuzSJMVvxCjGApPS1yNni3UWUBvLOz/guusiw/nad7WdiMFouKZ GdODlGYgDPzrLd94bBHQQ5RwZjpQqABnjPztTwDGAosKDPF2tmSJ0ND+JcjJxpXHAd4iXjo+NcJQ X+HdQxfXiiK3kH1zo6khnEcrvrChpJBmxH/3SlLYyT/mLqAXwjAA6E8GxX7ftFS1BAgLx+nuOiaV wPB1kPu3ShAiTJRwS8yTAvrIWXE2HsMtXRBQKOcQqGhZEMg0bJWyfaa5ogJpiFgfa0D/VstSvxft Z8mOPBUqs7v9h4RDCfyIRqKccdPEY8euNxHQ658Fw13mmUV+GFq/wyytLCz3qHz3prC63TYekK3c EiIB+t7Jhqf7x3ld5BpxKRyiW14SlBiiVeaOQ3IY7cRd6ol5QG+tF4bgXUsEAomtV8OL0zymx8Zu FF7Xv+449wEKRIAwDujV70UXbRKIsddgY0HD/gzCBlBphsTB7VNxHp0yVdkorm1hVJAORyCY6KJB yvD79/luygT3WcRRcdrdzBv/bCBIsX4hYbj+Pb1j5aYiZQcbN+48F1cx/Z0x/kLlZzRvhaC/FqML v1RHrsZLEuACd67nOPT78HPWcZSPTgD0F4ukoqqljrIfAX/JuG304wZO8zPjryEPJGgr9iJ6fLYH 0AscAZ88gWNcDhDHvdJHeurErAHA+k2VhzhI4SWIcqRsM82URGGLO2Pm2L9lVXOr7EQpGcj+eXEx RJisdKMx9xpQYOiEgmNbYLGCMATdXcm4E7DbrlA5x7+C4QWKxv+hPPmCe8C+G1v6TEntKtTv64WO DHF1v853kvXCdJ5zJr3OfolD9DDOOD+oGoZQ54piyukr2oP6E35fm/L2vR1qx3+CfxyuTdOuLiPk LrwpgzuNNquGisuZ7BJwVDyFg+uhr9FHpDVB3IZngGvnacPfdkOFiX9avL4QN/EtYUXj07m3GmJf GoO8mxxCp1TxJS5VS0NGw7lnAvi9U35mUs250kmJjao/S3hqA52VjINp6Hl4F5g9J92I64YwHChQ U4WM99lJ0BCeE9sUZurPGKSd2QIWdbyxqzXjcI8uh8CurJ7nTp8YLto0x8xCJIRCHezlvQKH+Z6d auQSXvkmacsiug2Hpn/9B4dmFcmRfpUdPzdJZCJENQGPLp4lv+4AuWDpRa5ATEgWwZOJU04KnUy3 35iT0TU3yQkxzBtUt7L93S43fSCyegcR1H4HfP/3CbJoL9sQZRkgNQFfCHxub7d1QUi+ufAMNKFJ gdsFBINohvuiAqsGRmYL5GOTAfet+6JrstBYWBLoFls+4rAoq0DI7NLJRW1a0DEdmLI6C1rBQPZn 8dLO2e68BVj3b9Rk/LzQ7fiHUWLn1ie3PbTDUZzwYQu92GEodvmeB8iDwWSIQs4WWx8kskO1gxxW CZzuRB4HtqCSV/325vJbwUm6m8KCrvk4fl3n+8Yu9/aMD8D314GRn3Bla5ii1GWwjVHlmA83rwzY EIm4ypbFl9X5wjIF9Bign5M5CTaRh/p4/YLRpyiUDW/rAbiZ62XOUeX12Tf/6VexT/7ujZwnb7Gv KNC/cWQxiSGawDEPe6eJ01k7dzx3/Gc+Y0gOPPDdbmZzsvQMXDEwf0HftPQwWqUyeWvJwYYb+d/7 S1OZHo/9JGhn7nulYqtPKm0USkhqsNE5qXORlTdU3tx/+vmnz2BusRsReGMHYPvri8TXRAJpF+4h y8RCJKyrP8Lo/+l/DLzUtqANtBz8rk03JSIM/IEms+nLUrRWOjxO8aAmMsD+M+/Cmb5EVYxMdNqI shk4FcASL+2tNJN+SdvZnWcEVv89DOidlfV0yRz4My7WrhODrTajIXWgIu6wIKmM4gz96xfT+AH9 +omCY6muQ2JuQpYFFJdYNQLvPyMJmok8t2oDnASWg1VAH9AnY5++dGKHTOD5OxeUu0g1PSr3LbqJ RLT5cv4whPI/88C2dAG9OuDy8aPWjwkpzgnFXibK/BomiY4o02RrMNRp9v/cL1rvmVdtXutPbDJu CPaFneE5w2l3BnlovSgi68fC74UBvVfLQlXoECT4qwFILErs6kLCMlWN+pV3+fs4cTOZVxX2f/pz mFFemEVhyc9xuDZsZIe29ZvSUfmFRoihK2m+ihLU2f+sp7hXByjilaqv9aI0I6GsNT2h7/rqmaOn o6nY/rMpOSegj+ryoltnthLpu5cIR71aHNKlG3dpailYqhACI1ZjQmgB9DvcBZQkU6Q29aix6r1I 8lu5IRxi/Yexkw9huL0j/QL/yWfB0PAz1QmMCi4brNYuLgRq92Gs2Jj/An8zZOdHcoa3HKD3c4yU 7ssxa5LubS9VB2NtNWdfr9VRTKQqHn6m6YNtWPrPvNGqp592TdOzzngtUeQq62l52M3pPaCYXL67 yuTiy/w/+zt+j/ge6uf1lkpVXvSkEEKJ87oRy1zTlcQpJ1NsE0aVBuhdup+r3mvKROwbHHLWxUj3 2yx05xYP2597Hwk65PGHEgE9+G7ZeYXeqBPJmc+hFvI2hU1cz3jDDkOJEljkmH61s8B/5iEwhkWW Nor3AhuGwCWtX4NnLIba/s2tpyOlWjOIwByl/7lf8/hAY/66VZ+uVYNIG6ehGk2EdWW7q9cBzXcu QjXv+s+8brhdgVHf4qKsx8T4yM9AeJC7raixOd3Ib59a30noNvQ/9xHZ1aD4wlkERXcpOshQJteT hcQj3Kw6sdQFHowwidZ+F0DP6mL/mvdXYJ5SQkLd+ATE1K/MRbA7PJ1Jy0R3VnwCgh7Qqw2smTDp n6ZZkjxU1nHpTFkoJpr5Kzs1jdQRditfHA0B+sfv4dtpT6q/oXPun0tY0Rpxvn4Z1Ywo58YglDad JR1UgL6w4BKhLsRmI1IPt1/78ZVIbjAZKrRDyu8jBO5VmLBqoGmcEOINevLAPxHBHL4CWLXaFtfb g4TtkJp6IHvERJln/aaIfYmWUO1Ub1K5Ce2DxiCwAVEAVhPI2peCCrPqYa5Pko7yqXlE5N547Pug oZYVjQ4CiXKEoFuD/ezYFxy0435JkC1geNFj99asbLrSpdJeFYpqatw5BA6cfZdwqpVDY2BlZGTg 7UAEBPtHcr/yi8yT7tPwFSFPR7ME/GCCUI1v0GgeZKfrlR3L62BKQn+NITcTrwxjOv6VaqNuKJLS FpOVfdxu28cUlstF40/Dvxhj9W7rff+0mM3X8L4urNmZBMPW7sW4c1sljxvIBISmqTS5inPx12yl KMLIvoGXuZXitv61BG8ju0W5Lba4N/YZzFXzspCDan3ZD7pnc211I0c06B8KRRuVd/c2NERicV5Q vE5RBlWt6bO/MQnqyH+iSZ2CreJ99IbKlx3o4X94XP+x7hgw2AJd2GmMUKabjMarP2V9wchUBRee s8R9gUJRgx+D2H3IBLBIG19E0gvgEE7tjcXIDNL20TL4f9kMpisFcrOV1+BLcvPnkE0BUXBdQ3U7 OBJmls9Cet1xoiOOwRaFmCXRaiaPEF16mp5NbDe2ZQI1zGzJdaJ9UNYiTiOVc1TWq4W74kToQxjS wFJHWitME084Us9828Z2MXgFRlz3IPEoIxO+vWc+4gnm/eD+MZbhPEI3PyCefcgT9PsDz0oEG0dA ul0lyE3tnaIo0l2+2NH+0CV3rt3qqIXGnlXpKKW9ELZ4gFBGbtxpU0Aoq3wPmqO2bNDy18uFrLy+ kKdEQd2QJq8IEumhVM/HuTdLaH7ft9c0sDEJ9W7/mJhL/MP1F9nt6jlrUkzVKBYELtEFLqmzTcxJ nI4BRceVC4GDblkTiNo5m1sgUZbiyU4HLzSUSI1ExK1z1T5Itk/G+J9439NvVj4BThkRMNBw+JeY WG5zatqGbnj1e6kyrA38Rch+GB7SrducfoyF+hlxKp3qQYGDGLQQ7hdgD9vUbPqhvqXDoSg8560y oBxrENO3PDp5X3ppxSMgWBd52AU308uLOmyn9rLBGgTvpPDlug7tG8qQXjv/SKybceAL1rKv6eB+ HM5WTRuXL5ZahE3C7vXzUP5feFUgQDgx45bzQU3j9NAi7M9UgeJFv5HmS1MXjwWm2oIF6tuBEx0k eOV0O6aDR9cnWAeVw1vvkfurCmb9uhImny32rDF4xLahy39vJUVArxpFjXw+AsktFJkMk8Md8KsW o3D6b+BIXpJT+uXVm3b3IlzsuahHQtd91x8LSNt9SEbIL+VsU82aeREnd1tVW3t+DlrzC5Y3/fo0 OPa/eGE8Sm5xcWWgaUVAjG3rXrE0C4Txpvs9SgGz5dnqgW2tkdOjhFkl1SuLhDpJ97TdqB+ugfQH XC8G4qDXE4LTzjYg/d6l4dBvFXerakPROaswBSuYabzYRW6umhDIrHXiZUMw2ZnOqJfDbYSZDCG5 Rpcb0B89/Ol4ozoOFphLhhrPazfyx+Udqv9IjNzTlT1vIREQB/RPaaEovWdldZ3pZeXZbW71JByg l25nwW8Y0ufRCMa0PIDeAWtkWLoYD9J0ldOrlbVyFqHLLUMwxDxx4okoNh62QAnQF+b3qZlXSLvd qf97giBUs9yLDjpIJKI78+ZcYPcQC7wD9HqzbWLPvfelLsQs3+wDxEmW7zl2zWm1jEAkhPhV9JsK gL7/n3pOofiKAN2osfM8OGSmKh+F9DJ+zj4WSyVKekstAaDX/bRPM0+Nzym33fLSWVM+ybpxM7by hcY6p1XrW7JMTv3PeiaPgcgpAF9YBzjoIfnq0Y04q4R5pLAn9W+6lZymhvxnPdM9JKWpKuRIEuVv 8y5puzcwTWeDyjw5BeSz1aFg11VuAP2sRFhjZGUUiIALiHeLDozPnSe474Mah+l4zO/rQVjKU0Bf AFIffqyrKorX+VdfBjp8zk5Z5a6yLzIE99ppj68x6w+gNwj+dfBBv2lwwzchOufiUm8L4sNCAS0O /DGWfgc+A50J6O3OPoO8yXlTj6zXLEDzKuEQbnlWKFV7kZ6krSyJ4S/dAL3Um6bzDK6SeUEy/vTE MjOxWyHRxttItr9z+0DswTl0AqBfNnwgrq1FnC8RISNba8NurdoC4qMdbYevkiHjlCzT8wT0dD9u Hlyau6RlzMXLsXLUaswgumB/SLsI3S3a1BWFlisAPROZTc/we6D6PXuduU0VycGsmsWp3KKI/MBi 8RbWOU8+oC+jaEs3QBh9G7drVWWXTmNbJm0L764ad1QNVXJfr4KCBPSVXLjCa+mvASCwo2wlxBgi M3Xo9YH4l4Jv3GyCBk1K4YBeQJg3jV09suOE/ZuxEJ8VQkrzGLJTktDnPTie0/YUTQXQI0Rkq5qK 3HKohQHz9ualUvmKYOiG6GKqcItBwHGIsy3xlXUtw3K9rXPGKqBeVZqusnaeFtX2cuoifxDLBlQN J1lJ/JFtlkq2SFMs0QCTV1INGeBpZgTpxci/AusOFxYg97sjEUtY+UpTOpuxCSMTTpEOK6JydQku jYvf/WiycecD9GljPayRFW7VMd+tvEY/SUtYXaA7Hx36nXwn3QUiIW6WgJ7OY3pTtyRmewv7HBQ3 tGsBz7dkD9jqTdb9t3QoZRlDKKCvXyPoZq3/giccpM5A1RqVCRe5ryJTvWviRTQxZBoOEwf0ibMJ hxiZ53tP3eqsxm4cSxcGHcfVs3f2i7cRcqjMmdKAnohNIAklk13jAyE1IpxULqokBWces5xSnD/3 HS0Dg+H/Icdy9f0RLJ7/Pfcu3XBvIm7dmipUY3NFcxPOkvs6xIN+Ito0rpv2kjcNqrwpceMF6E8o u9p01mgOXmC9EXZCmPvgGyZ6uHRNzSGOzr5RuJAOAb0ZQtgmcKFLBBDezZdnfbavzouN/79e2fR2 AdIgeAV4RR3YD7jCyDXMMD2CHxNnJIPmU4I3BARLQitNiV6virdrt6zdeSn4UwFqCUmvUbLfTIB+ bCoL5MEmZi7osCEGVEcDWCk7CMwa90XsYB4WZF+XZh7QE2SmgEVvfDln5AVmCo3TdDx+tbKLO1ts EFbgu1CeMGYAelr+eNLxx26totlwLr7h1oSJ3EZnyW0z0NE5UUVb9ztEQN9BODjYdRtbJDGkA7YT IA83BfJA+nMvFKsotc3bLn2ZDOhT8+V0imLgRjTtiFlYgLSOM9pBoI1dsS93G9l7U9/hiAF9suQH /gzQuJecEYv/Y7vr/hhu88UbV9C3+9hfP1TZ3lNAH/DlN8jJUSKg+j9C7iFIFBgJAOjYtv/YNv/Y f2zbtm3btm3btm3b2t3rXPaYqpdUVyXd6cohSwV9Rki+ekq70qGFS3cAZaKgQ03Zbf2//Qvrq+VH nO1xb6VZb/hQ3ts5T4Qn+yhNfLk9UFnUasvyb/+sUJQBFjcxM3Yik8jNMMp9JQBVc7tZdpkbdGNZ z8839Nt7KYag7hlTvghIrjvdWnf+PWLa3Eded9DBQ8NSfnVd4/rtZbApN4jdR9jU+DR+UgGrITJq +50TOMgFZnAWstC63J2ooq0///W+gC3QkFB+EoKu4VEMT61TLu1bmIEN2ZXZfej3mfQUzESrgSfE 6nOqPO6SmH+zbRv8OdRd9rtCWHpFlpzt+8eErs86SkFq0dGTg+SMA4C4uZjsXdDAei08ipb825uJ GGixOt7gYahQXCp3Wt1UFHyHO3WYeCQr5Vs9sKXfROQHmr1zXT9KhYjnAlEfSqg17bGR0hQqpnzT Y/hBrcGwKjDvRRD0B6EO9Z/LAy2q/fYARMRfl73TllAzQeTKoK9EZcRiodGGXXSAB9FTomFrko+d 40QBASgZku7VrUFJraBmMDsvJh7H6zv09vBnj4+GfSOrrVEuUj8WX8efCazOWr89HTctyPhY9XLe dpqnkWXZywojZXnyfdyk467lrnoJrbdgJ/5bB8ZbZga2jO1Ya2B/CvFXbt9V4Pn40k8tttWnYxCa 8SLFLBvDDK5U0tx8UuZvX//MvaUbc7d1WDcSNhFfWFvpjswVQ8nGqAgCH/yc0kVgWzE5EgfxzeEU R5jTVpHmB3NqszDt04U8r8XMHUFIsnSiEZ++9mkJP8EHGLoDiS3624tDFaZ+QWW5ldjvVgWQIZvH G6kpU91MtoqOY0xIagEE/J2ZogvLqCpClYkm9yK7mLFoyF+BIBZbELz/dhn5mwIiAIx/MNd2seNG bHg2jT31+NubucbnPPDZBFOQEYMcB0PmoC2/yrEaDskPwkmszBVfq/72PK1suVsnTInk9sqSRajf WNb/aC3R2An2RCIc3GYQu4N++y4/f+hepDlcV0lP/tBMii876KSkylahYLoP3qh+0aO0314pusUl xmz6LHq/XOgP3l4FzyDNhIJW36UjZBO2aSkp4G/f4KR2Xi0S30b2iPRgthMg1OWB4JK8oJ+WY3Qi z9sYcvjb16VdY2z/Dda+R5iZJyJmpjRloTplaZA1RBqp7UaBiOL97StLYuoFmcVf8tOlkUuQsU/j SHChgBM6QxsmmYxfhdyhf/s+/2k5zHyBOMAyczdW5uOp5bGoeqa9YE1miiyvYDWapt8eS+wH7Gmt JbrlAQtcgQqmPP6zxtNgH2JKA/OMH8oGUGA3JHCRC+M+eOYP1+e47FzTdacOpSM6ninaonkKBHfo zsI9PciNcuBuKs20t29A59Vv390h1epSzDSp0VQvoLY+vsmbk8QNBau3d0x2utV5cpj+2xcduFWC sZbApkM3P5dQmEqurvLkgh4FwFYsX7T9ZX3a/O3zn5WORz8XCCMJjiwzTQc1WIgID6/XJsQKCroC p6l1+H774+c1R/787ZQlhlr6SUU6gfkYyZqkBDQmYPC6EJ4aHxfB8LP7tA4AP0k+M32nOPAO2zcS FQSyvPvMRsO2h4MmSPfs84jJSb6ohlKxAeh2ObTf3vNk9zAeOdL6oAxOzYGjUzoh+tIfod96kk3w dgPiEB/rt2dzXRonILMF/3bAC2NRrISkgEV6HgWxoOYjVVuWtdSQ/O1lrEcHNi2nF/0gNjUBu5l6 1OEpC/YMwuiXCpOhJo2DQ3/76MtVmUR34iZHS/CgnQDZrnxg75TH7Am2i4zNFqB7FuHfHscDcaOt /RCfRTjeStQScLbF04ddPoS3lCWhSFgI9mT2t++OYJ+YnPfDSrfIw61H9+VeWeX+DvBnyd5mVhJb /2GM/e3bo3SN2mre+Oro8+SZT0AjndMhxxvFVM9CCoF9ZtIs2n97b7QAl8Hw/D6b7zRj7KldmyXf Q6yWlT1VrjHJcuV+w/ff3vjS+W+wQpStaYgH9/EfGfVNwLkXNWX45D0vQklaWnKS356ClwBEUHo4 RhVoEntMJXAHOUO4EWuvD1QMDSe1tPCgS0N0LxkknB9kQtdTfiUEDJ1IZjOtbSLKe6CseS40+f0k eZzAV7bwX0vE6Vq7HegbwjKDcDvigAZ32Pe5cQsmSmw5TsM5fz6Mf2gZVVQ+NyjEPVuOkjiHNHux itFEQrJyEensvXBTefIHvE5qzf4DZ2cNN1KrS/scUUgJ6JCHGcdkHFlczzXZR1wMCvzS8WTd4G9/ I5Cu93PTXT+pk3BZBbRbBHK59qGK55pRw52EQnOxZPfbpwvHv06r+dISrX6pPehQwAW/JASmlxsq kipE4dySp7vfnB8BGZjcFSOmG6b/kchhrx22g6b+bt478Ij6ErxIeQdBDnYylLrG2HL1KCvvbEKb ioUZ6zUNLI8T6ZrAVQ+lPtGKP6YzXr8+ubdALL8pklrfAjy2pufyMzNcimy/5oB0fr38Cx3oDDUo P3kbQkHY7h1/2tWNLnBPKEtckbbAlbDIOJ/EmXk37MwKLTQQIsH529e0GS8dqLFBTqM2NFXzeTrD Ai6OidSTUgZPWFm6VIhv//bUQsdZwulesQ7wl6mpVZZbIRsjADyXAarCxVU277ntYbJ2E32qzbgn Ky2O4PpCstJLdDjknFgotte2WMh7xUfJ1cEipIQ7KiixKeePysavmb89dw9uQzF3FNJYoxKsetkV ySTaUM19SkXJViS4hDXTZ3JaXDFTAmwHWPzTDCkKIV9PyJU8L6jjudebQuHa/A+bjbg7SIhBdsXH NVshXwlRWfZvb5sPE6SZ9iY31Dh1E8i1Fu/Pddk5LSeC0U8jr1pw/f3+Ox5yjwh4Gb+Q+05fM/c1 wRd6BTG+JqOGU2egAc5ii/jOPkeQ3ggSaVDs6Boiuix/Y2wbuomgeUeC7HZ/o2i0NousBNNRp2qA Rsr8cXhxI0mh7d/rX+kAaME681u8ISOilKhXEI4f6xXIq8ShGY+TLbna7kD89tMz8P6scLJfXpSC kMQGr3th4kzgt4DtxYdcLsDN09phfcAcAE1JvbsJBg97kCzUH427+fbzqGX4HDdZZCB8EEuaS5SV rt0Lcp8SovuJYIM1XzUMicMwBQbzUo+Lc8FmVeEPJgcvXeNT0D23/grsjxnM3Gj1PTeeVdhfWkfe HWrw2RkO3Otp/WDZGYEcVEXXM3RNnNs18/Cdb+vlY2C6f0lFVcDgYfupM3k1ddEJhHpGH0m0mi+b 6+Tn5swDUvSG4Vx83GfiCjt8inA4/M1QBM4G4s8JId0K9CtyyoCbLHAFruuF/KaySY1bgfEYT4Xp mbCFY8HSm3qTO0ZF104AGgjOgzGbbthEauq9kDIfzdUmnVnbFvm4hpJUKXSJSwcCK1ed+Ls8ZgxF STYnnFS0/rGvTIJkzRjyMshi/MjT3NfAff/hHP4o78/0x0g7eNr/QKzikY6CJrD4NFGnTuA8W966 MaYwcoHqmKCZymGp5pw3QOWTCA8Cz5RuCWs4ijKNpB6DbDZsnHqB9xjU0F9+DIKbU233ykN56bF2 zaMcJjZQy7MAoUQ9lEV/t9l6qfvIRFwmbuSZMXlYHGZdVF2OWsRLMHP8G9a2qpG9+HgWcD+bQ9aw afuvgUcLOB2YmmYlLb15bHOZxzoA9Dxo1Kakd6Xun9UGMe1O28Aw+c81Mvj0NtaILQHuFgawH9KZ y51pUiS1M7oOCbpZPBERa7FzFjiCc9dNoKQJWzZ20B6+7LHOJYQO3NUAHt3I205NAMOtH9Hv8V4g MUtN3pLtwNgag0qBmH/NzwqOv99BXVWghE+J15ylRinOrVgoCZmSApLwiSeWcKW/rh7zZV2V6E2o Hkti6pf63F9zpl1VqANA3F1MTf3ttm35MHsMTURd0TR/vn8Bwsv52BU8xoyoFDVeAuhsxh66cO+6 6CgxLiwsn0Lhxuq8F47iAsnMFWv+9OUQucws7vSk2B+ly0HvWAfH+G8Q09K8YXjDcTifgnorpa2h kLeX9ApCfhdVUODWujFqk+pA0FH2knXiDCJW/3azV+CYCLU4PXcTG3LOPL6d/UzyxNWoCyqSltMr 19DRrvZyQhtsgYLpH8Z2bU8OD6IH1X25skYyJNxNVJlO8ll1cmXGiwzG+KfhTmF01zqinC5JpTjb OabnAHzEbsoUULMpP/X0YyAa+cd2/bZF/sFlAwMt0PLE3rBcWDAJRf+hS3xVcF1tDOT4no2tdF7V 2EpyGfEyTtuciOyGuvU5JlnVKUzqyK28fhrF/ROOnB0M89jQsGBx8s6D3SgVpXdrAySXmKOw06nM NVbO/00nbz+OlSDXI2iyxbmLoAyqRffhUCD/1rPoquqOzJaKusiF2dnOiAFho03NIc4URa0Huzkj jkecoVlJi222W4W6ZBhWC2WG0VJHZQtw4RwkZkFhvP+abnqqiSedtay6toB8l0A8o7djm/L8vy+0 OGMDCCB47Gt28q98PRjF4jkyOlc+n7CKrxkn7kL9vj9SME3y391P0GvZybsXYC3aShtL2e4vfjAM h0vLDQIchL0bAxf04q0EkEgoARrpOEJqPeJvKU3AOlfU8ylboMPCxv/8XNbkloK3x9OclJ6dnVuR CRSG9OHn7pDrFtjszpGJNTiz+m9zZ6552PbL9G+NXKitp0ea+R4UhopIsSJJbrez383C7po8IGVq tDe7EokYUa+mKC5joyuDyWYBfXGP0179PR9vqtQOOmlcqxVX0JRN9qWw77Tyu75VQfZ4NNRzoAAt lS/SDA9qF6Z+rTMmyiV1XGcUK14hlgxFKycyiUmNO6pnprp4TIo1XMU7SvpRY8mSiOVefqXATIZY IgzakgIT6eyxWR8pQo6blxsWE2OtevqX2qA7hPm0bGaAzXzVK4w/lQRRNJRO65NPhpVCWVLgQd2i +Tx6FZ0+elKZYES28jDllCgMYzfV9j07SOEcGufcvnvkIuSnPXt7i/+zVBANHrxzXlqM/8MKHpK2 5W9Ix2lL5GzZRgxbZi78vlt/f1EQDKk8lW2Toe3RAFDKZm6ieYCZKLbQbyhXUv2GshJgw0Hinr6A RjCmslHNn0WUU8dNX2SdUxloia0l1MZU2DVC3XbnhhdVo8tnjMEs43DtMe2ZZknanv6namO2wJVP H5MyjKM/XqXaWtftLjsWwwiv7+k7//iu2blxBK0a+FR2lOS568I6vqtdryDuOovh9LksRBtCps4K RR7bFnz+SgzPT6axLISsv4uZZg1e2MDJXotwlCEC3v38x3cDXPAOgvgfxs5e+T2wKRPCQy89+Qi0 s3YBqnW/7k/2i1d2Imn82r1XSxY8M9i4yc3D/KJDmTlqjwIxeNW3hGSuPu6roIAHM78Be+rplSEU cBDYoDJKNmc4XnZCSfOkyZ6cJ17ufkRIuvaJBW+fxitJSnmi0VXigkAADMR7S+KiS7lfpoRdgGEE rPMVxYdRPRv3kcI7sBGFGGQjCDzilVNo8oJaS9dfKztugbwwO3vLfBo3hx6y3KT27bTRybpp045C 5aI88DeAVauSqjiq7u7GkIzjUcBNIYV2mt3l7zxm0OBkVmQx2BkEej8Y/wxY7NgpVI5ML0eIzq+z IPC2ggKNdI1+vVopHt6L6iWSw3+yV1mU7poY9bHRGrpZuVyTOx2cnyLrtPGkydKMLqEtZSS8VM31 Z6lsVBF273/v3qTV2gPVSbmBrgS1x2YjtZVBTCa13/6MoS6R5O8mPBzZBBv08tI7l6SDkg3rEPdo EzSqS4sITFrzB+ygh9V/+419h70u0nlppt2S4gMSsNdbLlPowz3LMS9YfUDXAlvnlcMJgX1FM+x+ zJk80yg6Cxoy+T87iBPqMISMSQKVEbl0IVeb3QodV5+M2X8VChAtwuuti1RuRIfeaA7bim/nh2ac qqToc9ybzkIvZ7HrDWutQ/diI1ifdpDgzsicCnB2owhw1uMzOd8qI260lnxaRh8vIapC91O56H3S OLY/VO669g3dW0NuAI71VnqyW8RVDKQZeaCoZSm5+q1diNs4Dq/eSsBxpv6RCrVMzLv3+emjCcnC nSSMXohayy4OpZUiZd+OmNpSh91pabqCnYxr4Slpqw/iBfhjUs0CL2NRG0kJT3K5Lchcizk1yYze 2FyXMwStwcij7fQoYkC27vAUEWSAUE7Im1Enof4ZSxyd5JGzVlivS5tNKv5y4n5sjBZxg+lOuPOK vipPu8/YSGgTm9U+qkeL8g5btqsuHtCNNVJA9fyRPJZ0iwXs8eXlVDLM1t+ndqx/+3LtSNkSlw7Y wwuQNWra2bDLQMEavrn8l/n9dYkWplsXg49cVt4HIKyQcKIssuRrdQNyjsZ2gHe+IBxVskEFhijh 5FZ2Xv07JRKC9SyV0K4rCp1buaw/eQvbUIQi0XIOv6KEqweEIaguxGalshh9wDmh2felPJtCnfA7 rLsQlNm2nt8RMSmX6iTI7dC4luNawdtJUz0paZVTBqYSajomqsYUyFbxdlPoQ/b5fU5/+vDcGual 4iF0IXp3uh2bu6Rx7BE9nJpxiu3yNSOIxApTPHcjj8Vw2WbEvSB4/nKNXe93/SK4NRuSQn/WhAUA BlzvbHZO7/si12sg0Z3VpccH01t+1rw/aEX36Fn/MOnr+5YmE7teTS2uWcaF8/zz6PP5CYlRiFKV /MBysrjwcqMM3nLuFClDLMwjA+a0vaoz2XRU+Oa94F/6g6gx6/DdDPc4QA3PDrbr4iM+hqQDHdrr I+ZVQg/j4UaSY/okcNYBQiruGhu1I5Ap4S+tVTZ6RVZg19gttaJHKqK54ype6O2t9eahw/fjcGyL RnutmYBA3qPP3DNlZKmbSak30nm8mvBiNdl/tnKJyDSDk3SKef6PIcINudqJoD+abr4rx75TYHwN F2EsKNooAAjWStJHR8pKri89nrfQlybmoojLgqA9jh/wbrswl0cU55Eus4hM+0rsGikIOc1mYG/E 2KFL7cWYAbtqVV3gI3yY6ex1X3uMV5umqNvhxPeh8sXsiXpoPiLK9VS4aQO4+Ytg6S8vA+acFI1k CYYEZSRoGM/3PalQbB//4OBPIzPSJXXpUH3mcB8f5uOCMbm9143OUqzbLJsq0iuU2Nis8D+fZfhe dJTDfOUXILIEw/ndkc/3I2FCtThiZw2iwRQcGO9aqREbnIyL81c6cWbiv2KHTj/3mPmcXMgkBGDH CnWCgb2y83YKpXrqeb56wS4cGFathsFRPhqSmXO55xh1JxmMnH6NtC8xOHuBgbCDeiS4D4He3Ym6 bjNOW1P8/5w9noNnrvu1oqLZrlAK96TCZ0nT0aupjKBOk9mahX/3K5RTFxinVh2+zbYOaOveFgxH HxkGcPkksB3OL3RWHrk9398eoJwiiMcoJCKjsgHmvb39Ibdho6pCZFAhzVImOMvRzW2Vzn2PWoz9 9GlPww8VhTJ6zxMKAD9Pfw1joSzH0b5DEhH4UT4c8a2LkKTafiqN1qeg5HG++UzLS+q6cQOYyf9M fkRPvB2n8qhrSfOi+zjorFgPJDqRr2j2P/R1PGsrIGI8u71R064gQ7GRiUVlX3m/kz2Vfk9RzGoh 8vsuCZU2nJHylq/NRgy3/WHnBvDzIRHlxK5d2Fx1fUlF3gwMs4B4afUjgPL66DuiiWJsKxw9fPJi tlw1T0nieKXhoPf7XX/ZI5PIoID5Yb1QlhIuUrCzBvTx/jzBJY6Ds09sZZeXCv/u33/PH5k1r3Px DuBi1riG0GuiCtKWozb4b25AtXevkTHzv9r5d96fINHhmJMcTmDOnwrVSsFXgemmZC7hD5Zul8CE X28s/Xk/e9Bp9PuBbLJQuPYVFferVyE0NwE2oZueQFwGkUtBNMMZlZuNyRGF0TjXtbum/ttXhZ0X JxIPjJXomQFxtUycmaYLpPDl792R1oufnMTgY6UVIbZQw7NMrkN8aZZ6+DpK1UdaeDsOCrQw/Rz+ O35AuRvH5ucrP3ImnDtNplX0VIuG6+x484kOIDlM1Y4teMt9XNPFcU+4bfwd23J/b5ZAehLF6+3B quRFRm/lxffDD5bI7Y5ULbrlNxMQwAK1QmiKt2BMVakJlEDEXcvKn8YceN6ZdWqAB4gIXkHdDIE8 zfGoGj+KsMoOQQwnfA3sn+hvNNFko494MVhla/wXDOeXJ3doTsfKnnGcf5xkna+MjMEWgFEsRJ71 3LCf2HOqi6FC3I5yjbrhp6FjX1JzGeHnBfHSON95yNb9RycGxmYAQloEPFUiJtSdUNWrS7gz3cat qdTAOXp2vZFM1J4kDA4puJZez6njDXeyvNecuHbT6/Qe/AvBDNSe/eLYpqtQbE/1FA5Fcf8kVk3C GcS/hXw75YxE/JokpNBR3S0H7flFRIXxdwQ5H5O8saMoQgVzP1secb++eaOx4sgt7apkXGCOEKah ocbtx0oOGyq7LJzYap/YpLwcl56pGy6/iU7l0Ey2VYFJregBCGmyCizPlII0k7SuhTDlrsaG9q6W YrK6FTmeZw8igoxaEqRM1iL3WT2sEBZ3+mlC/6LTRz5elRksXDh/gru804iSKJWDSWMMDVCeryl/ 2BqWTc/tE8T3iUpOh726qsQ8pTAoMhYbn/fen9uEhVt0yrf5p+nDT0/IAT9qeEn3HpwKQEAgp8oe nxLhM7d0PFdrHr8Ag2Hz5XPXzy8I04PFhZ9iUTKHElaA8IvQDillH5O/Plb+gS7NDHNiihHsFgFB nKHF2Nd2Pb3RqlGzUoMki1HO5o7p9h+312weqIvCW+a62VdXTrGfPjvfYacm7ayLpdDktJ/Ev/cB ZCAVuYKkTmefW5VEvFXiLEvGBXU4NcT1lZUF6jbAMD1hYozpCq8LKao9rgY5ChF8ZZkDLEsB7stD CQQRrpp2qSYJyuruRHYTqprqSNWkDtfh2j5vSDA9MQceGM9wO8UktAb5gJjUycFsLqMV9+Dovqcn 08WXfOdrcoLnemVLIyxvVf2oTXjMTrLFHSXU1g6bvw5ytRxKlR9/IN0Xv5e6ZfpZisKLuUtFAhlO /s5tLx/tBBBlf8HENMciYame6efowb7V5b2URU/tY3s5hPmE6CVQ+Tzs3Ip0ejJna6NCH7YOH4z4 x4KEYSbAXaVL50uU/+c9W52iTEEJdOp2sQTKl5StHsmlv/925E+Kn+gFA1w4zRFlcMKakrOlPUPI RGY/Xg1X3a4IPQolCYnGP37Ku1YqmXdL9V7QV/EAVG5qrmSNA2O55F3lbXjAdz9WEKxRdwM0xWnS ZSyC8HsJby+FvZLJg0cs5OXiUkPXHUbIFg/Sw/Jn19m8p4lgx3CVJNEX7aug9CWqWkDVGYZ0uPmB GAoCXLgrijLAi+34tAiViKNQkFF0sbBA4HrQtMfXrgta46vn9ZYboRzJ0i5/7fWCiLNQYNgx9o0B 1MWz4VJoKN5+EcRV0tgyKgiUTpG/1IUwtRzdFc+j6LqbQO9c6ksy79zN/Uj1QCPW0w/5z7CZTGz2 oEi2lhYMwowz3hgOW/vslODW4nKDVaUqz+15xD1rJlaOFGlUynyp4LID4HjsxB9+enKG1WqSRqr0 aT34J4NZl8FiLF4pC2+AYbSy4ii5+213jESwIG1Ie3LpywxKnL3D5KkE2FsXAA0qy6G6jREIgWUW WTQ872xE8d4vxcXC9aVEFcSLOOKz6ORvsTSAHlqpw+Zw4GN0Lb3PRnR3wO3RVOuiK1fxFZHtJUNg tkNKX4qQQs/m6geUrhtiBuI0mr6/84/oT79HA8in4oc4a3AqkHWQN6v7mycAVus+rnBzIgFSyJmh Mhz4ZhpN5DfHJQUfr3oFzBa5sO+mlfEXZjeOdOmDqkgeTIDEEdoAlvg1pAuHbxxF81X54l/3k/ca F4UY4AMamS28/NI3rHsMLk+4ln4zdDz7vVX5avfrLxZ89zjQP4kT5QT4Ma8tbdgCMrfEoxRW30qV HaI2UYLaufj6gwoH3xLUG7h9Hje4nvF4MOwaOPZ6M/+9oTIuxhlsg5y+23X/3/nm+hJkIk2XGcEP qfGtKVhTXDquxhwQo/JFUtaAugDncYJHFoVQKekJsit+h1Z6Sg/hMnv1k4CWAXMpxG8beU1k+H/1 ufmo7jshEOdQGGZ7lWRgDDd86eaURkJgIEzm8hQqLEnKCUFRIJT5uo7I/K4AfGnSHVnM12Myawzv 39MTVQsVHCVsKViphFeJDCyAeznJH75v+uFSTTJw4VyCmrTyOOVb68CBtQCcY6iSqF71aKnVBoZQ QIZ6J/JhCxHV4U5rGSTvbAPjpRJuSRnhWE2BFJiID4KpvNPkdeFMOBmDiO0InOd8R93KrTbGpDN6 2xxDsfRMtFpx3jOCoTgNsapmXiqZst/7uUnnlQBmI+0UzWy2aGKRIzGw2IvWU9XZ4ODrO54pnerX DyZ6ROZ8fFWb5Ji7PQfMSScOXJtwrTsgo1KDCNikDCP8iHwZMgcw45dRkFlMl811luFPJbrG12oj fxniQf5n+MmxCpNWaSn8O5g6GuCBlaxnCs3wi7OFYeEvy7phkL83KDST0I/5QN6Py1hZFX6TCC3M ov8IYmcmQ7LwLCurA3Q7T81gGRqZJsNadE8eEZ709E8q+IF6DUDnq78pld3MSlgKd2yZ5dBWqumD Y98lSG5Bt2v6vISQPNFwrt8Mq3WzsBDJeSEBifKmkjdA7mLxCxAERFOO9nNZNZ0ZIDYGyUd2QmF9 V0oN3jicFV4Q4SDsqHaOjCanVVesaQhvnS+paTV+Jp2zkkRoYqNEbO6ofztA2W2+Z6Xex58LTz2A O0VKqvEU/oV2iDcHJIiDUQ2wcUGz5C/fasmslrgZZcycUrkjJs84mtiIggkHcxCU1N0fvOA0HzHy 1Dcs0JM48ZS16HHRETkOR1PatMN3M/bi8EIQ5rfrO5i5S/5G76eGg+2TfTF6VmRj/KjP6ND5SKO3 OastDcyymJEu7ivSjxVCvw5VlPgDv8uvoE72qjoUvwSey+RtkR5UrUfAN6yqZVH3SYNMnecLZfFU Nl8XGzg49A5IBE1vpW58BzgvhtxcvBrJkAEO0G+/Mla8FqXsooKdp9uCv3yPYAwZh4g95p60f4Rz 1VRU0mKKn6/FFWTHCZE/S/LIXkAUctP4w2po3snijAla3j6dwitsBfXPJqWcCSYa442ft93IvNkQ IvPgTLUiharPnP2mdhX00gfpxx7Hvb04UE4jg3juaxUTtpS13x7+yQ7b16/+iz3KSrjMI/sj26e5 T/9vyor+pVoFTNedaQNI6Fo5nFg5YEbAMekOqsqb8IC3o6lWGWelLyjf9mRq6LYCSntz7W/kGPw8 NhHWAU6uDkhKj57NcbaUOSEPQ7HoMpVtpH2OayKn0CftjpBuZrmz1zYm+eA7wAHFmvYaEvmaJUhV uiqvoLjjtY1D27a6Y1jGvXIkiy2GOwbURhErYPHfv/akZ5T7xOpKhapQ29qJza2R7W68fQYdJw77 zvoYhQGILI4llMrlIzhMmICW6F+PMtdlteF75TAhzVkVnTD7G6ad9miJixggH6ebxgX54XMj2TxG p1CTUYJkAQgxyhEf8cte5ULrCKXwlYrzDpJgozrxj9f+4fK+yV2lf9Ldy+qZyoFfwo5XXdDdWl87 mBZmZOIsaFUEOB8DPgRiQOo4R1YIcaztFac7M8x2vOH6Qrc8lYLHhj5P3WNIns91Gd5ilC90u0N7 pQKcF3TWAAI7X8hkB1LKk8WtyrlyJGhUhnAGU5edNnS5Zpi5Kga7MBJSFQEK4J34/DmDDz5cnDIY OEcMbcf9gFmBvatwgYPM90trs8d/zi5iS740PaudgJuqWxwklIBIA8fS1+up0Qzu2EXjbyfqrbUH Pk7kp9oNKYvv8OP87g8VNtrI9YBaocRMu7mjSnlIglkYwl4tvS7DKIJlpHpIWEr1rnuifTvLMoqQ 5gAawPQ1si+Uk/52yGWHn31kJGhlnnmrrbZsGYsJPy/SYzm0dRC+STIyyw/zJmGUctqRXwd2OmRL ljCSIIZmtJ1xYvZJ+HYlI1/ehbPQSqkY2aO+lMBTD+btWSxDtb5rsZPtu/pbRnoofDxqIm6Je5/f 2l0HMLdKpB+fpQxfnpLnVpCsVlvufG29nRadBHSAqSP0zI+fq4SS8hfTfOd8AXCAGOfZhVPSJfB4 su5b32iD+OVWdUlDzYYapZxKyLCYA1s8eX1hmoTuM7Zt6lBSJTXnhcTf+aVDYUewCk81+AuwXyJR 8d2KfwAPsVVutI0lgJ3FkwOlrgd071DJv4uQUy7md+/bWHqLqjSTiKv63Y8+kjotMCyuBI1RO3KU CkVSfjaY+3iz1zfW40WXZojc6Lg1AXPISfXMQJWSdNc3L4+up1raN9TJ1ZB4Bobjl0ANdIV/IEcP HxLvd+5beh0hyTJCnVZkbfbwugeqkQdup1bZelziCqfcB9P0ZLAX4bVuarQG4c/4mOq1jXvWbKXd SyFYKqkr3oqEEoZJGAB1nOYtkAzIKFMDI/GJGfDKO8CY4lT2RMdlUQ72ZEZ12hIaiTMwxZpHZHYu uHrWDO6pYAdWRckf0NNfyKxI5EM9xkAAbYlfh+QtBJy/CAnyXmx6KB6tLqmJflKNPIDNWBOA8G+c nZDacMWSo46BRPUie8NZRvSmvZvbLl95RtTlvmawOdSEq5sf2V5ZOsJs9EQSmGqQ3/DVAqOufxT1 pN51Uayo/OWZp1s9lGsi8iTCAbZZIDKsL0hl+nEvup5gs+nBZkl6LLq3cjNgU3b5cmni78YgCabO pSYxB8h8hBg5VOTGPGbaKwRYPMNQ+D4mHFhBvcIO4oSPO5YwIGeF8/rh9ZPXqW86q883aBclp/KP sB1tVcXOWyUYaYDJZM+Ja/3gB8cUdS/BEpdMqFnjjU/+YEcs5wr1q921UZOXKextrtyGGs033EaA N1UJ64y6sRjvWyF0E11nsrmAqZAxd3FuXWAVwqyGVQfg6phis/TqhIEwI+EZXBNpYvMd+aP0iqZH hyqkZVshF3eSOWBYZ8CYY7yR7DLfGCv88Bg4QimtSXL89S6a3A8NrGnI5QuaQ01WQ6OzhOH5hoIE KiTpDmb8g53p/agtaIGxG5V6mGRk6jBu+oIcqmd4nLGJszQKkAZVkknRPusk5tQV5Sxrdv0v0+75 CrNBazkPAXtfZiee7zpjsAB6WRexOT0I3PBvXh1O8gVZxs9aqg5+fDcmo37F7jqbajP0Nktt2GQC j/SVvv8imdyh2zqdTKr4j8qGZ9EWrO3KkqRk/cPthw1b6TZcPRaUY2cYjIKuhfRNJ8cDrSjcaohq gVDsHBmuX7N3LobY0vTEVeNdvK1LhvbKQ02yplI5iHkkN0/HDzVt34RFXKMtUwUEmYdTdeHAwc3m aMgCGRLGWTRUYQ++uuPATUEgz53aG+5sfdtAt9RffI3mhGpO5nBNZOEd5subeDbVmZxRqQOitqef LLpqVaD0g76CrQB7+7YSGP8Wf52szf39K+LB8g5s6kzBg0hNAKzgjcj6Xp0eGO+GKOkkb1/BV02f XCX+Rmul48VIw2EEcqJ7mZwEzuhPxFJcHt1XEFY8RkkKKUYtvNqH3o0JfBwc3mefE+QGJWpkRXa4 lkD7iwnM7THN1jAlRKdCZYZsxIC7bho5AwBru0UH9ld1cw1spUtTHe/6MJ134M5hMzhDRaVMGUn/ N3IEHfIRL+Ztz4PJioBOvQehRBE3R9aAygZoIj0CGFkuuQ3c76EdylN3II6OVVshtuwXIsc57Uyq OfXYhCVecNV9h/KUPbdCGkwGGW1lKrA8dOVC1tt3MpZpuXopIsT8LJxzegFVNmyN1fF9BWk7zcR8 3HfVR6R1ZaOGH97ougSgwKNoOnY+Co2CCrc1maqav9mLeyU0ZXT5GhyefXZkoLswnad4Y0mkvRPn vNuFt8S3iw3S7SLI5p8SBgS2YVpbUYpiBPYIETjyyyWTdeT01oCCKc9q5kHaCOWevNWE0sAcinZM 6AJOlkBXYAaxJ05azGUzxYnCm/9ebKMV2AayPE++ugiW91BPBDwXg78yaAnOxoGrfaJ5FQ4vKJEA 54rHxjxQvMudDkokBZth2J8K4RaVcnY4cFHzyINfMT/ygYUXx2P1R4V34amrQFi3BdMuYuYCcL2g Tb+Ca6t/sn3fWubHbSj05gL8VFXngSRDOrlvOn98kzF5pltvopk/0XNoWxMUkz3hGg8Gyi0MwGea 1vguVfz++p+gaJTUXJP4vIbVhuqyuH+Zz2Q6E7teHxW92JB1kKIl4BlNBKA/6fdGUN2muNrk4Lfg LxTBE1pyZOZsGdpy8RonRhJn5aIO+sIBlEB49gRSMetNmZyOEHWueq+aAhv538ChVyfBE9x9fomg gv6VGTXnOXxqYzmSj4u7kMZiHbjLqNeqfchqRi9odEY2W9e0mTKXSHYtFWECo/gkU/C12ncDN+LT 6hLPB2H1CIjLEiSEnCPJwMvAwiPZpaCv10Mtb/MCZx2gFUGTJWWn9mkU9E7y38zW1NtYd+E/UVuv Q+19cMShZgM4KfPeA2h9odZ1cPH2HVJa1f6DH+8XIbez3oxZx+2Iu2m0uXuY1Y3ljCe6NMLnux1D nCkTdp6KSyuOtgYU+kijjPy4Za8Fl5nttmoOybe+t+3ZQZ8teAgMG9r5mRpozJDEWpXOKCn3xu9h AsbbdFiXh+vEjP2SmI0l1HBpMpSUVH8foKDKU62Wm/s+zixMdGk2gcvlnpMWqTtee1/e7lcqjtVY vmGQ3ZPiQXV8GBpKpsayee7efwlnVY6PQHqFGi7z+Vg4n4SwrggTyyI+t4IinpGi5jr7T3E8H4qX hcBVThKuEiJoL0guML4W7SxsLYufKZOWzQR5MmW0bjMxe9BlnSiK1sqp+7Im+hryZkAJ8wcXAwDf 1cdb+FR8m5plDVvK3+lQGFS2pRO3ynMj4Ua4AkHuIdWwa29IkMUDIVrJXOfClL2kp69MunrfovMo xEiegYbPWoqnWvu2kfuKsKuW7fWdD+v231sFXznsOD0Q7KVBd7F7nKGde0e2/bB/65EQbsyeK7Dr 6GRzMTMr5TXlMnbmmvW6uxn3P7HZ9WbSiuSmSAip8Fga+OSWSMqUz5neYpKm+bGHZbjDYtX4lw/5 UygJoWBbkSJwpIWnHZXRy1OpZI85WGfAuOhKKCFe9Dmmm08Stwa5xyg9VrFFMy9pMkbHgixYfYDa fEQ3pxiJcT91Z/Pykc/LpAZubdDzL4gj8c2mwLOBhKncw1tbzJ3LzOrxdIp73ER8U710sopgzjMQ fQRMTdX0dkBtLnCD/m7/I1NyZgvgdRaq7ODXs83MdPko7rkNY6cwQIV07HtdeKRzQ5R51S5iR06t Q53l2Hge+4fezTVxWX6fJRqPjwDqWLuScb8Owmn3mPiVV/n7EMByVjwS7TNgugaRvJ5xAEHrBk4n VCajHax4ISx0kEK3Y0kef870qrn3ldCazURNwvoKig+GF89FGTTZMq/iuHR6W6W8favlS/QzZnlm lG1NxkkjjiRRErx9OF3H1Z8q3Z/1ODvWw2VrlqwSEbU3TwCmi+y3qK0etIJOaj9Xj2FcG6V6PE37 P2A5oPGNQ1LWJQ9/7xoreLSSd90iAmaETPk+MWclWDZo7hFrEgDz05CkPzYgYChQFpX01PVB8nWy KpWL10I3TN00RqE2w6/dT6yhJfhTNl7miI42rgDR4GJ30hbGo3LXvOhzAUifgre8gwvZPfIkSjVh 07avaVALxYUshYLJd/RtVe/6GyoHUuF8dUIlojLaWkoNUTYGDWoYD3rFEWYyj2iEOtujXFlITZpA qwKA66Kssx+ZAntpT4ZCoWkDmEns9IeV+2VLxvu5ldseDvI9NvOCj9MPIFlkGXc8rTphWlDb0ie2 wKX37MLRTbpXT2+x/gIJRKfkGWe2uYqbzuGQwA1cDJEishiJxKiUZmqAnKpNdEBzuXPdFd/k09hU mabsTenSTIt2+U3AoJUQcqyqSkywArPLEjXewPIr1gE4BJd6PFY3xCGQIYmo0Qr1t6XaWhy+u2ej JNCGahp27VLFJF7GIvzharmKm34XiK6fnGHw26z7yTUexmpHz/ZqmE67//wxUVYPOsl5jp3FWKAh Cjq8h2dsD6L1wxavZe64kLN8H6YKZG6Vy5JPdYeJmMQYevEMpvQAyEQ5wzdQNYWCuDTfRAkJrHRI JUyVc8JcrxGXV4k3IYFwTgO62wEILe6263yA3LkMeFYAGTl8ByS0/SMDDKW7ytfD8DSambIQ49ro RINgt4cODf2YizeIb7nY7uplYLeX0GS/+oFyKTDu3rXWcWiaFabEE9vRAZiFiyzv4Ebqi4gXhMEi TEAHF/Y0m6iVTs3gOIqaSSmk39kkeM8JukF68M7QByUAHD0BPOOuNCqv9UbCTnGH9ukIuTgFnl3t d0mn045vSCdD+qHaq6NdqB+8g+Ab8YBvIiYOCg3VXiB1ZS/O33Zgp1phEOPFCTfGs2PM/sOBYzmX iFmvHVVQUL99dnnUAvcX/qP/2vCETbYLIBWIQ8UhbJmOr4Gc9/iJ8X2Jojbeq4q0mwDOcFDEMnIH DJRDAld66KQAIQ+UgQygkB6HTo7WjuXzgbAD227UgwPinwGJHer8sNUYJSWdjmdngkFS+HHn1zDI 0suquHg2EaeyrCmQ6hs7F10UyEkb4tSSdkgLc0mMkBITgcnfFTXEQiv7aN3kjVZlfigBdJZloCBM vsKMMzAERUhM1sCZ4xIbDTQpBNHpCIgZ3QHh9ea/sIcW5vhJ1DeuyQ5x8X1Obgw9ZKJQPdKe1IJZ 7Rb4KYvXE+Uvf6k6Q2l+fLLKpjYB9xtGJaawjGLhkuM83toFtsax8zA3eyDq/NxIICIPZY/xxF0Z zI6MIs6F+6mvr4dAC96pyQ+2EN+e2QoSmcVCUFjRTuRNQvOs8tNb3L++f+IqPKPy2npA2SLk8P6W Wx709ZJ9yyEoVO3PWgc3Xdc7jotZuPmUroVbUCkWf+HpjTP/gC45IEHCwU2bi+z+6cPH39aF0QXM Sv83AQ/5DOz9WfYJoF0SHEGDDQb0hBXzea8CHBKRztV8x9GZxazWJTv2x8t+scJGaemzNWWH7Jau ZfRmB+lopQ/tnCxboWWHAHBEtvQq3AFZOPc16waKKnMHnN0oAEuUH+a4QdLWN43o+Px9ZDJ1Psh5 eqbZh0zGd9KVpaSrhSPlyTrazBae+T2Fj2GNykmG1pg5rIhE/hMv43wctwQjEGYe0BGsJNxV1ECt j8AA3khXDNpgYgwYh91IeHOQXDGLqO2uF3fSuDd9OI0LWXY3e+DYWVVDL++OYPYjI1Gd7THwss5x Z9eHf9SNBgkYLtXwQmwglmrmYEzHrEezxkqfFYfChU0gwCTYVXAJ/YG9aTJa/ipRwXqKGampQ4UX v87hxpACF0doJuuwEKtLG+Y+Uj51IvklEEHWaS60n88UowpJAvIBPk+dFsGVlQgcB/7GY+RWRvyB 1iBRur7CzrP7+n7xTCtktQEx13TCaL3n+o4bcAwCkMoqbjHvvXmfek0xitMxmX1kXzBLbK8MvTtR f1CM/N+OeqVGP1S97E9srtYFlW1mOU7VW2Hq9ofA/DB79gTMAtrV+wrtDynNA0NdGPBD5eSAWhmw 5Ug5UEKbN9ZsowuPdk0gmJy/lNI/dkh7kvlyybMANM7wjHR3wjWhPk1UGAvy2GWyeKtPeP2Qs4p2 JeNkcaTtC+eBN2hunXNeHOR2QOWgfF5XQmJVPKiE1GnGs0VJ1W8JqUo5HR0O18ZVJ/UgR6OAwj0R bZ0ktFl7l3vx9egj+sAJT7VEjuqqWeKLxKqIScuP8O38Tr5Dh/T90qabxY1qwsVrkXfpBbgOm/yJ fM3oGyPhOCKWeduRaxAOxy8BEd6qQg11cHfbAC9IbPtC66DCBVKUqBZ/Ow4GvLhrcExN9V4d/ZrK agxKo/fF4xNisBOj44OKovRuMWvQpaEAEFkVI8VyUOl3YguAOWpqazl6wgiEqJyEhDx8mj2Eoc7Q 6NO5pcZ0Pr6L4kX1fNwmQliM5R/+PPLZb0bo5FNTruulwfDHSzAwKQNwhJMzj+fFQ1kGVhBNd1Ic H3iU4BngnO5/ExV/Num7Rdh4EgiTH/+ZxsSNpMpBk0CXOanb9IH6H6npvHNd5uHHaUyQRePuhXHL 0jbYXLX36ZY2SF+JS6vumK+nstacLWf4U8ruXPl8MMbPcwcInNeIc2P+a2V7/CTAEED8uEkz3xQ6 xpCe5AlDZJOK6L55TPMT5PwgiKPPZ6+maBmgZBJV0Bifpks5llsegSrypjVZ5hzyhR6Ek8SkaaVH sJIC+9a5fD1FgnX91s8MfknM28NtQRF7dCvA4Ryb5qvs4QsqB0gJ7HRaz454YQif4HIf8TkwKDYY uk3Yi5UzxJKK/VpL5Gww7V3a/PvAe+ZWmvK82aPtnf6NLpFMnIeCeP1upspWoucwM4QbUvEBJJMH gCab6DfKGr9qyKfTZmq9dqPsk2X+lEDNpabO3n+Ax8PFgSM6hLWJKuS7gawyq31ijZmeTqEkML4j l0k15ntxawhZNaHo9tqcDzGn4pJtZArzjvWcagdQ9eIgKdsaAZVvk0thteyzPdVJha2KMy9A5KoH vXx6aMiBiw3AjQ/W2Rpj41WGtfJZpagFOz3iM728m16FEpsINXOaZdxAB6imbGw+JqSraJPA+ATV XvEDPJyHvxWfgmN80TycYmLPjASHewf2JxTDkJF0XCikMkqce56GaY1vXoVTIhJvGi8udcceDEW6 vU2kIMmaZ3ZUvQua8OK2rd7X3jsQaF/ePS72RaIvIkL2n4jlmPy8cEIZvUdEHT2aMeqadTZs78vu AFHwMAnDaSuA6t7XEqM4qXDI2X5eRbLqcmOGWaGchdzd1iQ//qmbd2nb85fPQEUjAonqd2eNjUJS CM02FNulYpn1/15OiO3ILhYHOnU9ReI1NYXB/QKKU+smsGGeYmpFCM1DGz+kuPIhe+x59ue5XIce +1flMJM9JvmmRNfHbH3isEaSGFUpa0ehqx6Zra6x22seIbkO13oIsOyD6Wu22hwzlB7mdUE6zGHs aeCbr2FfcE/stsfIF4eSiKVm9jeaqD+clFMhpd03CcX8FdnsDZaW14S5wfkB2dIPyZycm3SKSg9e URQVOCwfEHyRiQCbqWJJYQB0qy+FFMo5wJ074PThu6OoX74u9LtcPI0Z22ocR9+ngtiW5TVLQVt2 tJxeF9dIFQ4VBd3ez5lAMMVKulUtJvycAZx38FsF7tRfBboeMXbV/FcFHCnocKgbsKjWecXb4yta 6HSqPlRENan3iZVCnKsL4+qhw8IwHaqcPPqsod92w7gGa4GLcGKgAUpGdW34wY0YpPrVH6qq19QL LLJv+QGE1az6Kqkg2No5J9kmxrs/IrtHXxzvZGgA8cqNG6K9v7DKYpmA5hb/rvj1Sv9EX+gnjnKD hhZb6YCwfQ1kNO6+sNQYGuB3wc0R2aFb4ASiW7JOfNPKCwm3Fj07bGZyVRrduJ5g20TXap5dmdSg jV7dh72gZf8EM6ubciNRFXsFFspYQHCpwFNJ6Dp9uRRdCBmph1ef1vi1rHi7Wz8DoQ3+/eIzZKfN HaxMavBGxsaD0ne6AwPrT1fSopOCtADSZDy36zk6UD45zmIUK02qVnA6UikiXre7RJHOwcNlZLKX 4jtrlELXHeLwl/VVnBd/KFvoZ0U3sBCCv1MNokHM3H/amol6u729MX2ZE2sGqNBNUHPgOBqeqWcb H8/nqgbG9b2bKFsWuq89ql8KHhnvrCmI86mnJj0Wum3J+hqE9nbFxX3oMtQYt5Cr5zIs5PXJ51/a rRnonVdc51UHvp0oIc0WcmVPICvfKEftvDGEjB4aRwMh88qkjloll+ok3jNqb8DdyCWtFjGZvTUM UhFADQ4L95Cvvp3av/pzxvyWJ5A2HEk/X1ObCL8vasV+q7uFnO7ZI+r+wi4MAr85II3T3KUg7eff lTh9ZRaTHQveN9yJXC2dlgPeMORw0Y7LTAem1CaAS36HEtHsVqqv+ec54NG35aYh6dZAkNg3JW4g TswPdFwunODEOo766vRuU0szN/hHjCB9UCIIAmFsnPMx29eKdaYOJw2zPtkc+x5NiX5cv2IG0I+k henNMSX9D7JW/7hTKXriNLkpbaS7JClCxZ0umiSvLVO8WgAR1oWFKwnXMKvNgRP9Zlsj2dwOCtOb mDfklkvcouPqO3zR48SMLrpBJaK9ItBLNqxffI5Uby4bwp+vwA/YUQlrMZDGsla2mj/8Q204WBUp LigNKZ7UBHhuMEug3H3FswwKjadyUsEPAz3IJEA0mP8Ku9/34SvtIGGYlnwYDeZ6C9X7wGLvmKuw m4I4QaXztWXHj+NscZFjoL8UoGw1+IrOrM6T+HYTVsgm3AahyLzaLcd2nCPOANDzeuAbd3HZdwgX wqHIIc1fLC/5ZhDc2TrEjrIXIJrYWNl7cajtRLbnU/lYwE3RObDQVDck+TE3zoe3U5YfHyxPXCha 5wr1d5HNXioE3J7xDwW/MRSxGOIaN0AYcg8f1TIGL4Tq2Y1pkgvv9D4krn0OKEyS1cGGKvGGbVaZ a8ddV6e+cmVg9LF+bvWX4eSNaMQcNrchmXMVIJ963Eu1ssstAGL2pUauJSMSxwqajtBwuG5hUkyc jE9NCXTYCFPG8qSmjC7l7Gx/NBEiGZCdfvzqlNSIrcSaU5h5JCbRStahVbjS00jXsZJXlBUwtLfl TIv6SZRPnAnrveniCBg2dlSpWMwXTTZylupiRVknD1BRyQCAjY8yGA2hBLcVZmQvotbTlCXRtp8T BEzXDeDBtu1cILYKCSviYhp1gC68NssKIv7YUSRfxFzxUzwx1attdS5vLFLkF6tFAxwgL4K9Rgx7 /12Btat07uZ0pF64RtT9auAcFlOTWeIia1hGpcm9yRadCFZqRcFvcJItgsG5dJaqL30r3eq8g6is HAdcJQltDTrqtL1H5BsNjUPyB/7XxsfEDsSR+TVkTE0vsXx6XKJK3VyCBtbdsmNrjqwY/AlbCITo S26eDMor7FWzCN2YQb/JdRlZ4/f6A8dVmRoP3smGmQPR+E0i2OyNveJx+9nuQfRoNdgJq26IqmhW Ny9r+ZZVXHSmhRIWPNQed7oUSD7a+/1Yi1rVuC4J+Xe3EKX11QYB/8Eml9B1bY7LWHipeELqc5LY AT21wejpFPprtl1hYqJA25RmyNGQiEgBiPFv6mLtU672oquKMzomUGsZ/XO8xkipA8+Zc8AFaiYc X8g5mrgPZDVROGedggUocxvCF+TYQ0EWBduQvs9rw/BpgKixRoxgHqCkqtOpAI0o2YfCseII2HEE Pe56hyi1evRLf4yLj4EgKpmxxDfay58W8GgHwAVha2+TG4hqUX61qDFteQBvW7QCgIjeLDNSaUNU xJAp7Vx+SbDizPS4f/sbf3cDE11aeV5ASAa3E85Ed+tMd1+Dg83b5T9jty2Ym5WfLufC2kaQ7UPH masrdI/kouyVJiYfg1yeI4Ulmjm2h/9wrMwJn1C7zyvmTXsI6Kr4ZH6i889+RYHCQT06XKOlChrt WH8N0pEgXuvNHW5Zd2JuVxgbM/5zuweY5WD9OicFQtOz6zd/zln9O1P+fPm56w7hzHf1vgOBt2ko bFnb7RUnarA1HfpN83orf6UnSG8OIHoPf4TqT9KKhRSnxfkvVq8l5NGqmferqDcWCweDxfB4Bs4P pD8v8QG8r6QxnsZYd2UZFupO0orV4X2SKxfQA0TBmK+lJ2bZFbVIih0Z9ZFOKQosYNAiETGX+vj8 CyWszidVNKqVQ39HAxQT4EzQJisg61BpYPbmeIH2/aFq4/x4C661IjCUaMcqjDte5G039+Ffensj zpI7ReNH0gb0n77Es1BDKUZW369l5O2GJ9XSJGqmmHA1l3tU9mZ3JZhnxTkkK/GdvoMF1BIORJkj NXBKIx5wAapo0Fow48z/AAdA+L/iRSddkwsZND54Vmpk00b7TsTXv7T5DAE1ECgRYFhqmYLUC7kA +jg78tHZ2EAfAFQuLyF0nyDg4sEEg6qoKhQeX8ioF9Tx4P2wU+vcoLqsJZJ0eZ7VAoRLpGCk8C9f ujsUk+h13WFrbz9KQlMQLC7UME2hpHqarm32Qa8H84BGxKk5MipJ60Nx+sQ6VpzEkaQjiPdPMUSY 5wmu0QTJunj0ZuQ6wM/Zymmo/v1yYH6frWxhHpgKKcJmt8rMbHOiC3dLpdJ1dc3mFyFSgCPuPKLk Ogj2JR6yD8egCVWAsVqMWuhvT9MURZufQeAZtuTUI2y84+vIksiZyqjuSGdTqC0osbsVo6zX5u+J KLRATqOydhD0SLtgIleLa5GpM3ohi9GuZyNvoW3bZPcEw+n9ZHphlIJhFCbWphMl4gRl5AiTnhg8 vLgVM1pZP0oNpH09Gz4iSj5L26VhlBPqchJDyPuKsjMbgNvpPDKdV0Hpnx2hYpjJEWPaFYcR2XAm gZFIpppwarehKfCJW1oADF2oLnl5i6zKTvnfvi5iCjrr8OoW8a9ZfvHibpW1hFKs6Vz6Yony85hC 8zSW2eYpuUeiDlFVaWrw8VKvrBqm8BMmIE4Il76mlbJIEdxNEyT7IDRjWiafqHwQSjvqaPVMzeU6 B0CJ9HOWe85u1WQxIS1UyPBKMVirHTUJ2xt2ulXfqoxDAluvOPJbXnXZBhnF0JfeHEu4gf1rUHT1 K2eDm/IxmPVIAjISeR2vyfqIyPervdNWwmO3p3DpadOQoaN+sjSuFM3cXgiZg0Quv5UhXSaq08jz 3rk5fSJdwNcpQgO3LUPKXUe+CGRbBWVMUvNyNs0oa81yWjBosdHzZ9dOaau0fDcrsXZVXU2dQH9F /0lcQYXuzDYwtpuAurmCdajQAcvlNzQItZ8cd2MqSyg1ZdrjV2rXU6Fj79Me459D5+41n4Z9ZUdS NNvDRoCte/1AopiLt3KpJO1ELjsDBclrW3YPRC9bxEVAGaPWq0SnVGxC9dh/qKYELaKW/pIO8ErI 1RLi+B5P7DC1F7IqUrU+2jAiSt8vX9gIcP0upk/ryLGP9heo1NPRjQ5sHl2suKcHQjxQJFe0zOj4 kYqDYYzBUg1XEqfre11jwDiUaepvD4G2G1ZteUjnGJmn6CZr/9kvXFa/G48OTUHt9Q6ZmJq79a/t O416fJQxY4jJWhAuUMWceb1O898zmnz/KCzlW2kvh98POp1cY7nAOhqGbai2GkwVOvV15QRs4Qsa D5MWRPAoV1Bypgs0K/r/FHslDYL/gbbVBqs+6HtuOlt400yV2RG1S1TrRyHUSEO7ZvHW3SroSKtY 6ER3LW7kd3lttsaV+fclp02vvmkBzUXMFNO4xsrGACzjLePvZytWSVSpX5ElHuKnH4CCh7OWitLs qQZ171lKFDDZUANAw5CAoIkCxZXG1YJUwcmxvuyqKrNE6nkgN5F19N1x0ASsbT3tddIVZD7PC59D DUfNm5gL3iOOOZTe+K0AO1Jym2cW4XAuqqwN6uxlli3Ytt6fa/qvUxhSZ8eunpjP/NQVpCxv+/EC q7bhct/C1oAHdUI1OktmuNr6Vya8TbcKhbe3IPvOTFRCIlJDqdByvxf26wyvAwVvXJzvidVHlEBS txezJ34jyM/Qc23tBtI0wUX28cbjQ6LWalS3Ud/W53ztv2s0U2twQw3D1X7BG9HMQ23LKatIILtZ 1xaKc7ITGS1Mq2AmjZW02VCbq0maSwzpybprjAzaxExJzjEotOL1ul7Ymd33e/gIb/Yg+5Tm+DBM fOnzsEikS5O32fW72yly3Ewj7X7SB8Cz7KBV37J2QT9mfOvpdMmZiSSpDaJ4xMuaKckyQQ/QD5da aihJ06eNf6QLC/KXX6OobEL7MiRijILQyKP7JVOMHvm/MymvtDubpMUbhWu7i9oVH+XAPEM/LVYR fbGfI4yCVzW+xiGc7nZFVZ/LqTLOz++3aL8jdudH/JICzvForHPd/TtkJJvQvpdFQ2q+C2N4dSK7 mpt04DOwkc8vhPItxLIdQRM2ugD+Or8aeAbaP+xyKqahkW2e0fIDVLJWnaZkPuU8CN16LUZC/gvS vpDVBxjN4AjHIwNYTZUGqnsBxcJcuqzhUD4848bOHafwmLw8aws9gIn+8xx/LH3fbBAb6w8NJHWl jdasBDoK25oQNAEGgT/nvOY93zYu7gc2a2Pn7uMkbHO3N+MsxqZQSJLsGfFUbm8mGGZ+KrV5eiKC ygO8TbjaWSp1OuR/m1Zz2R98Ls/eRUpNR8HDZ1Of0l016VYR84kXJxykWN/C3R6gjQ2vPfU8yIfK 4DvnI7Zg1YN0TRXIz2S+HUAC6DgpiOY9mMnj6VnbbD73S+TFeSRf8NLDM1DVbhA5LKfFASylQI5p WP4fEjeiK/l1jPotXT8X+7FtdvjAUc9STPEBQcxswcSb0VperZKy6DJp7rRKTf+L8tTzdQjAuO9O El6PdwcRbSvt/XKYRR687ewJyKrJu0zn/Z1hfxJ2Wnp15Uc43Wq5okRzG4ls7EwNIHLdba6CkzPk Wkd7z6dRrcQmpobBvouIwGU/GaspFiwjt0c9oqm2purhLnS28EM6AkWhdSuDAvrKLsGJ30Q7GXLK +9m+lYx1VwpoWpllebvn9HqzSNoo4wJbGR5xAFykvyJI8fdooXvsu9U54m6fgW63IS+iVCRjc1Yq b1vQoBqcD5KgRX3ND8otWrXP54/q25s26PE/IeP9xSYTfqPK9KYF2pUqkjaz9Rpl0pTUs5SUhzQ+ 0YPrs/oIyYIFFgp93kGhIp7tMLOLAhEZmHYoFC9V9/ZR87U3bbikMklMRCGxJrgd9dLJ74Ng6S48 glNrCqoAOEsc+087xnUpN223Ox1MV+GNpr5faeSzuTdhRM65vBQoVVOVekYixDDF33KrsSv4fbdb 3vP16kACu6Ukw53xJBWh87ZiOUmfhAjYqDWJdFT017c4SHO9LZU+MycuDeqtWOWwCq/ugM6PVNnr paXEqAIhXpeN0wUlj4NNki9ucUBCbtsu9r3CDiDnaRyPJNjIaMaAqIL/A8xThU0DW+k97LFJkoSA 3XLVmH2XfAacK14M1fYAEji4hwztckB+VKAkqfGQ58UaFb2mDRZK2ggzGlaIWGPaMntzhP03vXn/ VZvGgUwQDZaDNR2tL10n70AWSuflD04Cbd7jOAdRQxPrzFmJo9Gk4Bxa6Xvg1x7SkE7WXeObcy52 4Ru0HQVE1RNjSubhpULEJdSksJrOq7vyBoLYx3n6H4IejgLPv1X04AMnvXVciL2xVRAvUIlaaiNL MC3JS9epxjJo9DN8+c0YxKZB/NXGKikWSWazYaY3zhsTAar+64Yrf4vX5yYzX0IpeAbCSnhaS0rt oPWevG1B3toB+HqHycV8703dwJNN03BRr2oxILmEh7QFeYtrfoPAQ/W6wh8p/1eqT7ZNOSZuXnMO pEyZ6eZUVXhfOzXK4pyq5jU6ylUv0i/ldDpk4/lPy27v/7UQe0qJndzliLAdnxVaOU7I0HUZo1oQ eHzUNtS/Zz7IQ8kyn5NMBtWyYD95SD3bMWT3rU6K7LRnVb/FQu1hZx1TJmi8B3VJbzbDnNtnhvOj RLf0OfaiXJj3gW0tF4TvJS6hFrgxSe2dORR5613Fu/wFM6ujLKSeMXU51D8fYhIhL+aL5bMlgWeW WPX93J92B1ZDGXT17dnL9uHulk33Tk7JttZSBiSLLQwteA0WRy3ghLjug9+GKVMju42Xtb8i5QnX 51aBOzVHn17qE4N8lzwAk00YWVQ6GeffRzsdiN2sys4HFAIlwrVfGoM1ZsdzWNKHf+IX//cgFbxV Yc0ENC42mdU1C8f8/GScr3VhBCIpnVLEHzJXMGvL4v8gQVl1yd4iPOJ0e/XrlNx51g4r42gAFRFL r1hNrCdu+GR/LPe0Xm+HVCIhON6aIaxjEGXF1n1feIYgwLxqnEjXwrEnLRvBKQplMpEo1G6WMorS GblbcNAGxUthiOyx/wI2nrIECVBzKdsfGfD+gJPVZ62dQjMPo2d+2LIR+h6hO3TWqMwHOBZll8nA F592MKcMKtEv/N0IzAKeJ4xRoU070uGEhdcmdLF2VLSVVnGO9+P7udTpL1pCZDFvp9AQO++dMgr8 woJ2WnbpnNXCp1X7A7TzaBemyI+x1kPxzZHH5nBUoYxycAAmzlR2rX9t/r2Gm49V0/PdJT0lSGok Ash5J5AXUMIePb0YucyaXajsZsW+nyW59+M0Op3WS8VdturGTyneiBLF4vQm2GStVtRRD5fOpQmu 23y4RCf2VsfOtLCYxiyMD/M1qosiO0d/Z1Nj2ueBzpQC8jbNMXLtWET5wpOjHvYJJE+/FiTY7zbX VjobZOJ3l/loycuAvoGyLPzWn6ax/do7e1a09M9BCM0f0ZQ6g7qxXzE+x1IE/brfGzM++KpryFtW h+f5b94HXMDhxNgNO0Mtdk1V1dXw1S9Zasr6Njq85mjiAMholfmk5T+OSR775DWJeEM9c+plIhoz Of3tByg3ihC/UkPr0cdNfG07faPHrRi102TfoYrKxdFG6G/b8Dc0bPvQCVuxsSgzwxF+YjqOX7E8 LN0kLTyMXd1xSp+Bmk2Jzj5wN8+ROEkUWzZMdL6dgnV9lX2gSqGn2tnik1sDQGk68Z1Q/IcJ7ojG mqZ9MWdG1u180KUc7X7QvTja6QUzEiouwaI4xlt7GstkIKSbragK5PGY2fUxtmZJixILkauLTACH WcTIQaGVhg9Ra+Hg/EjdI8oXyYcWrfQliKYa8bJ9YG7mJCRHI6dBM97EWhqqbATa9/Q03CHl0FWC ovMiji572NeX2eR/gBJZ75W79/1BfG4W4ChtW+OCb++4LbnYT8FV2lWw1NCeNxMtIa4g48bnxrSm lS4lmQuXRQjhc9z2jEW5X8l/8h321HVjnoDoAEdMnHMhxpzrHNjps17Ms1PleH/WgivwydzVCx6y dLootbMiF6wkMNV9NloQoToU5cplslhQuGjbLNGuFr7jGcme54eEAitIxou8w0J8g61UPYHkk3Bv b913oYXmRw0LCg7fsKkSeTGkUK6TGTrSVzuDck1cC3CB0kCqYuqF9/NhpSBaiEkRe72smfoG03we /mbMpFPm0GdrPwwZohYgB2gmy3dratLpSwQ0M462ZOVq2/SlP6dfcFSKGV2XhccSkjSk8IA7D7L2 9vOt/W/z3WzIAXgWpgbtfkkyfBOPBoP/6SBHQl+0Ybhjii+AcWsU+mOs40g0wIHSUN/IgjCOHI4g 21OB80EM1ncchepCovijCCyKzJSf4UTvgtFl2Vme2Q+z1YawGLek5kI4H6UEZo25KQS/CUVnHbSG 1G0xK3PeezEl3Mf39/MGxcJNKt7QMGL7EBt/C5gpE92Xl2PxG7BVUPLhZaTxE0Mq4NDKIUnCUXh7 AaasAzuErR6c3emdz+6xiJ+itxef8VwgvP3lapU0xLxp/axehRz7nQDd6v5ln4G6w9Xmhpf2c8/s O03F1ewk2NBcwOE1cDlgODUlozilTalc3ToO52hX7du4DWx7PkUVZgP1DW9xEVFgAMIPgXh6S/IY rI/ubUkdlIqz+nje58gPANu27bIkecP1kMDMjxs58sXt5aqNsXPWmWHtg5A5E4KfKX/6Q8xjUO3o dajJj2N1qLAu1KGMsHs2Fj/8zRZ2y50tgywJZGF2fpIAe7oP/BPIg5KgwtFuAN+8aZtp5rLPJphN gY2C848SArhR7P1OAogfNNLWRdybfDavD3e+/mwjtpOSThcm2tQnwhxo1wRCe/cd2PfEp/bGU0+T MfNT6oJgjXk/0m9fCvH+nYfDUHb1vQePpjg8qfsnyZ42pWPOODWyS1t4C81TN+nXlo4e8zE1Pa7O 00HziGuubMcbCbw/d5muGU+1+5Iv/6X1kxKh8wOrzFEZ0z0mwXxHP09fTZMqhF5Zsf1FvfH9iC91 AB3L5VQXlehvLhIIrAA8ra8Bw0iDQ+xhO6nLv3yjnDuTBkqPzn9l8zg2awFlyHRR3UqzDG2G+U2q MWArrXqKuTR26JaHTmJSfXXOLsYGvhXAD2KOivABAFNbNLZsZ8YSmyOEnw0fAY8z4qxIkFe2Duy5 ikPxiSdm7XdJNh/jVhWPRRshYa1RcrymClbQZHVi4q/6Mx/IqspMdICiM4c1C12egxLV0UIKh33y BDNXiQBvfz+AQhVgZ3E8qiDiYPnvmbqhDInsiJkzBJY0sMKnh7XGiOjWzVFtpf0+hhfoC370JGIW TJJame2XRu6cbQLvRR1PErPT5n1csJxnh5wPHZFEdoTCK9G/qeKZZatNkDjVYSq/wDBgJ3RjRveG 1ty3dObJFimwTiSz2bKbGymyR/stEU3CYeNqIXhbi1sy5NnoJ+r6nchVtVJgzNERpWMZ6zTu1NW6 diYwBxQVxp6Q0nEaiwgH2bxJPHDWeubF/ugiEXZ5QWJMrurBr7E0UKpzVl8+66dObJRner9DakUT 6iv8bI2mKVCwir/92zivRQKNS9EC9BwOHAy2V2TunHQWqIu735dt5pYoy2Ed7fWM3nEcbQ7K6LsW xJHTDNPEwbWGKp3pT6rjx9SOuurssVvoq4NCJHztB40o3yGNH0jRNOOaKOYl4AfBX3bTCLt6CAEG BjfjfOdk+ICVXHxMtM8Du2e9PYC6pbYRGnUoqfmaXsPkdiNqF7xqslnJ9+rfR1xcJBW6wSunoltl /DYimUr1TMZGPuvDbhegzmIC8JozbiAFVEaJpujFghXvXoPvI/Rnv32s7dfVuXuHpvxPH9Ek5ARL js8n6Ij9+WMREXEgVZLp7JTTuFUmZYVvvVVwzQWghFhePHrW/EQRSUfYpiNrl4Eva/7IGXblPgsU k0dUUrAH81s/XdRxwCJkVjWKHlejDhxJTdcQOOqvYhQP0d1eXrAZ4hphje8p3UiS8EMgsfvgz4es MteotZw4slj4OKgfOsyVcplHmvzYWJ3zKjDpaW7WYfbUCXAtm4mz/NrMHJwIGx1okPUadMfEvSqo OkcrUHRiWWeIj+v8xNDPBrklaRlxeY4q48sxrzmC11SLxFfLofzZTMNfYbi2rdwYNa5efDeFrpNe qvkE42oAWz7OhRhXWwiRXGmBgdI+mVR4Kw5631loYQ0VlJEFVy6SCtUQ5m7/JIUhAKTaXcAg4SzY Fc2t+8nPY9KOiUzqjHr91j7c7DPnLX8fMXtuahn/qsjVEybqEGR4U31bP5/YUoDEpOTZPfQgiEmi Y0eeoaX6q9IxlXXRmZP4Jnu9t5SXM283i7rOUvILjVztKAdOnv2bHPnd8Es+Xe5qYgudn9Osogzd 2u4lJKcn+CeopxgEZKLrPUO6x/k7gg7LxrsfDsDhCfVIOuCU6su/ieALWgslp51JWjmPc16ylDKu 2NiySZBNgEFfVqe3LjX4RvzHIUKAykyQdNwVjUx2MyHAOAt4xIbuzyHN81JKBaMriRkWksVGyBhC lHF2SbVG/eF+rysBwRoUmgd6EkbthvR8PS9mwpW7L74qpHJpqlpURksqdHS0eYyq6njVdzbBGrBt GDpFn0w7GCaOrGEFEJA2L1sLjAQhER20MVXFzgjalJ6N77QBRwxDtg8QsWr6qa8VmI4vlEo5Odof KQMZxVJ/7JFrbW8JBx3hFQrTxgk6pynJl+jXZsz1orv2gYnFAO3pnU8EmyPijT+2ioX4T6jUXhVV 9C+cRucVf4r5IJgoMijCD3JCvyRcwbksEdBAes9cWX3HS1JPIEvD8nx4c3BdbndBb0NKnJYbgiiz KSTRaXQPUBdQgNHb0wvgansE73xu+DrebmcXGT1ug9LlIWqIftYnnxqRgvx4LWB28hKpEP+4XaJp OzTPsp3JBEEt0ekbeq2jj+BkhaCVoWsERZapFIjh9XMoxzxFCV0vEqD3fF6QUvl1fGAk/hou+tUG EW7Rip4mn2ATiD7WqEZ5mDjtlss8wf9ofkxtTM8O/9kt6wpDImZZT7sQpW5AKKivc7KJHx5qJ6M3 p6NNg2jyKWDZAGl0AYq7yZacdZ0GaZkzhfOTtsT0Mn2W+9zejnokWr2n2EMNNPlzxh527v+/zI+n o62eZHYFz+QgaWfQnh6eQ+hq7w7bCubEDb7/6Xx5GeMFVwe6MMy5a7/MxEhijqLctKAg61msjXix O6jiTEJ7P4Ub5Ac795mOKqL0yPPZXMnUTqzAJVyd+x4PfJiwzhY7snJWje1Rx3f8Z7Ejq47KGUO8 b/RCpM6daxqWQP+e9Aa2NFGa8s3xBEh/qfx18hE8zWzNZUxFCbQtcdKVXkK90kwdcqgPdQjUp1uI s42kcezgTDRiOQfVIUs9JAt47bJy1RC7FJTGiQMc2eyQPee06CRnikHTTsR8BMLyW6pUVzSJ7Yy1 gHtYirpzT/3Yc+f9zXRnQmbFwayRDPZS+x2YJq7s6lgimY0mYhL90nV1g6JRRFELqpY9FIzuBiTC QEgXpSCKBuPVw+VWwZgA06NFMPE6EV1Dennhu3HPpolDTc4RDT94G6o+qIjdKOXUV6VUHm/PSd7G 9Xzn70142fMDsDJHxPRb7V4Kun5WD/fj3IL/OeTrGttChy3nzk5o5ChYHtM0pxjTkmxt1l32s4Vj F7DqJtu2MMXjmEmNzDLoBKAnQysxMxRAH6HNAyLvYHPS0ialmyi3KKiiAf79P0zXDSjppK3PjoJS CEYLb+zNM9WKG8U27BskUke5Tf+JNCL6/0KaWWtvyi41JzLM8qNF5xVQOoRtC5exe5WWhtYpr2pa 4JoMN27LRoOexSoOWjr5qZTBcjp6ZRG+iGglSX+9ZAHJP5dZ8zzb8MWznv0zGcq6s2XOCILpAMBo 7SL7Bk2z3cFTEKxaUNuoF35BSwwFNurB3UfROoxii0c43lEL8Tc90joPhMV9pKXLmctFY1r7lcQt 8NxlYBNNh43QqqP3pjNeDFIySkMfg25jl7Owa8AzfudqV5XwNmGevBKN6Ofl9YkLPeJIX/K3nlgY JVh4uopAoZCKMPpu69O/Oi4COyLA0kg/1btnip/XaCRFIUISy14Z3Bi+Q40VqdpqeXiUaaxy2s2Y xrOSEabnruZkiN1MrlwP/edcyfnh1k4uzVJY+camqK7oQuiNHlPHwAug7QCP/g6VOj7LTnvJQRDK 8y6Spp/6Ed1XWcsGfDiE/9wewvpkNdQBDiLbW+Hi2EXVjOsQRW+o2HIQo84lsh+JBI2NUmFfg1Zc hwnjdvznOYxRH8c7IoR+KmwB4/mnHQb3H4IxUvaIDjKMM7d5NsM7sy3RtRL2xHC/Fl9hnbpI3MCu zgxfJM+0f1V0Od991hbj5UlOlEYXk3kN3Lc8J934aUD5dhBP3gxBep/Yhul06n5UII9Y8YXMDaA1 js5GJN46QJWe7xoFU3keoUMZ9YqLWtyQ4cMfHFPcfIM1V5AS3Ek9Dwc/XQl3jefBMEIXcZ3o9k3p 65Wp7NocamvFTeouSSGF6S/FRBu3N1Tycdzqs5HUTPmE+Nou9nU4f9M79u5mnEucN5cS/+pc9W8o rIVCp13POEGFJSPW9Yy9+2M/bjHIRBLXW3THxfACE5qn6YRs3n084EQ25ufKccVV1drGivzQGL1k R8nU5e4kqJWgAQvGQ548Ou7snh7L2gite49PnzTCbVatUFd0lUmcbTbsrC6A1gPmv31XCl6LUG7A ac5CKUpWF/1S5nY/LU+vdreYZouRen6GglF0/wmsH1OBFQAwQa+RtrCLTFE3vESZll76jAd4FmUU VzjaVHbRk0SCbmBo6+g7IB2W/Vy7vwycd6JT/uK3V5DGLMtu5WbPGFpzxLL5oVoU9NdaWtQXocaM 9XOiUE4O3i2l4163M7mU+p8VR6z4XRucgHNvhg9T0fwDjluhzJgtoRgvELK2fTjmXC7HCtgntVVA F8rNC82YtIFQ2P9BB5BghbfaCERZfZzP3xBLTfbL8tUwo/hSj6tykNI6tO3w7grLo60Nxvde1eBZ s1CTe4qwhVprlB9tk7eBiGuXXCb/8T3xl0CRjF0uYCCvY7gVRAHJLRZtNJB+QNBdEqMZ+iZVsssA vBNMxH4CaSRcWITMn6OLOl6DrR8ERihrUWNZ8jsaB5S9NYGQbXuNueZmqVXWzcOvRjaCe4wThTR6 QMonwo9vhvYNFpDQ+dMT9SxBE95Zy0rawoQByaGbYAsBYsTj+qYURonNjwJIpJuWCc6C7jZKPMvv NtrGp5ai2umbgIwCcF1qf+jt4liEoOX8+m6urU0ItAmw1ySxOIrmsLQ7WLCef/6H4BKla0fI9IcP z0mQLspctzZRidohI1xGSkXBQH6fcpKQ1M8RzWSM6UIIbPCv2FJ9l+GVsX4NW3FWLganOTj2EeiQ H7JZIMpexAYVtat+VvaMW8BT/xFk9m5Ser24G+RGIBTfXinb7Ao92uVe1WuCBgwiSC3CtLkg3Mdl uzasowvMiZgPhTfoNqxPIrTzeaKrlqfJWgk8u5FdMNC6dcjOQ7zqQncDp6lpleR39WQ+hLEMZPhO ciq7WZC9cyrSpMRL2Q4GbUB24NNpk1ITovoGYr2db8Z64MDXfqeh4ofjCVP35+NgS/fKqRKIAXtz OfTO1D5P6cUb6Q3nW6uymnGknIx3AlmDzJKPmadjZv7RsbSXDTDI1tOd2j3zdN+O6vct64iZiHZq 8TLfg1knSLDr5mGENeabTcLyQVDsyjYDdyL4VqYpJ08xejnt1Sul+V8tt2ZSujCCD2yTwA/4Oynj P+JbcD6pfrfdkvKXifVL9QjOWfUHuJDoJH7QDLNQWACSKvLvZQ8Vd8BYSvyldqtLrAnrd6zH4joN GLp0mlhkQzUmhZOc/bEoPxMmwo9l8MnHfRw5Syc4Lh2v60KM4+F19M4+K984YvF8U6fasPsdFSY4 qP4JhPhpj6wDtRRP0/JDdoq7AHbX0F85nOt5qFovxzbI5ucY+BazJb7Zo5GtlKZJm3ChANBx4M65 vLN8+zA9TBCqfovr5Hvim31TsRmE1L07ns1NTh/bjfkoiypCvlrhTklDiBeCAhcjxocTp1jjRT7N Aapp/b8suWsqsTC9mENPXbtUVprCCQ9qgIOvByU9KC6xHC1+e0j2/7iLkTVMFwGiq2F1VHVWSheq f5zaL38g9S5+/dkVtp4YTEFEp5IP7bXLF/z5yAGUOjPdPQvVUjTzguzCszeZANMe9vcwkW/QsniY QWWXOS8Umed5PgZImNeKw1TRb74QSTpBtaA3q1m0hYVa+riMTXJPOfoZ7+LAcRzUk0R1tC54WJJt 27hrh38sY7egHUxRP2XlqL55jFqy5GLftlpaD3Ib9rtU3X2xgByRBxvqdwu8f1Rn6/wKPW+cM3UZ owPFAFad5yVzCXl0ksxnDDdXI1fWxppqHeM+QNUVTM2Wy8ZY++Jw7i2dacqf4iwbNm2vPPXpcwpB yk2Q2E8xb0tPNEVZ+LQkrzLyqn3hGmkmuNIoM7DzcteXQEvA39rAyJ7AHgUaHQt/QRMJNL58KDEp a79uFySeOt3s1GracfynlrGXevk9NYGajzX0cDV7DtM9J9NVyhhfODpT8OZRX0VNvj1Dei5A3yid D4iExI3TmK3Ap7StVG7NLp4sfAsIEWLcW9XiZgt2aypH3D/rgSxbp3FoVcWP6zi/O6ARR+fnrAT8 kSQe44KGotLgZwk8v8crEuDvDABGlZ6cAH4QQomjTNIONmlqCp++8aruaLgxMoXaLh6gvJSNvnuf nF9Ea5xjp7G0lhstCLs7LrzXElFhw6lpjnN7QcSDV/WgHtQkHcF4TPprQk+bWPD70970kx0BSJN/ 25JKltkfQShnUqs1iPFYKd9wSmjwR1Q5hOX8NHGs/6vZK+29trDNWYFvQxbXVnGrsgak0a3U4vWQ agoBkcidVQQ5Gz+Asc/o2cTVAxlwhvBUwXZ4PP/Vpqbzdu7kEKtRiH0Brshm/m76acQT6l32Bb7b 5V4FTEWWi30I8J5vNSI94UgLcMVFlval8XnM8hZQH/IVG32e/TlTrRW4Q3EICi40MzZOYLR5AlXO P6gFdWnheJjMKb6XkNKYg5JA/tVb0G+8Xc7jEyhOqaYLrNeQP1TCqvmsOAw3ZcczCj4KNQTAJIn8 +QTRymMA78BsMVL9rsxuw+IquufGQWuq/Jfwf25EnguKftkC2q2sL51q2+KBNhX4qpyQmEozdaPK unk1mJh5HIrG7QzX6sJXnPYSboZlJ+qaJloPRTPz2dfmU/SBXyl4xuMXdZcTCJZnWbkSoif71Qgy 4ukAra2fmBJh6GCUkvUb/AlYBntUC2KYHazQsq1Uw5Gl66xZQGupKoWfHxDak7i39smz40jG3IUg Gcl03MLN21L4sbS+LB2kg2YfaUdXcmDA5Tx9CHd0sUySsK+Iihhrvm/V9aNQbFzeHMps8360iJig vcfKQ4tzME+EKZGPcMYfKPo0g9fTtvnuI20kG3/3CEJ2cotclnvq63ByaaOXgu9SAGsdDIFRPJd2 tHcQnqsPBBDB/l+tXee8IfljYw85zhhCWFwnPwDS1Lk1gJ3EqB7oKovzVeZNlF+u7hUFopG71BSu nJ+ZaJ5npmt5FMxOBJacpfX1DfrBh9G5DWmitPPQyVDHvuJtCFxzh11+8MuiJGRswd2BKIE7akj0 O+g6fRq1HM51TRIwUzDii3m1X7PLI/QDGi7lZ/ZvjfFV/+kFx6JRG++mMdffpGY5vdS4fQusMnOE Ma/ZfK1epjKOdqv1SQwJAmyGgdYEoz2t4nIcwN4J02NqmXRjqI808y9WDTtuJDY8XXABTuns2hu7 pbc0FcB+o+499G3vM5WuZalEiaIHyFSfYPxkyyAVCDsJMtwgYTH/2RZm/aO72PWQQIET5EnK+2YV Q+nH0ZsI/dnCbh0nO/lkpGtTmhOjyoDX45BThVe1f0iRsPVGaO6gP6rE1hxNwAVo5ZdjZFLPiNLA G037S0RKwJYfdrvXC20LUL1763IZdai9MiT+jgghKQgT1k5GVy+j7e5QxGJDqw+STL+C+b+Zvia5 9d0yFEaey8qeRVaSiWowwChgLScTOMUYczXVeTpBCvRkRk9eI+GUi0fxF1JJCZOU8dpkFUOFINJd m477dDzDu9G57tXuKtjfEitMj1X/GdYnZzNStLsvc70WUDE8AmWgvgUqZ5P+kT1N/rGOIcvy6Owd bLGCQgUyK9l4MCnh5G6VDJgfONVU30Z0ZyBZ6a2tk20A+cgIqbghU+smbhM8BD55G4oxIm2Gw34I dunJ3qte+IcCbQkRv5z2ExscVDqW1afEENd05InwkOSvplxx2xCewrbKyPY9gFVXvnmbM7TmCg24 TgSX+6nYfwSTiMVqkQImrwfUk0AQLeG7x2I0+LD57qSpOBo/jXuzU1pTWqVMZhwPtv6GHBn5OmKg uWb+o2jogdC9ouDcWcoWoDmfu2qulUnkCmsItAwLjbjYghXnBSR8FvcMbJoKjAJgdN4dIKLWhjvb h28ZdlIPeT4oRPsZEPMMKE4RNj1N3rISwSGOJj3/VbQqIbbYpjadRthoNy9qyOhcp8a+Kn51Pr7x DMDubmJ08zhUmQMm30SUtkhIlNSyC+Am1oSny6xB/cTkQ/qzK9yeuzUMUD8vvmpBMKZ3o8UzACIk 3yB6fzbxjNxAg4Ucgf54xJrRONPRFyOneds2UU51ZEmKfGleKWeuRIRVhD13I98Z3jpto6HHb8DP ypjUVH0jp88GZTvhorBk7bohBUzMH5W5JtyvMmKjoUyWdiE+tpDRx5PnaoRn4OFchoKTPIrnQKx1 IKD5Es9gc+L0BDOzTzZH1TyiYH9GBK7g8UPEEpgQtDpxq2R/shxi/ySh2X7nfsIC3Yq7qeQMfr6m 4+IuXfOhQXXeXdEi1gAIk5c3kciYwXfw1WH7A0S1AVT9TNRBl+BPse8istgQB8NMkiWLJlfd1m4d Izqp89SW8TsRvHISlf33XyaP0EAow8r619ZnIRMPpzORwAq+4gdSbowbpZovfMEnCJo3BBLnYg3n QrVwpeGxQX+r6oebq4hQRKzdkitBdah1w3qjgIfyMcrWW7hTtwlM6H6uORN+lN7QG+MSNrCX6WZe Ez4d9tFQcrIZbCp/8gT7T0m8DYq7VS6k3/1/ww3LSFlWVeKFxVjkFupKlzz4fwETTzkYAokSKtb4 Psje2jpf8FmexMLsj9JY6IfMiklF3uEjEKRCPepQu5Om9rF8c7//QNCIJKa8YoxBhJkl2U60qyKa xC2c4HHF98wC/huob7VYxYqu4RmmQSk/x0vS8WnzBN5ZU+fyCNcAk0a14PMjtRxTFAHUMMGAd7yg MF8XNJKRaf8Y58GprZJ4D06caZsUCFno4IeK1TaYIev3yNejSJeu2pZKxzIh/nAJe6UJdxAOEt6m 0EznhWdgXlJK8H8wn/kdrX4O2AhJvF/+iHMEAhYnZ87XNpNboWLYKq4/rMfiYVUsWV5pQUn5wLv6 ybqVt0yJd88EWioZ/Eq5aJGRUmhrxSTQ4BV5s9E1DqG9KM+F96gZEnK2n8jPNmvwdMCINU9n+Haj 0dodk0FW0UqVLMkt7fgOA9UEq/BNCmsqpM+Ktcd8ClFiqldGHIDy2SmL8o73UahCbTQ5O5KHc6Zj ON6LvR9mqwqJTVazmzKyq14HK4Oo0CmaaVvQF1K2WhwSY8aITgnq5Jy2l3KSiB+Seh+YKkGzoIZE AdUbSrNL5hqOz+v763h5O0f0GKo9EI5jOqLZMj/skT9/l2eXwFLWRIDP9ffTygcqpmEFJfusK8Dj uakzcABf9K4mDDroKQTwiB2Rhhu3DYdwKKUvXf5lMvfSpXvMPgvLSGKjEVC2Uazn+O/aN1hEqzKq fV+y7OGxF7bz/aLQC1QPawwrwAXu76HKbG/R4F8mc4lD1asDMwxpoSlYiyTT452TDH4PLhykl7Wm vVjAsa6Kxe4TDpV+GLnaiLUkUv52X6PLOCcrxhceaKrnrKyB8hFOSpYFD5clGfsYCtoP9hmvsFKk xA5u9DA05cf05r6ynHznCVCTMt8SUyd/AiwPTAWC1ZFoj04BQ2szkSwmaEpJ4EdHnCXj7R4rJXf6 kyfOjnwQJK4O7jZom6E008DM4qUZYkjMx8NQrcDTMpIcPpn9HpdaNia5cNE4eMUBxQ6WjJjzoBQd qi7Gp770JdsR+RVAcn/DPPcxwLzlyUZ+p54LwmLIM2C6A1c1TVRdMF391SpaXfuAbCDrjnpMAxCd 8/Yqbd/BBQFYnF7E+VGef02SIqlnt+0KygzzMmFnpXLbEYFOHB8hkfWR6cq9E5iWgqllbjghxjes +9zlprrebfQUx40dM/CbVBQDgF2yfcUggVd4mN9DKNcODRG6+L0lBTdyjmexmQfC7syA6lKmI29f da0UWVYJeBEvXgirZ18VihPP1e11ong8vEX+qxBPjvWC93b3yQUOP1Pi5s+t/NIqH4t8NEtT8fNz UuZukF12lEKBkvYlJRX6AMRkDaHwUI02UljqfPs9fyXgFlgoBc9swhBEBG8Mtui5Wq72OZEGXTxF 5FqNGltd4DNO7khHmtYydJ26W9B7HBAqqCWPB9LgR8WNxzDBHCriUFonEE231qCso1oejxA7eg1l McW0NpILan7eLwo/1KEl7fV6AY+bolCtAvYQmisrR+gzV99UbBGmP2Wi5wI7L15pPLCUrpV9urdH VeugeoOsQVia0FqdXbbtNHRrWd1HhN3t10xRco2P1FteFzBE1yPr2X9vOhDP8TxXsZpA2SdwHUdO fokoStj/mQd/ufCb0FKudj6tiAQubMQ8KEGqKeXtZA12/DlK8g9V9sdHocvsGf0RSr2YhiuNqCEE KEIMxnEvu4eAtxPVvjQ7DUHpgTkorbAGTEQP1jzYfb8eTvSfThUnv5mgPozwoN8CMZZR4GvNmW+H i3mOfbKEWajSvozFrffi/eSTKjmG/O13yI2IzrSHl+K6b5+Qn5zZhARzMdMmPPeROhBC4ZxS9UeW b5lptgBcK1sgNpPqPt2Oyl1LZWg+exDiiT4XV5zS2jWqQ+ZbaTg6v5AHfXjeYEhWZHYLkZ44GR29 6O3QGyJRX497K8lFlIMZYNhrmJOEB5cNQpVZgk4eErrJtaPbVCmPpNxGeMisNby1OqzMK3BlsMHz 9WrJ8ds6yVcVGKo2yfaBc6sU1UVpFNgHMGFGWnjbo7XJf4chChmuUgzFxr2YhZZcYJlWLe+Fouwo iY0lKI92ZQDt2KDL/FN2AWc1zuts7hth3dpsS1d8EwrIPt+hRf+VBoPV5L2o6FtQGUVSr60si7fZ f1EhO6gn77f7qX2tSVHyWGclQDZWv0Qt8ivlthdeT05ZHM06IJvWtQ3SpeWK52exrjmo5ZKTfBVb 7W6jrflRF2p+Qz60lTzSQwsxA3smm1MgeJKnP+6vpcOnjPe5/aFKpXmT0ELFbWi5gvG8E7mGumtm sJpB2YrQKEOitlWjtX5GguY3AbGxL2P0MYJrQ4lFp9s7r2zQQM4NRZNH0gm1lPzemhK2BNRU30JF MHyDNk2XkEVOWwXT7mIokRjo6xot8udqhEeOE4Gy/pbKcX6Ca0r4j9Ld9/woap2JkAi0NLUNCpqz VoOXpqGWaCoaAVz+Erdh0+Q3l1oevcz1WmMdMpQHg8y3NLKrGsCSyHfiD7EhHMb3AMlqymEN+vXP lJ2c/qBR4HvI5uiv69jIj5dtZSPaCtkiAM71PrOa0czvYqeVZaCswtImazIDYagfIxyRTvo/Ja55 GGplijybadA0yylrL4xKnp7xI7TKjqFpqfR2E4SyMllsr+QUXPE7+vzVfuN44jQo7e/VFmEgwNrn Z/1zgPviZ5eRWUzNjSo7rjDXtr+QuLCbnuA0yZqGQFq1OyUiPJfNHmQWX8wymcgKGwDw6QSqVAGO 0GgJAxn06wJ1jm0ZkTkdHa8HHPMiZKgtcsqDnPB7gPwAM/ZGpqy2KvePvq9ooBr+AoWbbqHCJ+Ts lDFIBBXLrUEyQX5a8fAoh13tM5ms2gVVTNpjvylWASdr6NeQElvpdv5wyamaSo+AhEM0MW1yVQBK kySJfd99ekJZGQBVrjQl/SQa63298w+xYKdGGmURg9E+8VUPPFp0kOfe5YDP1e8eOXbcRvZRBut2 DPEUKj5h+slaadtv5W3GVAMn7a0pHzTzAhLk/oGNKiOOVI2e5+uDltlh402t42tV4/47Enr3EQLE zYJ8nuYbgXrRkqtYcu1KdN2g6CRa9mWyHjCk5rhB/8dNLqS3puTtND2uYqC6cea3J9m8mVEj9+Vw i8D1YLjqDOWiVkpSKa7aGfPKmLtHlHXz8ucU1GF9mZbF65leB0n9am8kp4Jbespr3h+pGH73j1Y1 IYN1U/yp0solewTs+Sw7I6+OAz4joqdxmTZg2GagAkhM4NCrIPawU9QD/jZLnR/UbIUqgrxzpJav HoUCG6KW/cf7rqMXyadedyP7JcKGImH50IhD/p4EC4QTWX8tSqNbFhZRIA1KIGwFCW//QroKyqKQ JqwwM+Jd7nSPe0xHTEiScrN+A72jEt4foUjiPJJU++PtLfY78MGIJGxv+tMQfJlspiBzpbzjxw7v vGgTdjsVPdk8MIQehTfC5QpaI51hXx0qOzOuVz4d85SXJ9bvTNMfq7M3wkBOb9N4k0QQnFCaf62H dnLXCtGemV+PyDdS9zSAYaDQvWCMOB6D8LOHlqH6AalogbGTOASMG45+yw1NCXVe771bQ8Wq6hNs GcBlhy4LXdz+bHvmgoC3QzUejPWGawRjkVl9OX4hZgTTJk8ok1TmXd2eoOvV8pHpzbZWvIIBeh0i MK4WCMlLVILeSUB7LPW3aoixIZUrCWZZgMOvpLLIqCOjU5K1t70AV0Ttd6sQWjisaAOJAcCsdJpU n/kC5cqO3k67FOLGfqZrY+yNHlqpMTa327ewLuoXx5R6SoyX00/9/netMBN+eKTGAisivVZDgyB3 KcvF7iN7xL3msnI0ZlPYBG1RFypLAJ1LT6UHPQjxymdY/Elqctq2OtfajwSAtDwkng4HFH0RhX/u pywPGpm0pnuThuf8xw8mDwuLAq5ug53r3any8eu+9Iae/D0+IfxpfzjN7LTcYP+uG3mViQZBmTnd 7jf5PngI0L8anDcyhgpM0RmTJPw3jARMBaYiYPSRQPTtbRx41ukNiwA3c/lZr7RurV1R8gs3e4if ham3Nfu2tEAk3kKu7ISjDvL2BS8D5KjAzOxqU7BrUAKMNXfVEf/aNy3vpo+RENa/uqcZMZ/3vVeQ JQCgwOpVAAZ4k7KPdt4dVnEW6/FI2UvQjEi4f8BGYcmOpai9RSjjVop7SFa72LyrS3fb1jx7d6jA GorZ+sEZmkelhNTWkRPEsy9Tt5nIQnD6N14IIgavOl/dkIbvSqMLFnOH5pNWOmfsrjOyj/YiB+eZ Ky9796j+VahSS+/WlsoKf5fVwgNzRStz6CNZEC1K+p8sfQW3RrNkVLHalxDaKJP1fm6Qw1N2Kz38 /Plt7vc9kCzQpNhRnCSmV4SQWjFG0N7dtXusWfOM5Z6xe5/sHQYsN/p+kLkiGdVeyMXFGbjXolY/ UXsiesMJNiaI5A85sHAGVIX3mV4NXJHnLOq81ydOL4oSnYI4Uju8R1YUQ6ctpswwgaXAOIvNi80I q1FcDM19W2/Qfy5AkEVfobIJR70Z0R/3ZbKJM8sMQOinLIdKwrXlH6Ot8RldvqRg/WpiVcBLdFvY xZjnR88K2kcIXQ1hpHKgcarURfF6IkDE40uYxHm5GBtnW8Qymfs1k91d95f5VHABQnkAUDZuUnxe B7fl6YH1UTr7mInXV9IEXTSl2MA8wXzPR776/0T7XrokdQ6TsypIPap3Mp/f8oByZQ/0iCPERFAz tQxAH5ri4pT6XLSYCE2RNdCsbBBrOx3LQEoerENK7oIEVOICnGGefSkJ/P8eUCIM/pi7xpHlBQlu FQPBBO3ZI2Sq6EsgY20FLQQSsd7RWYad2ds2J2a3rIW2UN4JZJjrOi27ks4KsDmns7Kw2ezGRibj IXoLDb/1+ODQmbbbnWE7kJg/s047LgNpHT3k67ARo9fjs+LhLyfzGsUxEeBWQ0cM3NUSKBZcyjnc q5PfFDPxQeXv04l0NBkkb1myx6aGolWPKUJrgxFhxaI53Ni8o2QeKMtsPPRCdRbf+mytI0nnHEI/ H0nRbi/91ARnyYM7UKQihKxEKVf8suuF5UkAmQS774bkGSlcmWNsW0tYdRRpUJOib6UUM/9iYKQT KkxLcB8ATKvNHLJMzYQOTLoYT1rAiGObga0eXF4yPm92lxGrjjLEMcisMd0Hfrk7LEEj9WGWlrFO co2cGERo+KFXy4x1Qsuot5yEAuhspwCfJqmyYQ1/2o+6bX4H7rv7dSdkSDK7oTqj8FjSdB3G+JMn tBj2su/fa8ANDG7si9qYILqhRwrytB7WNKCfzuh5L9sSBjSLa9tpcXO1FofzcuXly+xIqivGM86W vOFPqzzvzMLbsJR2dcF6fjLS2kMvipoyU4epuCSp2vEmOGTBltEqvUz1+6MUbiCSIC1WmRyHluAG wmu7zw81OEu6ZqEmsO7kQF80ZDEJLb5tH1GxtZXXRdzG4i5r2w682+xl2TpfIrYgj7ctiTpw/sj2 uZoXzCR6RR9Z8jc0SurbZTnfCL2Ym/mfhMZXIvoV7H2AwRiTmLx2cUjIUz3tON5g8tqyh+3MUUUB /6aOfVSaH7RwLofuPbN2TbqmRISkhi+YS7+N1OGImCzoOjY5QqTKP88FqlZRXykKygXGe2pNXebp bHiMUyq1YLog0/BY184PbqTb9RIEYxFXANJhmmVJkoq0Az31HXqDFdkInK396zxOplzQv3bcNcxL Q+8S0aZLIEIigxp3vzLsVet09RZKI4OTioSFKuzFDlZk+VK/8ZcyAOntXcEA0IHtBOZCbndlFiFG THFlJ8iskp4hGIzvJqDg6UEaz1MkS+TJY2DT90CQFsWSQgMrbTpknA3cqxrjZ3gxxVBn7pxh83Ym 8w4JMjfIl+Qqv8WTPp/IQdxiHKHRjpLzY74SlrH/k0e+tR7iaiLiLjmWA4+10sJkL1Bpr9sJM06W lNvhzlmJIL7N2AOS87X2XE3TrhOC7fCZOiHIMqQovV4Kh/bNvZNBe5nOE31gNg6jcyGwRltpxcWD qoexeNc2Aqj7Lf79wbg7QMa73wn1YDnKwMSSJEmXGlPeoGSL583W1p8tfdi3d1jqLsQ/SS+6NNJV DCpxik5CUsXSTSBQplGU9Yve16kRBVhfyip4pC/VAzJz76v85edJDyVnUtwkYUaja5CYZl7E5yRV Bna0FkjpOPz4uLoXLT9WFjdEuE/+a+QDuHGsWBEoALAHMzAWOJg7DeoRsrnjN7U1+ver11ZW5lRn KzVizJt05f7p+mhYOaSgPdtcxVjQU+KDYuSHIrt2Zy/M/Peg3aWIgvRVLy2DAg/fCHFAJx8pnYEJ YuV0syjYTbUYSNMuBMr7v5dbHH+VqCNOjuxpStdyp9JSNTLKUecRiOfM7YBeZQ6Pwd0Dt0CMoYIJ /zv8w6G9DMNNkW3Y3yFbwHYPPUeux/57qrveuMCCe2tOY8CcBcFPpqQOk1gyJ+sNKoT107DC7Y+4 HXQqkXzn2YhRozFS/3T6yyG1u4TtDkhWjhgilUcFFqa6mfmqH47UFBEnt52BkQT15uJGSqWyu8E2 XqCKURDHkdA125g59bzIk9PvOug5PBJO+GHH79/8+7OOQ8089oAgEI1aeo0gqLSu5B+6EEndFHzB +6JwSTKtWlQ0O1sNmdijOksOUWwg66cCd6V1EdFCJ8nZegBauz/EDmi0xNRiKIG7l4WbGu0lQPvN aAf7haX8h41x61bo6IITkfWjmUvdzDy40rdJyc7Ozgf5INa4Bs2V7BYsMcmCVy5xopbYvIc/Z+Gq crWrQYXNaG73DYp6H4qftN3qOFGtcaRdQBDs5TalXmeuCWgZ2i2a3t7vzh8NipNINUEZEW2wipBs sYE2ELaIt4o/MwRhC71eOyBwKL9d9yJmAOuxXEDrHXnLPNQ8vwVRDZz0Bz5Mw06hnsAP8wfj0RrO 2PkiDfE8qpak94T+3lgJ+A7wNUNcaFagq5PHBWQKMLCUMORrmGwGSoWIrnFEpK1xgvoPYnzfg7aY yv28xoFQ0TOqyNFCEladlghp3aHVnRxH7gapKhiBoyYQyRfl+0H5C4uis8SFL98epDYbUDuyYsog 3f1N0IciGakPtyU1bJcIzy4XA2xvDf/h4FUN4R0mTS+RqVKd9GT0ssrj1UklpUkgOW/Yck7gwXpI t6d3R1jCTrK+4YmWKGCX//14D5uhSrAunzhdPohP2lSd8apVClTp/oD9882f5iQhECq1Bbnc/Zuo smAO3PP0qU4uDFAnoM6tC2PTv2u0uH15136/XQ9PTZHZWypOKoRGSzsm7wyDsQjm7PviWLa+sikZ TMO2vg/YPlcsDnUYdNqIgHTLJBT6KUxcqizWdEq2bQcDFgjP+b4ci53Gp8HSA7c9rwksFPKsNyBy cCo2gXLT7+gRS31HIxFdflovpOEjGogBB9VEewnd4qtLKq2SQMtHsf+nQznwfhDJfcwbfnmDYTEO gstR/GSbKJ74kDRqCIO8N6+9byjXWEu7ChG7WmiZSebrTfMPcVIXr3M70O4aQIKwX/uWHYyBRPsE R+uxYz/lL3BGGN6cJ+TVf3jEczBwoNEAWqhDA1ywwi4FnmOA7Tyvo+gpZuCzIzgS6no4UmVixW2f Ffrc1BW/kBE4xvQundBFBoiVyskZqHNUnGRzmuxe/H0ZptME5ndZ7oFu9lOx8uKbedkrAAAFQPq/ YE/iDOnTSN2c8CaBb7IZlZEd31cfl/rreOMBjVj+RybyVOcxItM3oUvNAbylwf+mJl1O7hUMvkdI Jqne553gwehklOSdK3DdZoRvXHhp9PR6Y6VPJzcP0AdUNFJAz+rFjbjwHTUQDCp2EjF+lBR7X3nF LozAGgiZkfYi0qZZ3VstH4J9x4JcU1n1gTWbM1T3O36LXtlYVcGFW6dYsTiYfO1fX+lJEXFCshM5 T1MeimUN289vKz9EvNZTk5IVZkyG0eUPY58b7x2q5BTQG/xvWJ5tCe08U2cf4Ar3Uj5GIpCuNlCV j11Y+u3WsCLOv3gMKHOd7CljiuvdmQWsuCM84ldFuraVLjyizdwaU2FoaDzHXa7ApiKEa/mwC66c MGsuGBlRGdQVAvzPRQf0PRB1AfurKm+Iq/f0u7/DmTVHqYPcnHjDB4FXiqHg6E1j/KMDLzP/wNzy M6RjDBcfFQyrpF6LAVj9cStPZ1H/awLbX/0XH+8T9Fd6aXyr0f2xZT87vwejDr0d/SaAXSbiWq0K +LKgmIhwxCfT6WdaExrRLaV3ws7OMmf0FNqamEXaKET1vYG0SgQ/cwBZf/lL13bRkGRdS0E+oVdY AS6TTF+2BFET51LSkL0YLuRK1BkBbIsriEdtw3++s29ExKAf5inzHrDwcQkh41vLBCAOijG/leXF CrK4LiXGVR1xM6qhsFNkOExPW8OLdAsaxdaYIm7vS9eiKkrKjVCtYIWid84ej6WNnoNlx1/ALOmG JRGYaTG+UaopeBii3yVYYr75p7xKNPh9Zl3MH8iKiBL2lAnDGDwC1ZssSJQUZG4ObnZMS5LfTJJh icXTf4LL1Jh7tlIOSSit6yPBZJmYf9tQF/YzM4M3q7eTWsGwCjyPpewgxaPMZ/j0AY24pebmBwuG B37/DoNBs1qpfgZLf60ldz1CVOHlEZw3FITJJfA/Qe2YO8T+qvcZwkLaFaCctYQ1pYCynWM9H3Pq JApTxiFZ4Pg26GK1gm4w5DNh3auIRJ0a99T6ltzbslqG+XKE8NtYhDiyEWmn/xhiF0Jy+CCOMw7E g6qfnTr4EVs/eUbWKqGcgHgquAvDk0UXQDC+ma1bxCF6+5fwRaeVugRCU6ejF1vFx6UehrTrxqBs fv0wT+8Uze5pXzBoZnVNCTPIWsZkNhzKmkloQOyUv6B0pv6yT0aH4PiKGZy6XHGVvHKYRlmzaE7K Hcf0cdyjHkMzU9IYNY4+8skvzooiJe/dw0tT8T+PG5P8idLX79yqjoLq4WxBiBkhQnw7YWrEpIsH jlgSXy3CJBgd2+R7rF17OA5XFzKr2TnLoVGDHy9HDbC4sbVE9u0zMbPZHYIcYPv8s7GoKGPrUBR0 ZFNfy6BjSPbselMjAboGGscL/GjQtf/ENGeAKmSoXGuL93EwA3ZDdrpkPKFISvDJDK/Ag9e6I9OM 5Xsk0+fU9BqFTSQYNYvRQsKNktGUSZQwDpS5M6KyiiYV5JA28P3d1Y5xwt54cXV1aIwt4LoDtlnq OMwZqnpt4WuaXhyj/Au9uMFOiXzvEnGmIuMAgpP7sJyoCzo2DOY7jX75M65bFV5tMyroBZT0LsBQ O9HGKl5AfCoR80Xbcd0FDIiWkSD472bctfjrIWypYq0hRccrIaBnocfpIKo0pF/14UsAlFEeH5L4 XhcrzNUThsrWYDSiLyCUvyxhuRa98VS1edPdaqrhIRq893DxtqeRxdU5edlYcUv/m4GoyvSAsjZO h4Ew2BVn2sPEaK8QsUZkiaEjwz8yft3xViMv/UsmUg/MAOlTGFITAWPiYREh/4K+RYkPQje0zBmy Mo8A90uThyfXrHSU3BZvFdhnCWK9nRfSYD11eQKdj+zP0u3KhD9A8wXbAZWt0fP8p1W3nJnQ3m/2 8d3Qm/3PDyI3dNqmWZ544E6gfMN4Nzm6cOQUBcbvD137RlmDjAdsu0I9+7GS/G7JByHRiHATfeLO 9QPnfsMfkuRLMm9qczaeOtB7Ssfud+00/iiSUK2wz+fX9N0Put+C7bRD1XnWBkeAiFtDEwjasC18 1X7wa3a7syNpvRsJ60qC1KJefKB7BnFbrBubjEJwKLeSgZwaZn+RFQk5dLkAPzXzUbyPrDuUoaGD 9Db8aZYjukL7MtOqRczJWtG+xf2w6vUpAHKB6cXP5K7uYNhIuVRWGZrxdcmLmtGXL0Jx6fmZ1dhR r37Ii1uZgZLMngFaModzyWPdk4QWs44aV0s6Sw3HOICbXeuVYGj9CeiSERUYAz72hveBwTibwNBU 4HXluVUPCMuk4n9lHCpZbfDBaa9kvB717Fb4wkwdBGGHSMFdVPUA6ggrXftd7ZGVtgERLk89xG3g 3vxrf23OUVTIhvfuT3PRDfUYCQ4xDDUzEaxAeJWal55pFK5vZiXba2jR6BVBGWr8of3nMPY7Kbeo CPw9j91I5+nXc3hwHs9+mx5fohdq4GsHxlqLwT8Y5UddDYwOoOlVvrO707DYMlAPIxtawt45RmtF mMUBi6dcvSnO6RqRbHT2g86vNA4xbwsErvqB5vAJ2vSz/lmYMaPosoHuAmhDxtPtofomdfjWfcj2 EwNvEXn+Y8tvvqHQXH+texBwzRSIFUSvwSvRUw+h9VpNgDm2mKbt0Nke6D09yeU1qeqvSl74KoJl rZJttE5p4lj5YCHu9gZ7BNA/WKfNJDLWSVZvf7U+X0EEuKmyis0jJWHErrh7dG8iiU8n7klaRjzU VHV6OR0CaznLWVpHP6Ig7uSLU1LhP4s/wMcBWLAVp4rEP1hs1cNQIOBtQ+9QLLAZycMDbn3nhSPE sMaj/oYqLPZ5/NI88bNoStR7a+/wAP5JAat7NRSzQ4cwEADEzm55HhcVJwI6HmXFZpHktkC5+f6T 8oLIehVCN2/5wu92tkQw52qzRHDM9lXYycCongAylYynpdLKyzq7hVI1ISq6aMi3lYO1LLkHb/u/ DbIvAf5PPdvGnd9N6KD2w/V6e7PkceIKCgkatRcjczP7hmkEavnqCoJa9PQZBVByhGZ5rYhx46QD vK1a9uMVIL2I42Fw+cDr1x0YZEvtPE9rEZ4N777AbSxEdlVez+m6T+h5t9S/z2GQX0Wt+tE9jPTO HG/asBXYuIZND1bi8msGGCbts13oDMXvtGdJp+mF2XN901sqPo6QWOiZJ5jX1IzRHEAk8aAV6nLr gD9xweTxU4pAiiPueRlswqXvOPvbp7BmPMcm5cmreBzDboalRTvwDQY6CL2kVLqbqIgN+CyPU4Zm S2CaBA2r9+/P0op4nF+z4L0auCK3oHfAAS6zuI3pKsXcQydW5J5l7R4CuzZHjDVupA0G4Ho30Egl vpVLZ94OmSH6a1iHDe2pbVBoe4f+KCrJrZPF4FiUDHjy2XCSHR5gc012hb4cVy9HOujvfYea+mP9 dD75cskBdSH3DCIcgW3C0sCNKcUxvyUSkmBzQhx8C1yqGs14pj+ECrJT4OdCHJW2TTDdxefCzIvF mYdATVZgq3IUrtPDPQRatb3B7ehH/t24UZxS924OC8Cya5BFsL7rVogCRZHdoCXgdJbc0/qEk4NP x7kOgwn91fBYf8RSbdu0tq4x19869KNQ1ruGlYJu+Nqr0Dl9p/tbTCIr+9MIxsn7mtPku52egaR5 gDSfmwirRlZaJ4aldECE1HD9/9sGUe0Dv1dx9bYrS1+LbcJYOV9VyB2t4HnFCRuhiLXPf/dMF1rX fwjOCKA4rZgqxFkx/4f14tBFKs/0xEsmuzUyUoRkql0vCSyZqmZHEHpNbffrEkeNnICl/BTBg20L wcUoOKIFtjNtmzT+scXN91aenYfy8cNAbWmq7sRcSu4ta5XPLOjs/5dnqobsKFKTgkT3RtA6lP2n +5bWV9/BwH1dbzNhzY011VlMEnBLyu9pjD/R8IwvH2wBIlvm44d1TH80dzoSrxJrSW43e0t2s0VT FICZk8P6+tL3wjxHDBTGw+6VHJk7OX3wyBKUlVhdeolkXkLbizyEVjzWiqkWu0xh7A4tdLiJLLxl y32vkv0Atvqe0dv/AdUhcYepS97kqI9MFnmP1Kjdw7PYMX0/nCbHO6fsQCOKWHZKzVR0zK/l4djH ry/Q0Ad0jsfObAG5CYzX+cyonZvMG7j4HrB4P9Kg+ghW+dUit9ZhK+/unexK7ZsLXq0FjOicWIaz lOWk2ALeLrj4MQw1KRx9OYlGkO9I/Fk4n+NQXGkeq58kRP6z+bxTaGUZnGMf1Z2CPh2gB6d1Zpb3 iiVwJgXQNUex+DbQn9VvN/FbLEi8L0wvE+tp2wc8Bl0DZGi3AQ4kfbRa8myva2saqnVdxm6zrJg5 D6YaU0WlPzEpZ38uH2r196ewY+YfVFb2Tu7rvVvCFrWNjxQfMvtueV7gzdL9G71gWgNqGmvBc2p1 fRUbqBz97epYwHiqmYwIuD53uiqIQ1cGfSzUe8upRRUnSK+aZel3WPRMryIxwu4gUOP+k4m+qw0h RyilVAeXdCV6qsXYV9HFdbtU11t+jrEP8ljEoonaK/0KtIxUGY+Vp9c7X2om6CLNaBJnGDF420hH QCdEGhmLjY8+SI2B81JcmfGJiyhhnHhzxk1ahGwhiCsqrq8IznydzkzoorWG+73i0ZIupPXV2toy T5GSxLy1ZXJghpjRqvERNaLC5laXDGOilwoLrigS4wDJnONhNZD9ukOK0Rl34R6c+C2r0F273A8D H0MpV80n+E0HzaaTNf1th/IBT7fYbRR1Kp5LCvJfLkCT8n8qABTZrHDZlrePManFgu7dYJKFpGfQ 7XFRXXundO2em6ZMjfx862lnosCq6ki0jcFLrLH034VRnRUxy8eJhwZh52Y8gtAaAErzI6l7yoZb LqeYbkoj4023y00DwKbwqaxHmBSS8+Thgv64a7oXCTspqhqWWIIz1a+A9byhhZtrIDFXISvYbtj4 JTc5Ct3aGfFP2XBoSHR45Lodl41udEi7wOgECIL1btAJ1sYa10785AbEt5LpPykwiHOp06BKYGzf cojnq2BTqa81B4jmA28FYijZVHl1KsqxEtuq8ybGef8siH8rDp4XKt7KsytCD6ZW4HoWWXcQBnjE VY0KNPfSguzGCrYwSTAGM+O+5BIbmStv/z83LxKl9TuNYNdMyKibDr5vjtPQtuQ6B1SoGZYTgps7 gZyuFOJVjgEepVkcXFaEkiKgf+RZKUOiJgvimq3ko6AJ99HeCRB9GHJZrFpGt1A6kpaeLlIFlc2t aGmQ+M1T45OyZ/zlR3CxD89dzdNTn8HQiPehGz3cJyNt6JJ/qlI/CFOe6LGEFj2xytOfa6SCNjld DfV6fT86cAE4GqNiCwejO93ZfrVgBz5EL3ykWYFtOQQSrK/kLoypDzcHgwthjS9G3CUu+8vGGmlv Qs8Cg0QrTIiK8gqlmbSQltfs1DCDN2rjVAvH2Nciw1VTgJJIBsm7y0hdi+JSY2fx9pRNam8diWQc ZoWV3bodh2okOwbsH+ggQZRTC1/bshcw0cKIFNoUvdT/D8hnqu7SjAVhoObwNYhw12Hvzfm4i84+ AoT91+KAWREP44A70hhN+ZsdOe8oOVe6gXY28PwFX/Fx6Cx7ZafpCzJBwma861dW1WdPDYBd6Qvh W+7qVX2xp/Caj8YSxbOWyzj2wvR6Ll3tD1qP+xkWX2GyYsrMJKO0VJbbUEjvHfagn1E+Ygf31gw7 wYbtecPCSdETwFjcPfiBu/pPhYlfzcZ3b0cmrhgsxDQsq1YarxBKnBTx2gbX0M+sB6iGBIZfGEsA lPEXbtMfr95HKkQPGIkipmq5QdGCprWjp1EW8AgrRdS1vlHtdtIlFC8wM++2afALD2RYSuo3J/u5 cJbb48nDeRIhmmAvzeozFAfSnTNJGlYXALHe5t3U+WUkm97Q0033bLc+Ce0TPL2fYC+lpGdskwQc EADNSq2mb7qRclNruBUG09F897DZ1ofAEdqKAOW7lR/hnLgYGlIQx+u5BTTX4xw1zFlDHaEtXq+6 NUK+Dkl9S7zWCmyq45VZv0RZIGs3XZXPfF00JBm/971QUJkbu/nbetyHCqPQzRnyEUM/Khu31WpP kFfhzIV6EUM34sKIrWmxAj4G7ewrmvxqRQqv0L5o2NUIE8sNJ9WcUAxXkgXw7nSl6xV6iGsdVQ9Z lI/tYBXwDdKZJgKKEWyWJ5PYbuKSol9aKuKiTOfkci8UDs9tNL0WVQVmXqVruzC8dtpaB/cE0duM IQSu6C48f2CBx23EtnN3GTF28+4WJ8dh9TX9d3FcIqlzDM+KvtOv4fN4dzDSMB+8YmhlXDlmOI74 3A7n+MKu5pbBA0ydIKj/FM7Kh3MrDZkU0meSv7ywA/el4l/0lcJSXxscFc+zfEq07A+yMgsmkR0z sF4nv2RG2lwxz7TJiDLX9z0EpLpGVPdP5z5NMxl+m2dUFtDzNuYkIanaI+pqZm1dey89lZdje02F sHWJ1DaFeQL4SZ/7hUU8m9yBFNSILxBnvRA2B5IPQ7LvyGhj9xrUUjdR9aB8LuGuUJcAR0N+FTe3 ZKRifor9r4cNy1nIvA+K+gvgkU4tvpjN4RkhNrrv1kEGNs5aT+efzW633JL5EWdMiLvPnQR5RPnF Cr+W+rI+nJp4C3JFrUmZTp5ScVqXFJO6+bThh3z3dnID1l9lEcF+iUiTjCNEfOFcit6vFzrdNyKB gfA5YnDI3SCugKjzsy1V0qKvRSB2xqBMr4WKpbZma7654SkkHCCCM3WNbfu6s7tPEIY92EcXYXGJ 58iOs8+yAtmDQ/MVA17vsnd1pR+rMyo4LJfCPqIJQxd4bNNqrgED3HA0v2DNaEVflrTVka3QhIMi dyrZyXNY2wzVYmBkOfj9+KnEY9Pmni51Q5LKfxmKb9Hsp+pOQ+gbiWmWczAvzs5HVDzIoe7Lf026 FshT611vjBme6lbe2byedFfu+KCwisNcQw5rjOknzNATx6N2XTRW6QyuFXWk1B4vjlZrisqXnysf 5i9kplykgUZBxHWd5ZrUMDVh4N1/kCeKr8EFS53VySf+KoIyJuBof673JLlaERpc9T1UaJiRaQbI 1OSpH9054vivBjXYHnIcCwon5kgUGVPM3J8KaN/GVF8WqzUsVrFDgnijyBaPB7EL+xk/A8bOIPxG PTW3JlYP4K4NBVEPMCcE/Crd8ZMtMln8iNMs+qvlSoqI5M5TL+Ct6WHt2FN9Ff0Tw/jB7olVxFji JnuV4XH1/qel0pg59Oxj+KoTUaRGZ42/BbSl/ic9nRjQO0Ko6E+hXGkjVFBRvdLjYmWih2ipt78w TyTfJTWTA0le5rsPE8lbTTcZ1aoaLdbIGHrgpcMakij9BOfeUpMUGSqTFi6Jx5UtCtctQufN4QUv QN20kRLfDO/d3Xvj4eAoF64WwFZS0FeEr+E3LYz+I74orMnis5ypKji1tqg0v5+PqrgHvSsey+vY y69s/ZoU3XEKVbv+NzlwEFFV9pwvrYa57hVdC+Q6oFL0DAuF/N8UnPQaPeGecLFmGU5HkoMiAjEy hCcFPG0CbQRLEyPYF/doXaKnf0kBn2C3GPLPGFMoaH9/C/yCidEp6BEo9hHGC6ihPccN19Dz4OxC nuPU2eMx3A+EVPijOtxCghOKisvLUuf5PE1yttW5KfJy/pV/2RKqVOYA+yF1GUkvVo7GgdsgCrsn G6F2JxtmtKlPZ7PguBuSmWQsMGrpwzIJQG1l3xmQ+AgzPDK0yDttPKrqPOEQcg1P/jE51fopWfHA HanxrFEbTEjS2t76EGhQ8U0oKfXrnzUFpNQJoo8GV9h8+iAxflNkyinG42ujHsNZrQe2kf5rP2zk 0PE2yHdr6k6CTBwbY2QedvOIvAxOx5eNDk1D1tGvfWWngthQmlfXamzDFFm+vRAJ4nIsQriji8HS v/8Sz1BqvHYic6S8Pt82hG11v2Ye1wl35aeyZTkGmSE0wsy/ZfbHAAMyVSQJbkMh/dY7iu4DHchH fkxhzOg6CBLVS4kECxn+YQNTUym4EwIqiUshjev2vTUTGqzxSixlK0/AI9vy8iEV767WBbZOnxL0 64LGfrmZdGS39JnbaGqCf9b5zT5e6csqegnZJydozMtjvBlf7Co3T8XQZETlbt8qruiQXdkymC9G oG/GdOWcR1LF5nItL5SEFe3HQoEdbWYJktHcj/29jBKxFB/S3iIubEh0sWxDET18kKv0r2ASAbYW qf+8T5C0NXJxmlEhP5x5jK4lr770qlEdcau/fafZsa047fhrRTtpmz4FHaKvIrxjyynuHGh3aP3L eR4gkTVaDJ+2N2RicX3VXSn6f5HSAlKcVbEf87UouZb4q4t0kohdSzta89CS33VYsxxPEVPsN8OQ uPX7BzK9WcfMOCF/lMObUFtwoR/YAlLvHLbfgMXpo9BgoEKo/AWW5ccRrg725sNSqPEKDMyQ/tUJ uwp9EQ83CP23wRr0qmDRJ0bbZ1XTXTPm6u7S1D4YpN/yBTDTqosdF1GYhZJL55wxX2pR4YL0aAEe F/w+eFn7FdFidyS9jUTe4SlbDiGykRNaaGDXj/1zKPZrQj8SdaWV26dxvBBxbIAYmG0xVBly3zuV 0SN4FDEturI2vYXT3PMGLKS93/JPGvJrMeuc+0p+NAedAcChYeL3SKjqjI9Erra00UAA9ee59oAB iRXOdUxjj/N0BlIipAuurFQUO9vl/dWN+dq7/8/Jj3dcsQjyUFjQH2KFAiR1dXKbohjChAMw2qwu mtE6daW3r/4k9vPLSb+O69afC2C7dLGumuVLJe7HaNfAk1iSIjULCKsTYmX8zbg5J9pMboFQ/QiV jFu5sRKPUN3FSI1gpJ9HKx1BWYXgJOW1dIW6il9eopbf/13vndHMectqxWX1Le84NaKwpJsS/24o kkbAURicKAUQkzgBZXB4VKpDM5FBgPUUFs4Qd0K47I8BtiYTUkRdDxRp8Ptj65fVbZB75m9rUjOF 9iqg5TFF4XEmUqwIE3LaTm6ctNXzNjUKdmw8p2v+s+hvsCc+NG9nu+cQG1kpKacER2/gwS2XVNSN q5HRGOHnFYx/Um+YTH6nnBVS+6KniLjkmL2SE+yauh7U31MeK1LlPDTXLlgXsL7kzj/eJRf7zJDp Qgmwsi3JJwI4BmXJbSiZY+doiTrB/h+C4KfSNT8Fu3tdRA9scrkvT9aAC4lt8C1/QIe4j9h2PPqF TrySlIb55ZGBg/zkVIqszU2c4WkSASO/rlTGkvtlnxwNGZrvz/GRC3H1vVbVSkp8moAf2aoqoiNs rCniQwVDrE196nF1qOH6hqeMFCajK03ROunJuCkv4YllqEoAVOdtoSz+iETF26ZfN/Q9MCJmTohf 3fDO/qjhG+a0+HFdcKJ37D3rwRgyZMoFnwXXRocGCZSolSbqVa6ir0eq9UcCsb4tjdp69c1XBWl1 r6YqV0FFCWGvOyLoWGvK5vSeddajGtPyHpkRnok0jbkLVF/o2bngB6USAdYYrpuEFS2O76+bH1on q2crQKV4jNT9/JTaiRQWQWrd0yfHuWw6hr3ucpwY2B+zW0jwZFQ77w00288VcYrNKtu0hs1wkIat 6s53UnMTKDTaUffBNONcf9hiAZNl6FxovjbrwBzvBuDHmwckY+qigCjQ186M22pX4cp/j1bpzFse x48BbQ5sBdn52R1czYQXTQc6LVuj49SrbRCM7l+NjBx7RcbsONnBvH+RK60xNV22zEwhwy6QcCwM zMrmFhXUcbV7T+MJdfgABOQx4o3re2MXomGV7Pt18txNbUyMq15Gk7yefsfCr5AP1bHEnw7sKu2l r1SydSbq3OPRTSYeVhvEHMqvJCU0hAD/fMCf6Ld/3Ulh15Vfs+KdB5imcmrrSj/qlHYewMM+I4/1 Ghv4ZFuEPSX29YFtXslNz9VeO0vZwkLmvDhfVO2KjUD/rLHId0P+j+lhm/BZGbhLn29EEUau/sce flw41QpJy6Twt/WokDCcR0QELgs1kQAzGb1kbN1t6WkmjuAhqcx7i51+Qh4kSnNxZGe8BH9bpkPt C76QaZymbSshdbtY4Q+FLOqTIZRBY71u0YmNdeFazcDUTg2B3PvY07TAHQCaID6bxOUqKKt+MSu0 UkQo3rA9HR0hpmbd6vh0vtoJpS+zWlsj3lF45/RBvf4fWV+GTDxE/R5UJMdeGSOs1lfqOC2/LVjc +C256x3EpEgag9zaWPt8YUgsLGcxWP3VYJU42TJ9uDxbtHfq8VigEDSP71mgi9UPegWrkkGhOGG9 rPO6zN2gjlz8XX5hbW3D7Y+oS55eO3DCMl1z1tX9Jp1mkx84IBUco0YBXe4zcWTRxe6ekpo4hf4k +4X0s3gd0lfogJE6WwmCtKGbi+YwXhYaYUwFlhcP7RC/GQ8N7Nd5mVpQv3ZbtqBc6hrhDHcpWt20 roXht0mTCDxMU+0eeWFznyPM3l28FgAkLLaf057KFc8gcWogE1Xs7C1J3WQ8+Ls1G3oXGRdgz4DA yIfPtcd2VbpPPvEiY7elKUyBMlXzrxoiXDyc2OODC0vbsn1ZKSumQjMfedP+mhSOg5fmYxlSjH6w sylAAj0LIeYOZQExqw9PDt/HA9uIpCMfPIGJRA2WMEZcGkURU1r39oU5y5E2xC6SRetnK4qIedJu mjrGitLO8GNuVKcPoPWAG57BSwXwwSkBcAlG+gIUXRgq7i5kPh0OoqPSFYFTmUWp1JAfKbhHkcIb MSJs9YORcxg6flbjsyp/CU15cTB9DhjHD6DKsHGTAtWeOrAJRbLM7ms1T6mgH/zQdQ77A3RiIeYD X40QTkeRShlx+WdU6yzPRQbZnoYYz4zGb5duEJzqDLPCHfczilvGy7XcQLHQU5JX+Ii8sA7/CdEz PwdlEYs5Y/8gJ76Lv6uU76WvHKZsFcJ6v45U0onBnRuSOyJTFOhd2iOFSa8fEkLsqJtMi5WBs4+A 0DN6ZHTeO7YzDmGw1mqcepc4NDbkJf9j6KW9yWnDN6p18oK41lYfFoOc1LjA0kBH1HOf424b0STI HW39LJwpVQ/UKn5XynUSY6Y6xKb+RirCycF9c9c0z1xIhO4glBcwh5435PJFpXRaVg+1HlQR8YEc N4nDEms4xt7AlcuWDTOAguPxDzLaD2QPdw25CvzfHN7jYXHZdqtFso3A6zNze+uHGSgN/5AwQBSh nQY3D/nAbv/FKrXe8/+gaaEmhYhhCh2JtFE+SstpXqjQ+WZPYMu1oujaXp9VozGw8jCIfBHOFnpB K5n3XAOGgERzURTapT727kRR9dLOhCc58Py8NTGkxtZth+2YE4X1OFmmzVBkrbuoc5bKPjYTzuiL +PqnUtPJNAi3eMon5dJJ0n5IKtlWSBdzqB2BNp+E/htyt97AmQiFLDvri8iXyZ7y4C1v+xqu5i2u McA5FzKZUc4I2i3jhnHZyxK88H0rxYtSRob6nINZ4iN9dRY9AaH5KtK34sHX/Jkky11iJf/FUmzu N2kVaxbdWOydPmnXrzfb5kko9sI8gmVKnmHNc47R6a6wROKpHgUyeRft9gZXAXAxzmRNFrCCB/P3 ZZiTNZhLsQFN4QzBMFz2lYn39TV0w55UipiA3fa6wCvoDXNucAnvtZehpEhabAy1H0qGBRJ2ojqO 4D6Fd2mYQAT/zmY3A5A2PMTKkkc5s4r2Gg7qmqOBNTvmw66XSBMsypN7pRkE9Lg03BCavykIJH1/ IOOXotRMw0N79ILEZ1HSlcT/92rbGzHk+MUplPcQ9gPi0Aj9aM4hdvBXNDD0qjYr1iMZMY2jhgU0 M+EuLxhI7YxVGL8owMzTihXJOd3xvIZNKo5WXs2KQGIb7RC9Ocx1S6Xhny0MFHcMkP14gQqQ55zN PQqEusfO/CbAvp+COgHlHIzJ1SdtHuotjDbhbkleOEdaPwKmr56Rn6eyvimiFJ8GnlZo+p3ZzmUS 7tFepUxqeXrHx4puvq6/vaU7JBlOsMoczJRDJ8u2b5WbVZc283HnKtsx15BEnHr4pKt72wyYqaCF o7gtYiHF0iO7x3ZlNc3M4QG8ocMhmecH7Zs9zCmjviUOWisG0pLESZB3Bi0mtFASFAbHJzgU75qA JXbemBPMOyo9EgaQQ5VhxHwMlfJwnE63h31l7hDPbiVtTQZpRqAk9x2QdX6G8en4NmEA96co4gli dlO2kLjt3tBA1vyLh0rWJ0lbyFkhOhBdu4quPDoS9lYBdoFfa9q2gSJWF9inn+bvq/5gmxDvTyCk eleBbo7HC1UKwEsuqkFQGQgxEaNXVVGd4EqggytcRhpjjYdozcC6zw22b0/yADwziXcaTJV+004I posW+IdU8j6JhBk6KvoSCPYmg6IwGpO7DGGDwDJMtl53AqqZHtzkhoFDIBPXMLfHzoRyl6FN+EIj cNPykXxhyvPmm0E8Wfw3cjKIQMPL14U5ARLlEHec5LYsODQTUIJ33yme2VP27Hg0fGNXx6hrWsVA aqo5UdV2vGbhGFvRlizXS2IKBWsr6ZBn4rfKgaRzpRIbByy3XBYuBnJT4vcy9/27tHQSyJ4uo5V1 V1SVT2QDuu8jf4hNRueucyIrL5Aj/L3k9niE9jkgkLKam8bSRMZMLPFZXIonA8r+hyP9eJluAakR 6bIc1OP4zxWF4fu9FtWUYshkZ9PMmZvbqTjfosBYWx+nJrhXtnVzFMRS1fPZxFKrOICS5wFd+u/t V2KEo7Zx874V41o75SeGvR+Lahd4HLcD/QW3SqUMFY6NHZyqENgFr6FptF776AWg2kMEulAB9kns gXbO2HPCHjKSk+iEZsgnlXBEOzcbxkFKX3IPOuqMDSE4NApOFMPDZ2EvutITHFoMnhAdYrmXV+H1 yzhcbiB2VzGw54ewobb+Hryzpd+tiq0QE7zO/jdNGPT57FGe3jrROJuS4ZxOZDGFthdk6ofUJ94w gP340pS/enGPPVKfMIugl6AyLmiHKqU6N3ffUKO4YbhXVqiRN0qlot3Dq/vZ6SeGkW9K7IcA3GnP BZBTvLlo1NJdxEb9ADVFRd4SDGwdagTANZwXL26WJ/lt72DFe8SbLc3pXdk2XzxoLi+uOkdGCW9W UuVaLkIXX38iYc0nW/ypD9OdITNPVaE7hnQezJgBMeb/N96u6f3br1DI7Fk5pgcon895DCA0dchw sVTBTVVmvI7+8S3dYui1WsFKPooiM4wo8slwImAlhAfJJIkHnUJ6Y+hQyQYrQECZMXAVxzS2qkTU eRzPWqXgGyJ8ck+UmRXp+ISZNV6ZsDNp3b5u5/N8FrvMYdk8vov2JGjUB14fwmn0dw2cCPIyavJU qopiPfwIOJ2Tk510jbhqRPATZQwnwQ5st4vBnzn/ZWjg2wwJ/17G+9aYVYSjN+iFRCAGFED7nm9+ xk6McCm9QQvKf8KI67X4LMrPUaHVNpUOxppDDvoHOEA6HdIiRNZCoxYJMw4rhiVEm5CbEfCdTr5m bMKFpfKd7+bSnoCMQUbDoYH1SzNqFAAB83rY2CTMD56+Q2A7SFSzS06dLRGqrLNavuWDM6uYdp56 YjRcf6yN9T8FrCd2MF9+zT6PeW6CaFqAim854xPw0WGpbcsiIBsUKGu8Kr1nUNsHGhWyN4XpQZ66 MQWqKt+OgWB1qgAiVHAQb4N8qQC5kpd1Haqftrz374yVEcRD9za75PlmdFtGzN+iOBV4GKmh3j5K kBvUY5ukANoyq6YPZFXEu9DhLVdDNwWDMzxXcrx6rFrvSdedOHw+Rd6KN/yZpv4YLWRlFJ4a5O1M h9gYsnvu21SHjIh9ZCmxeyKTdU/fx3pzX8X8otGePzWrCs2ZalbbzLfwhBXt1VekgXuCv3hbtkFe XaiJ2NUZ+LnrkhVLZbelw2MHE+wpM2kg4xrTwW9wWFJmu06hbEvoHiDhMqjzlEYsquo9xxM5Cpm7 tfyeQpkub9mIIbWFdmpW4IWX/z2aWKxq3dzeqT7GEGuwuPxR4LkaXMdIOxGxM7WOjbRmA0vAwJD7 RKSafoebsz+uiEmtlh7jCX8S04dmRuGFRDCLug0k7xWS6b/YzMPja2Ktz/klKT7iorKggdj9KBbK xbD4fQWRxvg5ySyslbptSZKYZg9XOpdgDB+N4BfDbXDgZpD2LegLRft+4Nf1kUdAljrgDVO71vWx NXzFz1Ucl0Vu5l7QiQc3IcEuBd7MG3j21Y/3tfuSjf8rYx8dVmHVkLiKdIOgc4p4PDRuGoCc4ypr IPYOBT6xER1vMqyHS8blCat9WYvM2d7ejogHUioRpgfqu+x5ZTqBoTw2LHNyEs5aAuBzhMK5Jg8x JhvVEGE1WptrG/sQaaZOHhxNUJEMsKwWpUdIJyLwb7/8rIlWe9bkCNZgu2/Xfx4NiDQa72EbTbPy YBjUyDPU3cUqhgoNKB7v91aaHmz6Bpr47cFvLKalobbuQfKnndscDMnbp2bX6TXDxS38ZBTlDm06 9L//JxZLXxAfPb7Pghv7uiHRls7/s5MGuwyVZOHdxzKCq5FQJ3UH5u8zDTStkFD9oIzQxKejirII CLtEFWyzXWLuPrNsbVCoELRILQ9LncpiXSf0rDXMTSpM6sUINFD7uq/IK2gQwDQ0HE4ezsd6QcFa 5VQkdyHHOjFJ4yKwoxnQFhEIPehD0zTBn7aPi3xiHKsZhUVN+NYa2hNGoj4i3csvv8qiLNuqHLlB WTXRn/ll46CrDf3jz2IsU0eUY4UUQnP7T89ZCueD82clRwzGfIeQKdrm5BH6Bn6PTYcFu5gff7cU YquTRCx8IqrULKkEVnv7pBlEPqFJdt1Q8AiCWEztA7ykDyvZMiPC3XQP6DgrRdUMO8j0IYURNIrb v116Ph+AMvuvDJ1XjQ004Bpj68uT+R/JEgBDDDichK63M7f0lM9vy9qa2TpCJN5XMDQTDT/zF+LU leJ9+D9v9sdMa3cF2vOenWwcU9kMOv9wqIRshj1zS7a+fchZvRKLqn5fog62Jgi+pzEKVfuL0rF1 zaBpxfsAVsHTQ31UgxS64/ISx31QlmB2Fo4XTHxLc3Bnj5X/VgOzFZXlS2ivNJ62JwvznwnGVSoG SBtzE7fuC2SP7R04RU5rBbvcrYrVEkicX9ZMqwtbLylnJhDQ4+uUQoNQ1OyRvnFT2C2PogdzQ4Ql K64Y2fx0A2GSx3cEvyJCJlBnR/NkSA+QzkfXg75ZVIHePY7XIg9TCfMc4+Rua9O7kV92emfTkZoO TEgXJwR4Rd3tq/e/QsIr6Zm5r5lX70bdUbvsmejidqxqmwxt+OqQZnJnmke51emXY5zgliwA5Pfr eWRqEbRpcGSOAUEgU5EsabQmfHwDZ0OytxKZqCpM8B80QJAaU4D8IwS6rcPfWq+A0N4QN14S+nT5 SFY9u2Agwa4ZiZJFPKOMw+4OnQvMwzSGBPXZGw2UCpuvo2EXBnb1KuSdUwN5deYMGVknYUpiTIf3 110ZikZLwUbbja9UjveNr7ItnQO++QBJ+2/3onjvXqTLsmTRn8YMAEIeeofC7FxqipymfTPKQQva 0qjyGn4owsNsWdLjdfd+WrRp2dOI97f7hXLMcRUPDGyO+ROJ7jgNgibYoa2a4myzWMbsQ1pjrW64 wpL3i5EvTcBS7jJt9gSC57kCvwgAdhGR7IGnwE6yu0omclVwpXOQkwRGeDNZIIQEXCRZLOwGg4eY 83rh5yL3tJ/CEvDlGqDzJpdK3UBl2859scdY8lq7PxVeDADqfl51bXC7b6le2YUhByWQB/zIHUN9 K7t6qW+akMN9IpHpCwpw6TLhfpZuLM/r6Do8u6h1lymS4VDs5jQOCw+A3eU22JsTftxbhYPvptGJ 6WpbKG2jPIfJuatGKfN3Wm/lYHOfsWUEd/6MGhY6FMJK1ESJ8oHb4DL6MepEqY66aGpbhabaVVPP L9s0A3GhiA/aSHr2FCKCZEUOTJuy6wtFuaSEKgkgphg6IN2Ag6KGpeADT5JsDZQZ3S3uKnqq5NXL 9tB3HNZ6kPYHsFF+KcxpRpzoJubFuX/WlCoN4gifXCwK3yCk/lajh2MjCCUDVYJYx4hVYCenh/Rz KnYLkup6Qtd+5JrXtiw3LeeI4P9qWCTr3di0iS0R/4E2r+7wIe6lGqoJ/yeRZKTGwFH23DrEJ3Dd hP1FYG3EP2U2hQZAkfakDvlqZTj9pr9DZjf5DdKkoDEJ4ur8ReMUiazPHQ0HeeLMIcq5AYtnmAei c+BSlKCzyRf2w+AJM38ChMS7CBMe4qIY8wCTe2/BShLr1ISoTNWD9ENHCv89JjEhxtAwn5IXuOkg nRFhxsmyLjCEc4lyzdC2giGFNEFXRCgnkQXlyBBUQ7uzSItQ/Xggxgo/S+DGgEOUWk9ZYbS38ezG yDJ+w8Ub5V+dpBd3n1kEyxL1AFz+wyuH1nMSAw1z103ojncPwa6jjqSbYJJ28kqd3IjExrQEkPQa MD/n2FHMh5FBBDCnc/Xouutsbg0YcUZJdmX4d3hP1sD/8L9bWIGjLiWcGHKXD0SftNys6IfVmrFs v4MOKeA2aPSpR9k+wIAr+8TtYQAnKEJrGOriWetmDTjNY8qjG4mgTvvMrPDLAOtV9ocI39kkd6cw Mty1Sa+oOWFdOUzkW0U/9oqtw14hTQCYEjjGs+5VfMB96bO5wv6gYtLTtlGljfvARxxUOCtfd9HO 1vOEm5LzMTXvcg+UZ9JpE5y16BOcLttl4mASLB/nCPkvoSY6mjLxbTL2MrNP2xeb+eoGgvqQHW+z JZzZKGhsNGgnVA+6dOCCZOQoq5jdxNZrnzdAWRrfS2SXomOcr3gWcmqdGXAgPDsAgGaIyyckykJn dcgGueDeUItH2Os/79J0FFXDuGovqF0IT68vvvsh+ek9NK/U2D5XIg5QQH74CBhQXWyU/2RGCmYe WRlegByG65dIvKLgPI2j9rdkx3/9YSVFRWoK2OWTIo0nk6SJOM685sGaPwFL4i0nE/Wd0OzKhnzy 68/5MmSn21RM0Uc3mY2vg5Ydwwkqlxp49gLJXTaDp8QZMFWd+ZmVGJbum655wSzNNuN3xlbNhkWz RiSVVPuudrkQhMC3mTkhxj6B6n4TsHgCyatqoVsm9DRVaQeGbsF5H/RA5RmSzOPDSGLMfxZjWnyl jsW134enOvuvb1TsKJWwcxyJUWvKRdQf8RS70r11YarZ/hj6QXEf/W0aP35uoFVFh3d8leAD+oVa PF7Vy8wrhOMZtusMk6avJCBwCHluTpAsuBEg4uSOPbbrqgjS9NPYm5LBgx9Zf2co6d52CCLrByAc 6ItDvlX4tJiJCMcgm3HWpS9mSiyrK0rVIhJER0jIYw2N2vySB6Z5npSW72hRcqZUggUpMRKMcVEw dnvYcypjtx5jgkhZYE0ECOBlCQZNzNNKC/qnt47t2WeBpuht5+oV6gvcLBLvvtQGP6SkOIuksKuB WVnEkhS5yeMYT8kQijAn+bDU5V/i9BdryAIBj5a1Jh668Ic1iFKp+z8DYTS75IQD8syT5Z6fErgC Fa2q9IP4SIGZmvgAQJFhP/99N5ts/x/5MqSJ8McpVSAIs1cpeAsOXbOOP5hSd5EPlikGXT2hxD9R RPXx3xOgMAK0q7HaX44r75TORkWAS+2NHKopy0XoYUUA/VfWIHDOy/M173QgWWXnmDKnZTWQzlkH zstX7/HlJj8IvNwG7huFmgIzpAwhWhDffYuCwQslC3orj3xlLUINmJGtcBPH6dPG7Llj772/jygH ZqV/zFhhHQI+4U3jIPc5lDt5aL1F392/s3k2RSM4WwNZ14MR3f4OCvy/DT1SudsiozH9yZ1bX/ua 2stchjW7CStHqpcN19SiFcUiD9GfQf2JOrF7Ei6T7EeB/jI9UixWOUDGYgln4dq2uFCcOUtkt4nN dyUt6CjiHrK2Tk/KJ2O+J9ZY+ACiHHSx4ICI6Ikmf2J01WESNLzQiT/sJh+4QokcrsB9taLoRHJQ hQMdKzHrncQDC9XkJJ96xKxklMyXEqlTFF+r5NqX3HXYEs/IUNELXVbD4zcKVzzDSaAg6Ql/qoes 8Um/Ou7JFanukVFWNPMoYjSFRM/rkriKjS8XqMvF+L2SzCKFlB6LwiXgX24GjLt9U7dlp5Joftt+ 7Wo1M8hLp5EFhey6gj5dbRkpfJ3WoXc5D8DRN+0ywNer+MJw/5+RHsGP+MupbizBXYpUeUu4sDr4 bLXvcOYVOBrbnu7lYCCniq/7IRNpqPINZBdyfSn/qbEM9wKHFRDux8mhmO1ugNl3jyeV+kI+axbp KnOoWANXDTYr7iDCudonGJbZmoegYpwVgjfleG9T/4oHKA6E1NlL/vCazIcvBnVEKvVJGsukvc2A gys4y1HZLUVmYB2WL7dskCbDnQAmFlLngxJA+2L/PNNCQevlAFm4JVdxgXb57h3iarDS+XTMaM19 9sh/DzNebxj2kL9V30ysTOuPz0uhQPEmRpvujP62k8/5e1QMMo1bI5i0QU4u5x64x18d50QFxQMS xAZksrxZtpnNK8lal8jhbtGoQmhUbDZAwVpzLpVxduAUoEJ3gaAnKsAf2Bzia2vqMk6tZkcmcn28 2JK01qOgrfmqTwwSUdqTPYXEFOSCbJmDm9oHMRPZq3ov+amXl9Z6TBm4aLVUkK0XBE/nUTg5eQdp 3HKiFkjCzKOApEFKKDzSrXY2zMrCAepcXccrdM5f3EIunBKexZYu8w7xZZVoVqds/r1+DgDE8L0S pcijdngnuDSLpnnur5O+90mb+tIF8GjYir0icA//7Rwk5GHtx1n48wfTtKPZ9tkf7+l3KJdX3+/F F3luI9+P19ThYd3O4X7d/I1A/bRWDswmwm7aOpn0NFna2XeT8jMDUOFDZBGv4aC5vyOktZom/18l cM4cnDzUACqJCeo+g4FlVDgpA6x1zCAwNOn5PXJ0EP49jznHKDrqM7ZGSGdpxJQ8F2cnpJHtsXXK idT8nItIE7HcvLfcHvsYtmuQZJdY+UXgip3fICIanveZ9zPUf0ODTRAjxKfTmTZN5ldo8kYVbeEW 1cSDHoC8MMwxvX6ueP7kuifVFYTpYoHvEiNqVKqXwRDsP/naid/3pHOsHKfs20EVct7vt+Np7dYu uwdcV39IHydT27xTsV51V7OAG7xAaad65Sjo+pOu/h6sKoiT7rgKSZ0EN499sybdW5BhC/23CIIe D8tcgHIZ1hBur8dznaKB8yb6bKOi9skbUweH0E+OXdMA/ZmwHhWyI9N7lUi2nupJoUHwAqXDkXWG OUz2U9up78N8pMDk4st+hCEI8ju82YgN+Dg8Tr7ojPLm/6BA4sOycx530ZmvZ3ZHScMF44AkpT0K IRWjxV9TKsPykNhd08N4oJIamaZCwiRrMuCyvtZiYS99rhuOOIBDrs+DTQEbTOwAFqxsfuqnXW4z x94yQ6mFRAy63wajNO6pQ/e9nQHiAVJkf7DMrEe2CpPKCdQQMixHOGTCQbD0rnavWEkgEIVsGm9p FPgb7HIzy6PArxgSIDYRJ9Tv+wjYsAetUEoVs9YMRrCylcB+xy5ZD817wtT7Cm/oAohuJJPKaW1T XcWna5IsGIei9tShrsaDCXu6jxy4umxWkeuRNe5GWS6tzrfMRyR4oZmDKjDOlARxMctsi0j2C4/w wNIXN6yb477iT5D32eokyh3snELTT03rEGQf6ouVo6l0R5kRjQRAGQAMwSHTlwSxMwC/mO4qn2Jx ppOnQSaF0KMhX2qeVLnRhhaiPDwAivm3L4XQx/x096n4/YmXoVaCCGOb2w/lTr9pY6i8O/huByeq m/6reLyGcpkJMk701ceZdKbKoYZOpfnY7vHsgr3k0C79mguxn0+09aK/wO1XAj1PfLJOooSI29Ap nMLB/LYqw/bqtR4uC0Rw/qHdXKxkpBbBxBFRiBM6vOhh3A02Ga2WegP2j5HeLzhWdU3JIfn0Qeto 7en81CPsv+CVKOtLpAGwVBakDKOAKaVWs6kh2Ef6UbRoO5w6DSEtXw9RQsuIcaAzUkA1H9LkYn0h meNZ4DmNu0AblkzhS7A5TQJPdUHVhxNQQVZvS7Vf/xVgtc+M6sD62G6EdjwBlJ3LF89ciIcq+W/C 4IvIcy30Rf7hxkDSDvr+rUPKE9M5+C9EJkL00/jX98RplPc7/6252DWBS7SO72k6vlVuUnnMqAP5 uRb0xZR+qjsbrvgGR5Y4hAhDs0ET5kVdgYYlD9oXQGJI9bjJ9/b6VDav7DNwgN8CPr1vVTSzELZ9 6tjpnew5RS3I6DrdCwA6/cLpGOXeKcwLWuO8c6JpWJbnfOVyRTVOfP+5wXLjAlPbEiRvdjLmlGx9 Jb6NrIWPlKnQnXqACvx5T6vvbTE6evCvCAlOdAJWKIHdd6rMb/gXfPpWldcMVRQFeZZjLKYBFJr2 McHp6JVCD4gXg9I6M49pAfq62BcLyDEu5qU33uxhSPd11kocb5ifZrA9HCBuKQrNZk4VvnYrN2dG CBFVV2mZjqcKfEoDaH1uoNRp2+1gsvsUHnA9SrzOy4I+hGz6giS2Kl4Jgo0bk/Zd2MYnC7y49t/E jOZNx66Gw1kWl8q26kt9YkojechvaIX+nkcDNnBVFJwPYXQ3IOgoNnEVCRpTgiXx5bh1yBK8AzSG KsgRbo6gTw63CQp2n/41QSGUJK7z8MkpiPEdx6jBTPk5rW62+Ph71cKwAl+nlDKfKzTm4FZS7f8R B06IBbVi2/HMJhNU1Rryjj/qMj19lMfNQt36eiKZcZlkz8xDU4DtfzbLWKjRr/nqMOm/lCAsJKKS vh/6X31RHBvENZulH3rYnG1Duygl8BNVhrvbFtvNEvy3y1YOHSwujn7xslnPzQdZklbBAqP8hhxJ ayWjIdYFW6W7wxehtChLyl9zyVGWmfUN4cSes9Whc2oD+IgXTxTOGL3Jl947Nnlft6/qAbqklSYt 8OhDu+549Oi+ubZTxk6IiFQgzAzmx1ZfPDJYoPQm34oKx/Ed2Tz8dhb+tC3MudbmXoMKuh8lMZAZ GLtT6hlK0PLd+5zc8bM3LBdiRp6cIqj6B8nSWzM8KAspbBOtaM5Tu76BX/oxfqZnobkKDH+K4Q3B L2/LBUfrSXRYvjApGe9IoCncQPWn5++v482PtBsJhcMXgaedRLZOKmSlqUq4B7+GsYBSi/ld/TJG ufIgyTWqvFkmtnRTq43xkJovL6gqantYN8ae4J1G5739u+O7aOWw4Ez0q3+iKuVBaVg80OmDd9gu WRcQKoAf8s/DczrLswULPnmdp88hNLQlTPRGn0W2N6RHZ9jAvaKeV3wN8Y/ZTYDSK0nr3AfJIH7m oBKbjpa3f53gAYnlPc69NP+fFueDO+DT+MR2s3av3Bf/vo2bga1paAEtTJjbrtE9AzNeoWmDCHYy hEd2o9K746D/T1mQfC/ylgMeMC6zKJBUhA9hRv1vrMSYI9lF0hh5QzdUwu8+PpubGd0hWKLM7OLC DgaHh9dMQSsB/G25XgMMGQfDJRKZgqyXLfyNfOQHY9glcO0beQNN039l4kVL5lMRpgh0QEHWfIem hxrZTCT2mK2k5iY5hiOtlpABOUBzhlMOhP4DMGmxMq3kWx+0oECmfbdOocVe/DUxOyphg/bXbBnr g/4oisvVG4xP+cizctBPBlWl/+VtwgTGL7tzwoQdf7wSjbI5QBnOeMpCu548XKuw2FB3chwBuoWS te4T1UeusR5prBETHu+M4wysuHJ/apV+WEDOKHvqT24chYfedEVhZ5aJV3qOcuGrWSuk3hICiyVn Vy8Izo0szQz5VYr412J4xYdJwWDmn1VHIeiNlUugyjB6WPInwRYxUcE7CXUFXTFuTrChoV3RKg3e lO+TU5ekr7e77YibLVZmQVpLfKPREv0EXRNBPkAuAfY2CcnLg0Xj2xINYe0l6xhh6+I2L++uEj1N qNmjF81L6wmcnZXMC2XeFI2VkYOGETUXL9EcTkRRBZ6JmA/xCl31I32UOdUOoKVzVyiwecFd3c5s sxRRI9SLYmasoAlLSUybc/mBJKheUxQg1uBmO6diRWiIqB53GRs4kVCED54jyJQclAg/oL2waWOS 1h40tcxtzhD2RZVfqYKWH8uHXWEaeGBgMf/zevP+lWd6I28XYDxQDex+ADWayEZkbPtJvgGNHc6X +akj0odrXoM+J1xqgWcCaFFa3JsPYikXS/WieLbonh7AdcjxmFiT67E67Wg5uh1o33qUa7Mkuz9c WQaN++Qklmuw8YIql1mdSsCD+JpwkPK3f2d9tHa35FpWpmF3l8H/vrhAyx0hFEhccI6Z5Et0V6xc ur7Dq+pggJgzQS7/jmhZaPZwKYZ2go+f092GMDuQZv+WyS5ArsbPV17R6pOQ6WwiSpxLZFAmy7oO 91oxlt8oXuxkSeHepJTcH9sHoHZVczJelwHSh9+kmzreLZqC4sWUplbaCulS2uVTK3jOHrwocOIE 9kjMHWHkkjJ/83h42x3+V1tXxVm7YZHLBUXksbr2TVi85nCOzVUbVIz7bm4wi3XXJfr48iyiIQ7Y h8SCv1DiNfDq1g+rjiEHUkNz4wJfm8FA3N7oWCHIV1rsQ65VxqBtfECq6XvxuD4aCd0Xpp509KxW wkMhtyw5/W5zoEjCPOWI8tDdA+UuaR2vsd2nNCzKFlnMuZ/EqcTGGf8S0kuC+pNnE8zieCqULGX+ mRdjH1XH4gPRqSkSpYqqfex/5l2h1abWwuKGNzmWUdVcAinmLbla2A1QUdty+3e8HN1M65WjNPJu O5gcQFMYld6XvCbHQ6ouaF00ZRLBKUrMdLqPd1IIHC8ldIfQreWcfMKYHBytAgfzBFucHo6eJYTJ HUksXuiDtMMWeXCnpc5gHFvNO97HSlbnvk1uaPhLBXW0qME/qVz7zm0QNt6ChmL/j4Ro9/zQ0j7T QDZhlDqG5o7qq6ZqL8x8ihmU+tS+bfl87c3UVh9zV2k+tZEQl1ctPYTpufGt4i4yCbRcXhNLPrsg oL4OP8u0pS7lq7+rABhlFa3X3pn7e185qLwBLyfnWme1GAbpr9vs+hSYjunzsP0cnrE7/6rWBDvu CVlMgzETsXM5Yh29WOaDHVPJJbXwM3jthh/hb9GXKw6RaPOK4oeaACgPVQsjUf+lqZtF/8WTmx+7 taGoKraisE7RqJ+jUm4ExQg251or6GODQ1MubToCt4SJXdowtX8j30dBZRAv6GIMhF70glwbS774 4jUtapcBP3jjZtS3lL+nl2cCq8bPbxxDZDx5KwGYCF0VGvt7AwzHuzqCa5oeK1mUjPfhdeXGFQ2d uUEWp8q0KojiCn8FVSvPVY21iUvd3Irh2RZlK2R7B6MQm0MgjuYyyeKoB6rsJiiQxOsfHcAATCDj jGrURSTPTsi2sWVBWxiJRhj0i6v5gCCaSWAyLhwxmUZDSqutn955qX8BfJSCqMoJFJNzcK4An/w0 9sRpUdWL+asIDSfJ0uiSgHEwnGMMVHJwEoOtu2FfiJ1YPA5lVWMp3ESkwrtVIYOmr0HiKTyqaYuK M3PJNkNF9ACD5s1a0hQGiNz/ZCZRnSpTMHp7cpOnjNUJGtKGxtQArVhF8YxaMm4b0hJr0TOo2r87 49EjybE4WphOiZM3Nv9iaZ0rdHmOxk5wuz0BJ2RlI8PaUhNB5eTXttcNnOy4lDB4wupHtu9GAP8+ tNo2j28aJPoKzNNPMqGxBT6b7nGyZ+eEYJ6rb+nJ4N7tBsdm2SOUr2LFCQGVVebhxbOL1w2dl5rH i9Hi17eayQ6pSOFZ4RlFXlyeId3AK9cwsMfU7VXc61x+lla+P7/2FYZSvbEsZBz9cgJGxg8cdUCr lYF43iCBsJpRTLOfCSYHvxXIcQNhqtETlABW4FJGzs9z//6PDEbtYpDtCU9RFDZr3C4S3knZnheo A19xsZovDRnZk7yPkpcbKQw8kMkaksoNnNmxYr2E8Jtd9ER1bFKGnZlub5N4N4TgFsofdye+jLvY vd2Qvfhb/fR6wfGDs4ynELLdV6W1wHpJTcfsDW8HE7h0T1AoQ0BJ7YaZY4Dj8rZT5BhfyEwsGezj 6LxdTCyl+Wa1bDDY7DJZqi2o5kPq2zySXxFtzUkwB0eAlnXB3f9L4sXSkLRjJHTLeL7ql/YT99RI RSWtIOd4/ReUjbrkYOjJ/HwzigsH5F37zLUNxG+v4XFF0diTmwIf1KNLaEd4bVehPGKduHsw1iny 4z/OiNkXuNiH17ByI4IQU7graavqSDBL5/lYnxL1GjDy1M72AIM8uO7BA49OP4T0xcsmrRlPVB7L qDUsBpFLTGY5ZQ3Hwm+kc+DkpxftfHiz/bJaMz6T2FI19QT1mn8uZ3VU3Z+0XkMI0Am2IjOqxkiS 5a81pXvRKvwuJyLTPvB6Igm6CDPZaLvUAVPKLyzw7R0NZpTNZ1riQjAo7rST3fHcgScF+qpPPxwx h5y2nbGe9WdsD8zA7u8Mrr12xeLTKkv0WGWIk2v/RSu1qmu9mLojOK93wNNjmgKLbjUo1eLPF9MG TTE1TvTR1fNoxi5BG2glnX3k4Pp0u+uxaFKQjb77lv5yROXVU7W5wh8n7SO28AjohKdU68QBG4Mk b/6W0yk3rbezGKghjKvZj+Bb1YiD40m5ZP7+XDCHp19pnE70YJiu+J32b/SFTvIbTij9ErrQiyE2 EcQYMl3wwwno64uavSeRJ4o+RIoGSM8bbRmVKV27edYF6jQhC8HIrMRPFLb6BdsiJUNVWpRyW0EU U5D9EzRMIaOPGZIrbfX3X7QOCBHEuR98KZIxyw2VEwOgupP3bpm0XPwAilJtutuR/5amuM6n5xca YLktM6i0islU8BzUKN7qRSdsGzZFMG1yQmHlUoiXa8J9RlYu6CtGLQkdOEpo6R+0p9/3xYjejgP6 rYAxn9kbXDPELjG985MacD5ykwiGNvkoLpi+MqWf+FJiYVJ/5uS7oBvyFZD5HxSKoYrnx842rdLe wotn3kWvt24rnJjq+GN4UXDI167PeSlZ/X3J3aDDc/z0d+TZ8psoEg4fiUunNGJNy3y2rujjzFbs +F2W4N0svZREu6wZ/2/eTvEydvZh2m/2FQptQSKMAERH5vIa7+9+XL4ETP1cBFgj5T3qk1AxzfES Yh/dKlpHlK6C0iJdduP7m4iWpyw1yom0477v+gOl81Z0l0vIiktDuWEa6VSehAcPAqilVxGZbdag s7uQZGdadtNrTWjcaFn+L0nA1oassRF0kz4kTgq7st0Wa6/BhBSdNGj751vcjvjVNoTNGoUE7H4u oYQJd7JxSbqs8viCV3iOVHFnHpbNXfkIzQYAQRtyDyDVdiHQ48Z+7bLS84U9asBg9h/Y7gNVYA3y pi+MUcSWV6GN8H0k02hysX4J//ubtXVWHw+vwgwMKMnQLBVDcA6IYN8IubVbzIPbbaYAifW2BCMT 7safaV0b3obiBsP5C2OMN5ScXme2ufC8b9aYNSNF8oPG5DD1Zk3ODV3QQTzdVeLOFpOPH9tI37q4 B2qpdxQhP2LN/51en9A9N8oJ+AauC7xgm8iXfTGs5rXTpNBm5OjKGI/FcqJJtk/liM5GwaUoz2ED 7GxMaR3lGDOpxHXGVz604DFtTHjVt6TBMv8hQkflglmn9I8DVbOqBh1RRdxn9jtAQtJdj8NUCS9H dEMg7C+cTkpDmbNk7jZHKlOf+WoX8gMfKuA42+X9/WpUVbJWnLdtiVPAyno7put0cANBw8q3GOrf 9NWWUye839khAs/25iQTp0EgsC2JTJee80HPjQ8wcsc77K0HqzLSEpXynJcalxxGvg2N9yjmdTTN uKxawcdXZB5mOiLDVXMSTTeiaOQ1HO1vRwVhE3LO5FJ/n3A0H6sekR1T5B8qLHMR4KKHU1f+aM3X szgKIdPBIMUBu41FfEuketDhbIY64mujlncxbASh9GPMr95L3C9cpmi+Gm4V6Mk0rpELZaswAqiz MavjkWtY2cafDWQI/sNnsAfLTQwVHa3sCebTGX42xIOK/onHHSYEKPWlOGN0H+WcMRyE0N1elaXS Y+4K64R8ShahbnjT9fsYYKpDc8JrFY+YY3WX+62jdNgHWXGG/US+M2VyvjK737tKMzaKivmbz9cN Qbj8Xa1UAn60spawl8c5JwPIhsopK7hgFQXqi6TSXiEpQDVwLyWGI9XbITChc61TdktJ7eVdPhaZ rdC9PnNx3NUuAO1S9vJtzqhsGMPVSAqdZe7tElInxIoYjaJ+hjvpBaWh264Ed0Ou0G+lN6CyV7Zg ttsd4cgViN+LDoryORbklU7vDeroo5Z7zGREgHnaujsiEXx4m++12gQMAHyxfy8jMo+gYLIqFQSa vYzlv3hAuZYlYOzz1PwyH8OxnxQC4v7hWL4hChb/yOossGGAQduDpMcWVTWhhDdRkHJAvUsN3L3r 27ZFHkXvCck8bd4CVvLiEIhWNjUKl/k/cFfjfe3g/bPXo+g+m1vHjDnn6R2voiDojvnivZ+vkD5y mOFS07QLWuZdheYc8MZtH78QgjvabEI1AkNC6CMwkmlx2UnlGbmSbzC/d7AyqJiKx4UJR/LwEK0A 9qG5Tjc4LXZEgYHFUV5aHQJB2ygyXS5D116eQnQgm2qaOC8uvszNCBDS5deBBOVVTXlLgtU8R9bM ciEBKY66KFz+oWBQ+ZSU5whI2ItFu8cDLw9nHaxDg8RKvgEI8h9JEkUYxmjgzTL+Glv5Af5b1WQ1 J0odU2OkUt1rNydNIKkeRMKAmuQUc5AZCS/xwvqFkzVkwOqawo76gLOg1GudxJGgNKvlDDv3uztR tvIQ7kL80p6WRTFrkDELAAKXGUyONXrhPujX062uYJpJsOleNdhBrzcJKRA48Uc8Jixeoyko4KTu +CUAgg9aHNlKv/e64x3/SIZsKodfYWFa69dWGMTH8h7K8+ZGiiFo/MUXB5RAUuUVtSp4DRNH0ty4 MGFhDedu++xwCtxB6idsTD0YubgvVH9MRhZ4tHVuXxK1hWqOvm2bPEdpXuytmgeIoEj3FWN8MJg6 GBDxKC2iGR7SZhisZpIeppJUlk1BfLC6dqcJqtddBrNNmGJwaV62UeKfFrQop670PK4vO6u4T9DB O0f6hV76mT3fVfE0ZWiIBpp+gHyJz3vi04Wslj9/FcJGHS+FHdaS/dfR/9oM5xPnr9IlWFZLswHc w7zTKOyeDFS/xNJN594E9IASYAsVXxBVcdGX4EApR8FSd31QwWoUEcp/FVjhhSFPf5NXTsYpIP1A gT0yNIsbmqsQOBbG0HkgqV7gfuaKrK52NNbY1gY4+Sc6CC1r24HaSwZQRFj7Qq2qD1jxBUR8dzDT z3upVShRhaRZgvlSoEOdrWj80X0lAsf9EyxNVbqCs/iuOC3Ai1x77e8ZmHZG7w/cdZPoEDX6joHH vdlDHdOY2W40T7EMiAe4zD/ZXElWWPDMIFbabQo0qj4fHNT743Bhx6AbpXgjxYzhq560c4c4EX4O lzupHuhxYCgkgNsfsDpbxyhGzYWlBWboDBcYvedAGGRxkRlcE0U1h5SQSu6mo9SLLv6+HzHMOynO 2V48mJsMNoVhmdB1lP5wx9fGvaGXAYV+L2K35++oiBnNu9g+IoGCFcR0sZ9WwJxMX//QV/SfjRkT HyAYZj/wbImmAd0uWO3bfxc0aMSjwXwBlj3KrPuMFfXyFkcPD4qgW8X/4PxNNdaTzlf8zz6l1mPi OSsxvGPiov4gbJMVIwmgDVHTBbUnNzpl9B/ECGa9mkKIpsvOc87Ropwu6EcsW+ApbvthsGWJtomS wGvuIpINueqJtDDCpvHikBKL/soLYGmdr0b2ks1rdMT9w0IuMDvdyF+NFdpeQee4779E+3RovZpb mi1XUv7xTy3c3fw9PFxY4oinUO1T1kJ5/peLGgfGFutANyPN7lyoLiP5DH3yz6ZPGi9B9MUvRtjs UrjuMeEj34m2Wyo9ZTt+EIwQbcHb917tFVyEMTLfSfAchoAPhJpDU5JIw2W5jcin/FZjuVZA2+pb 9TFAJU1Pg7JGIm1v+x19DG/+JSDZuoUqsC7CLUtR9fNRMsZcQ5F8BzOaonaf6K8739zQ0fW7v6sq 5aIMzXcILZj1LwAh97YdOxj5eOXbsYfjbULJADgx6nyAzUWiyDue7nFNvIaYKVLeS2thyd6XFmDr 05S9g73MGvWjSIRC4Y/tKt0yh/OAj+p54cDI0T1A9GoWeDEp/FnoOE0IcMoKNVt7M325WptAqpGA CCouiB6VI//OoUhnhN2voz1XSs74NN+ML86Ya/OMUNgY2TogQ43P+DCdEwh9N22h6f/mp9jW2jHs 9HLaooV80z5E8gUqflZ38VPqpKziMdzqRHdE23jfSHASxt92/JW/6YCbXlf4eRUHtQBTgz2zPo1T jR7xQeEmNG9Qjg9GjPc9GUdZ5WvRUhzMbVTgRLVDKLJqgLwFt4/uZ+Iub7EQyxIajdTNqQrA+dZr 7hymJn7HDalki0GQ6F83mtBESP7HBE9UcarIV+xpEe1KMwqN+BUPzJ9f6hW5a4L5nIldomAuux4K oflgM9oAk6BxW1u1kNtdJ3k7EEkkzQ1MATDDSku0VgxGN53VFJqJssw20hBDfhCnRwx6A+qUpDpf P0lZga12uStUXl6rMeSEN8RWaE5sf3bSly7f6Q6rlPp12JYYCblL4EiuLEFO4MPNVkX/TrRFVjLZ e1A1ZvhzNv6FHBP5wMBna18XPIY36ab8efGhEiVqdNit9Dtn6Kw/k5dbT8vVmRTN+VrpWL+/G5OS rlAQ59Im9gGIyuanp/IZLPJLY/p1k+ifn5D5bE49dnxlFNEWYWbg2qvM3KIA5KInBc1qplDuMfWc GdBMysTGpiKK70SSncMsXqAA25DHBHeR5JTWMN2153LHvAGF+FacOzA4ep6Rk9pOYacIUJb6L8Vz wqjg3ldCoiLPsg/SPxa1q4Tb4pU6w88A5D1Csy3/N519MyQhqfOMX9x7WyeHsyp7p85Lb8o0Tfds JsKBzQ83MACj/CbnBljXaYcWVwiXuvzyPlykCrP8B/P6z3h31o8T4ETWsq0QlfqWkaUTIMcGx1O5 ZuSqxzTWLmW2sCEhvvh83xvXx6u2E3YGVAdPr0Vy2cfX557I6niIc7JPGMHGcEBDq3Raj9KN94fC 8T8QhLG6oIxKrRU7xkf5vpRulwFLd23m336YIf5JfOolM1i63QRmjXdlMBnvowA9tCG0r3GToo7f beHyskWlcZyMJ7ABeC5N/yjOs3qSzLU9fsH+HSrkLyZeK3bcUIdHB48WJB4z7HMgYwzgzfO2AL/P CAyCOHYfrVMP7ubuzdNQlk0kLjLQ5Fes75YmuEtlMzl7w/dpCBof6gBuXVE8cnPyIKtqcmtDr4BW zAYcOal9sAu/R0AOnhaMcsAWl+Unqwezll2h3zMdeARD5HOoeowH7XU4GRodZ71TnGPiRXW4jDiW ubj8iDeRXI1B3jkCMzKpg+lxSMnVsq800bxVteCEUbr3RXYqhjHyRYREe+e/G2v3mcjrWPqDqvk3 uP9LnedIr4qDOvI4b+1AfY1Gzgd1KiHeFaYcKtWk9ShORneTrHXyF4hmhxu/GPtRpv/jiFMrvBYP cQxQsH8pnV1aULUeYvK/dws0GB1QSxoSEfU0abBE/LNJPjOU3QWSwO0sH9GfLo2oLLIrgn7rSa99 51rt9wL5DZVcqe7UHKs8+Qth/uz3l7IZ86ZqL7GTgHWlTyj+wX/7Me/0P/k2TuxsqftP079kFAgJ 9TJWcBPWBk/GqvZ7HbI9D7TDe+bLoVcMuzu/Q4EiWMZcaeWnfu//N743prhcemf/D5JV4MeDnMdW rO+rUVHKKwr0IMOsQXTCZ4S94wYbec5UuDMroGrn1+xGvbJ0PC51XeAuuPR+Mfva777Cr55KShUF GZvo4+GDzpRajrP5fZwdRmf00jkfrMb6Zxn0LE1cOfABNS6zE8XkedoGp1ljfUUqJNXQY5rM9Xe0 ehpnDWSiekwOsArgCPomfrhWY2940yEjwhOQg7zItLvulceT1/YpSny9fBHmO2rswspkZke5ZOSH 0NlAD0Ak/kS1ZNLxx942tZYl8uGd8rVH0ZcZqthBn8a0eABzQblCz80I3719hRZ7+w+gWctNr6Q0 vw7yfjIkUKwTW75pUV01isdyC1TQsQ3TaYI8AQQmqUWwRtgn8gP/K+fzwPlyltg3eVUrlpASIloe UpITplpzNIn1W1Vlx2aFfEkb3EjffaeWPvQFwg+qH4kt01NdA42MWUCoybbv9g2Q3cdlcRTB+BQl S/dHcBWVRRg3hopvOZeozimz0HA7aGhd5Os9YMsM3n3NKkhwPJnI3twP5ibdLh2RvjKsowmqpAd4 foxAodvvP6Ho/ibRhfsmgIgT0vx1p847BHCnpCuWE9ZdG3zYVqnzjfk4St0gGXn7RtLgzgQPYGXl wIF9DWCQ/wYlTBwDE+aEyLcdRZiTGc0uN/wanSFJp4ZNyjrwesziuzC7QCjaWO++BHdQb4q3r+yt vVPu/SG/GeSmBYz1Urr6i6/XpX0Gi1v60AgM9MH5ZHQyA6OBDvpPbMSPQdf8uALmGw9x9CQf3PAy eAnoLTloj8x+Dn0n6cyZWC35Gu+8dW1Y8HImZdbqt6XSTENn6yoUuQlEwZ8rTZRy/nw1KbWkBH+t SgB4WP0H9JTI9mHyCxhBQWfZ7xWYgLL5c7Lg4Ri07gbAR4+LWQsucUjnWq7uytcqfgTJpJeouoHi Vb2SCPdXaHNKkFgr75KM60+JQsP73xSH7A0SDG5NhFAaZv7G3CIp7RnFo7p2oPPpeufg4ut18BWk mRawWIhqTxqcfJYEEaD1ZXUfP8XnrR83XfGm/RtDuFCtX2HFFfnAMfAV3YM8DWObQFw7dFF+nHaA LCrgaH3Zm5/EoNQ5CZ7njblaVnw5Wa0kPtO9IlXmXlOYQGfMyT6NnavT2U/6sOyE+ORdsFhrqYKY JrHLC/s5GqwE+xklBnpDlCr2FQwppzLydMfWlr79MlTuW0smsItOppf8NYANevZIwPUXQD/OLJaB g/jlVwDxM63pY6SHhpOWQpdcH9nRb9Ii9EMefZQYTjbxb0wRGSn38WPpZ3jtxuW28EeSbko4EyG/ sle50a22I0Vg5erKGQzXJ5j0vSgI9MfiPwCMCOrDNoFRMFClLuADVdyBJf2K6lqJIhcuAzmGUU43 1Ic3o0TsF9aUL2ii9kFycK/ynnVfXtdC/cv/Qmo5zHZ3crBP2Apw53iuok6okICFP8Wt3+1bpwmR t9GvW4NtTRRpYsDKLsN6Y2slnwKOJsBjd6PLQdpZ52fUSG+tfCg+0K3Zb+CKLrCqt2j7EeCSRF7m HrAlbtzW9NM/jf2NoKxSIllg46CXvUcYw7e328p2+o9+RFZlsZ8mQZKGidvKevo8xlHrh2Z8m0ij 8AZtoXVSG9DiBh+kT1b8MAMvvhydRs0fERTpDCKT425zQxuD32SpNedkUFjGxfAW24rEfZn0f6Au 47viMakyFcKP7OetXNd90dWoqecuWR13XlS07bLy5Wh86as3hOUkNPlu71ixIsExl3mOi8mFV8N+ 19D98M/AAT+7Vq8qc5c6eyp0bWpb4+8qQ4wdhywE0rspJQWa9G62hsoJ49fUCFS3RDlbPFu79ayM Onj2yNDETIo0PUWoO1kw6FSlzfhrJbTMaRPtuPu1uxWxDf7BjdCAulLZQdw82UgCtFe3yLw688DN 16ZH/miIpFPXnG+3kAfPgkR86g/1go6zlJi02xg1gpaCG9LUb7fORjXtCFJ4BGfFB4fKDOJ89rEC cvNAFS6fcErFMlQqWKQK0bVF33/xU1ACeccZ83Jf32MZISJbnwkjAkU8iiidkT3qADo91hz/+O3d +Xq8547RwPEKE7xiwRk++RK77xlrQMMaYa8FALCifDaORu/MxYvm5EBotieAN2Eqx9r8zpgnNdMf lk6hc5o70ruleH4FU9Moozrl60zC4Jdv0S0xmeZo8hhR090i/hhBufqYwDnfLyx6WSMNe9nIAtCx x1MEIzC4cVR5akCu4aeNj3oEqdsLaYqoGttorjcxBQ2FJmDrnsVFwQQJwogFceG6H96apED/Y47z ktfmLzTftuCN2aq+CuHBrJe699zKAez3vZxuWwhJ0n4g+b8cLVLczoGzTDNNk4Z5EZR+0AgGT8lJ XbwM0CaZyT0VxC6+kEmf1TtTe89KA6jYgvkTug0bzuMbR8IASekaVkIKARHtfLMMMcyuQ6x0BIhD ym2hVJDLoeLDlr+llfJx7IkJu7xFCp+KZ1fRVqK+tphMPWGIWnAFiENhdcnpPm1yBciMHFa4S+Aw gWAa+1lcRn/8S1w17fqht5SP9/SMLruVfUGCi7t7oPsxHqYb3iOgmVtPNtNVY/J3H7LnvLf/RTh5 GG6MsX4g6c70luIswcre/4dn+Yi9R3kkcxXvZlaK/igV/3b15zyFCCoNOzYWKbm0fhEWU56fsbF1 nfpxmV1XhTXJ39jWRkfbFdwKzqvM3TAarEEVl8tU6U8T7fmWswhzJWcXZ0bKcbffwXkBsCHy/ZfN URxpxHMYWUWoOjcl3AzbN+vYm9xP6PXWz5O/XpqA23c/c9VV+pxHBqYtaR/1OuWzdF5CWkxgpcxk xXeh/QRK5ifh9GewnZGn47ChxJzUzP5rbCIyP2X+0OAO2gbATxYcbjU5dGIC6JrNs+yKICoWdshB HgdJp7WcRSvTM7nc1O2a9I7Yd/eo+3FoJ+0wGr5sRa/iM1C9u+hMzAdDOE14I1Sv0BGOTi+K+jbK w03HdEM0uT0FkXYMQ7QmTvLb+B+y1ybD54/OQinJYmQjZCmdD1BP8KB9rtgGZzfjyMXmoY/fatj+ 3ctHBCdxf4aHgY68rR/1HZG4RI6n0JZ+80eqGzJ095b30xFQmy/zT7iPQQDvw7jxLquTUsW3Uvcc A5Zlh5KOKDrvqVApMbb3T6Rs1JB5Q0kbyKXwjzHRxXopMtOTX4UHHipEVLhaCXZORWlFOQtvDEyg eoYcobt3nozy3H+G/vjlgzL9MWWFyKHyGRGbQVn4oKo3ULKCiTEtHU/mimSCiwgFk/2tNrABl1RI z4xI379OekLer7++mW86P68UTfOg72mMuwJDjF5UvXcsMaIrjJ1gtf/4PoqTsFeh3XL67PWMtGRV c/AoEO3X7q3nSvOgLR6rFZ3+OFcZP9zR0dUxOlyTmXVw3HfojPMgPFRiwz18NK4Jb4NXJY+Tlxce hXf0H3r73rRezF3IvdThr966RkEkH80DUH0Tlg/DEWqNG3OaaIFXdic4n7tD1Fq9mSGpUFQgXCF8 EudBgvugVNqhi0k/ZLfdHnU+y+iAcDwKU825M5Dyi0KTJ3hXAt7SfOjIQQunHCsXAWfXJRrIZqZc ndKMSa/XzewH6Lg/oeoTyzhkytKHvCVCco1tMk5Pd0iDd2x1yeC+fUph3ucN2YeeD1RIzW9Uy4ht 59OvEVT/nXX9vjYb+A/8FbFuQBhMfXzMPDtUXyPoPenFq9M+Mv/ZZHDsmYWSyXFHEKuI5CRtWQ0/ 6GIWYmJ/sgEI670uPLz7C9XlseiAy7juA8Tx8Ww0Bi7POTdKZbqkBz84uplkaoVF6h4rVY7M1eaq i+loyvyUsSDzUofwZXlHoM+susYXehSQLEGWloL7dOwVAzZJ4kxZLzThEw/4etLW6zwFYP2wYc98 tnGnNATmLE/jPKjO7eE1AowJzE/nDfGjpjRha4Has7ieEX46uCKai/3H+Y/lY5AJ9+Tx2fe17rln gT3KX8fl1iYx7Cg5EYpkJfd1vYqXyw6aIfVZdpCvknxgxC/5yON3pgkq9vzAeGMkgA75k2u9FrYh iobkaPunXBLSA73gjF/GhERBTdeDWk+eYMD2oMmK6Aba8ybLRMuuvRnKf0RhL4lm2R6SSDtsBqvQ UCGy96hSlr5YhVFBT5ietrb83N1smextzP2kbSOL9eHNRoF9vUJFONChroPtRVUPfKFsm/KgqXVo oGT5UW0HXEfX0gIK+qEszpsWBz87fmpXrOcSz4vF6sepDI1mDoBX4x1/IcOTvbmyPdIuSwVgeEdm kIhs/xVnn6r+U5vwnTs2GMoxXCKi54lbsXjSAFowBz52aYdQM6tKeK57UhLIWGYoEjgRIHttcMAY fogFzliATNXrc4882ujBw5tfy6FRBl3RtKgWKQggp6mc4gnIAlQL1K2ODQ+ZZTEsF6Q5nziVzRAR VcnQQZ7JnASoGGo+UbF2LInHN7sOARyIvwSts8IblQ1UM0eRi52QFoXRo+TjQM7O4AhgLzxK++rb QncmV3Ll8vdd2W8hMep+Y3P5C9Pv1f5UbrqEHHx9Un+v5eG8z4w+qQ51ENQQbG6U1O1qh21wSqrf qBA0J2J/4h0Km2drLaTUnKcvoVv/o5DJ+pIOkYxDQMqDfD9BQ8Sm1CtCvZpUjBmzWlq0syfioct3 slvjDpHkSxTTNV6fRQP9aidJktfacTcQTqZA8beK0AXrkkQPNyn6j2zbqvXltjmEmLOjjvpxlMbq 6tp4Tgv5BHVfMgAj7OnCbmWWZw1hoFp8b9jrI5bWCsS48eqN6ByuIY7PAXlkw+2BhOuSL6XU939w pOXfdeOCu4jofqKD0eGfbwhKbq6G/wPfAQV+DGPRuS3sfSvclLpKn1A5YHO/rJHvxNmZoK4haY2p JLmFD1/E7umajvkDoikKKo+F6Huo0XFrk9S+eORQMIbNugpiZnkDSlGQGTdB/Qv0DkZIOnBtXD7d GkMA3k38on5UyBsM6pn38a+ERbix7U7rdsCQdxFEN9VqJ4baRocHB6nrXwYPmYBDap4OmXi7H65H pEEDqX6IWeDVUi0pA7pbQvwv9Wsb9JWxGahIrKXohzAaewpmMrz1Mc039K0GrJ5Unc/HSvIpuSgU vP9tiFzWmDR6uIZ4cnHUlw0HF1eRUAOcE+xhjBWx/i55EV37oRDNz/8od+oNlh93kXcevN2cEMX7 n0pGRgW23WT2hPoo7iU0evwLA2Wt0jpKeLd+197TjoxFSOlCee8XO+uUr4kOvNoZokdfT4N/qO2S 4TxvE23wPqkh9i45AfYvw2ALiHNZjLCMsuaUEgKgc6NKPYUM7SaryAM55Nvyw5zotqZkb8oDgloX OxAc0bJhnQ+bfRD2Ecv84jSK7ZmQAgdFtc0+A0KhFMTZxuGuKy1Zj0VlT4LAWJ4AoEBaR48+Bdk5 A7kj2BbT+JK4K+6nb6vt1vu/LRoSoROnpg96Pc21j6Z/HkbBncP1cykKjrrpNXQMdWRxtHjnr13Z vgTBmGz3n2r5Kuvtbo2rf7DVM+fA+MVRufuxYmfbrcrDHWh8Mst9iOuopSe979SjRxwxgw4Xf4I+ otSyGJzKYcNUCMPCxhtU34ePBXTZwoUEcXzti1dUZ0rnPGHMqxd/dsMcMbD/4Rg0OEYs0Ra+PNYA 0GhLqKqk7LhKUiNpl2ItCnh7OLWc7VlIm+xtEAAXUYhudyp0lDYBMK4KOv7VERXYJS0CIIMGBd8a hnJyLB2DfXKQ3zqtPilrNZALtBKMouUmegyc/WnTetrjFVpU4mPsW7EWadyXrRBqsA9WnQ9l+eCY 6PyLDDw9CVVUawdonjBJMWKGcgW9A9V8ZoN8g6D3s4usrARwfFNaLiiKqfOvYrpHsEDD+SWIg7A/ p+oxBpKXYm3ePqovZf/Jcwxcks5tCtKQOnTYKMulflRaSvoE9mtszSVQv8EgeitjpJaXzQTXJm8x CdRPBAWjJ10+Z1KwdRLZciL4/1mN8TgcgJxLdNzu2iJhaM/1IO1SMwZrFhoGZApDGLzDgRgURcou UU2tbqlpES5JTRDD/H63gBXVHWR5Bwtp93FTo5/aQ8FDhhco0g1EvgjOkkKC6/WMP8OoE6IW9tx1 MP03jGCoPjAUWLbDIg7Sp8Qbl7tp2K/mVfiw8XP3tcYxS1MPt7XDBgDB54O8FmtHcDuLbu9JPCSk nQGXGn3ZWiWfC/xLItQT6Hopu6QKwnlegVU81ONsrGMT8VNcSdVzySDm6gxK5q2C6SIeYW22jsB4 lpY8Eg/ytgUSfNRURtsqSLkXpndTWtNUyiDAKjjV2roKVtahFlQkAFIaoCG+n0OTWHeDuNNc5vJG fOYrEoqSBNqJscZikddFlE9cw1COBefwMWXlK7fiVtq0oq12fVozTnTAMEsTk1mRxXA62D27kNJ9 9zJwfhjDWQ01ccmxo4U+TskY6vvRDtpO4GQclKq9lhLejbMZc0MNvRkVToEHTvQy25FP8I4rsz3K hCnFlzLlmWwk2hVxpJB1bCKn6vcd6028Gv5zVE0J42OyH1VXFsBS2O+xbTN7lesoy8X5bPwQOWgm 14d+uJK2HPTg/07YLkyjwcvN7mj0zlKZ8RJa0yPFAZY1F1QhJHXZ3vplyiz5fnmtGHE8iOrN4keN UXdy+tpy37wG7n6OVWzwpPAEov4dVlmC+jgeFWpxqQ6JcwJAXvJb6H2bFpoY0crLik/9ayH6A4CY x5MkGaUNBd9d3eHnaM7UhdYY1/P1DvfZkcJuQuZCdg2NtpRTG1l7YPHBuOBtNz6cj62R5/zEMN+b B5WSyQiXBwZ04Buw+Sr34lkINc2Sy9N4kKn2+vhi988VID8NmM7UXpCxVAKYXpNlylXvGqT1jVeJ 0J8ty+LiC0dodpYNel1ILsyzIM2vNu6dN5s6vwLs2mBFChzbMX9ylf9QtQmKDZIJXTCJmtOk7Ibu gljLcNt0/3hHMnpypRau9hmP7r6g27ZrtxMTY/26MIuwDqT1kgEeo8QcCQJS69G1PIPOCM9xPk0H 3xYp1oz9gw4y/ck9PHOISgOlXI/kHaw5BCGgFV+sMt9uJpht+cUu53WUvZ9DicUSdS30GzYrPHuL dTdhxjsCnxjJR5cz/rgTjSjMj17Aqn0lxJ3Fwvwg1bjuWOH2j/0NJDjvzCfmvDNazfQYh+9DwK8h C0pUh806oWYAfZy9MAFTHwPyS01Ott2BJq8zdQhdd0pIkmmld088BzzMYWy/wmHbYK/09uscg0ky Rfl3SzShHkfdZqah72/yPqgi3tYhODJzKmW30abnNNcpcpBPp4hpqCyDBp05UxNcnJ/bVvxWgsbv rQULGVAp7baImhd6pzK8XNOEnaEJTjBoBwB0IqapBfdWqDFxOgxiJbofCVLIMuO7sez2AK/jHy6N jEM6zQ3heNIRIBiSC9VDSo8jWBcb8ewIr2qAq8BN2jOhP+aoKrlVPs4T7XR3/xY0v08Kbcf5WmYg OUbZmiSVs4gE/YCTA41Kg5iLM9yGVPENNBAGYCRakvq7rYb8JPCynhof57s9bwoC/saOqJ9g6oxZ NToCEGOn37Ntpg2KUyVJUXXYzvr5FJtV3J4VfIPZXF8eb9tOhBiACa4Xc0YI8zpMrOnpmlB7nG1s 34sAxR/C3zT6dij6M9O5xr5wkdSUzzD8YtxuZqHbfoEKQBP9OFIGGBzg5n+IlxY7zyJfneZN3diK X1doJ5yltyxt2GFWRm7a50ZOJ93CTx5SnwRcPxuInhpC+TFRoqqRUR7Q7SagZU6uUOD3S9BzWYh8 vyUogL7/Toi0t2fe104Vd8yNW40Pwg6159aBd+XPRwp7yvzUwKT+Iozv1MfM0N+fWLbqx5YIi5FP C18a+k57O4CQYkcxeqwVTuQbY2SssuL8pV3GJPTPgcC2kzLp0wmefCZRLS/08R3/fTTr466m68CC m+R7VTc87QdQPqX+liClbC3zXorcT5krPis6sx4spbpLcu6vdewTo940Tqb/0DEtGvSk6s3dew9n bblABYLx6cRuFP+a81WEbD3fr6oxq+Hbo98OZs4DCGgW/M/rCm77mMnrq5/ae+PF3/zGP+5qgXuX WUToOrl4BL3eHAuMf/IIXw7twx4vx/nfr4Mx8IEj/5IYWK1YtXuqsHPsuUFwuArZ2aJEp3SX/ps0 /H/QGQzGwEbL9rsq1YXMLUGHHMT5gof3NSTZGaEhiW9QO4wYGSYg6Ks7K8v3gBGlCfrQCJ/4u0Ig +j/80ZPpfRER7oZgNrqYeVKNLO3/t1ULpuK5TlROG+GzVkH8QqIt53ojKAdMK5NU7fnZXqDO1g25 DHDggdJdc/rwujZsfg5agagZDr8ljGSAEy3RXBzklSecOKhHvTvStsIvUPzx+VS/YGF9jZXTPMEM i8umFyt/BYbF/HkkRtZwcbT6cbeUjMfXeGtyzZ0xyjyRLuhgt5Jy0jqxnCT3g2xuqLxHZykytYDe VhJS6bM25x0ejl3wLwKBY2IPMKWPdu39MkmRF0HG4/oe9J+qwG7sSlc+q8/0NSggMaHNLmW4HaBp ApCfFlk2SaVlKzFpxDbXdcPGNXTl5qWFJ2/Ses42YxFRqT01bd7sIwjRmHQcSwI/9NBN9JhY993f i/4nVnCxQv71WekfE76ZA7ES834v7pk2GIaCdJFFKY/Ymh7sFKT7SI6A7jgphpRXO84KoK5o1FxS ZXy4HNRuF4gN4UXP6uI2BrbVJoXvB2jA2jOOj9D5JeqkN7R9Wo07LJo9Qmgk3l0EW69LRHrPfUOQ DZpIIeQYUvwvplXi7thKGuBeSyWXaVRwonuKYkqCmMs/7MPa7f2qcxxy3zoKwYYZombuap4R+v5r ziRpqQlF/iZhdeiPEd4cxg54fhvhEKD+KJOEikQjFDE2iGgHkBKceOvlAJwKTMltDnSpTywKRCl9 4VKGhXGii2fQvRFSmRtEdRZ/aBUGM/Fz0p7cNmffXdRpyBC+burXuRvPSm3O5R+wpmvsxqcxYoXc Tyu71MTtD+iRh6HVdOoomYLEg9ihi/vQrukJR7l30kr69GYsiIUcxB8SnLi7lqV60yAN3j6949zJ zH+BAggebgGiIRpmA5mbaf2Ka5/WJsdSl6kCzkxTxdUYg+XGbbH47vdT1iXnxNK3KXBxQ+lBF42E gmby162eXO5kQseVk8hfxwRs92N8XQg5C3p48mTPoBJL1KMTSHBce6Gmpxw0jGCpnrkbnZs5uS4U 35VeHh01A+hZL5rdmRgqi2CsyhGRbKAhX6lqaFFxX21DK4qX02/04Qhdc3ckhR6pyRLcGtqbHVRh m9UmRyug5qybHvl0kOCxif8+YyYQdxzivaXv3v69mOz1mGAylDXN9/sEYDFNBP3tknfjhM1rfPo1 RGxfWNFdyXMhQp8WRLMfapBWjTV+WTzWTxwcDTS4Q/2m86ogIS9aWmdViTbaewH4l02XFTpjldM/ /C8cE5O0BSJ+NAUlFcg8vdDA4tVQztWno5eXprNXCMinXBUy4OCc1o+//CJHsKrgP4MksI++QFxI rciuseoBHBefLQ2yeHJg08iPDYWt7GYS4o3zUTV8hsOodD6CgQnRuPTog7rAFcD36MHlJyYmSZLK ImcQsPhGQdQj7D9IvGMlYMugntclUkn/IKF+13emLqxrrU6Eaj9z/iZdlgej9pvdNS2Vkf33IueT uuVW5CvOEbldLAPAl+Hz91K84l6XcRcSfgQSrPiJxWmbztJOTzLd6h9PTtmhTHaSwYFtdNPmKmP6 TslEWURtPFhQLTOxvYla8AAuuawaH8HxshnpgTPNRp8fJcGXe8/lqiqQHxSMWqE6SZL6BgfvFXTM IJ/fCyzfXj5bj9ebhUNkYo53TIa0zd0+dvrTa/ND9VCjFbJMKb0ho0Qo6cT9nWHwSoXV6aTC5JXw 1PqC7udRuJQ3k2MXqZ8Jt/j5oFgMrBMwT99T83Khq5nVvm0vj7XxyYTkZqd7YEuRttGursAZzrNU VmpHDeAHi1qgCULlwwTxIPBulgzXgCuQ/8zLy29sm6YUjDBP1zboZNiRGVHGK9SOaafO/vZgdVzI uMl9RLfi+nfbztrJOFCePHAES6FCAhCoYfMstDHStFkoR19CgJK4uJSMtCI29qGskuDkG92wEJXU k1z+/6wxUOaM0VE0Qrx2geh6kM6NQu6n+TAQg1v6WoT//qAe2txMCCEzmEZhun0ODVysc3jKmHcf FBu71tEGNC3YdbbCiBJEKXGYvYaNuCm0UyV4HT23r92b4zTGkxyC9e4Xh8JYdlH2DiEyOkcr/zda YF6mi13WMk7wW7Jcf2ywzRmpiW6f4jTRxt/fakxzhJBI1yPA4haCUbtM5Dbibm0+0A89aFE4P+OT 3ZmM06IFqGQATXcpwffx31/9gwi4xKIDRQSfJ12hcwusYZM1XAlT88IcwtY+0kOVPialctYkurRq C16Z2+nR9nQKcoRII+uBArOxNOJHa4TwlLou2maTWi8djZaUJ7bwQTpYwsqoclF8zZQa/G0fKVBH LryLnOpAONlWrhqG2r1YW8zIteEq3LUCmZrf1kFAvg5QHx4DzkymkFo51r5b6PRhjhj9/FDoQxh0 7NyBAv1DQ2VtUeaznrjTdoYu6cB/6n4oymvTvpGcdcjD8AfR7hQLb2xOpw8JkjvFnKpdgXZqz0Rg fWYRRrbCIokXmFO5IOrkY8ix4HhFYmH3rmk8+fZZJeshwlk3qIZYpdUawYA6bAnDAzURy5cKSo+r j95TX4MOaE+IYHLuht8ed1HMd8RKQKq4xaLSJiIN10Hzce3Ud95t9JIRA5w7AuERKjA1jVCslPuQ 6CtWa6uHAveYEPylzPVIO5gjgUNsMufHsX9QSwMEFAAAAAgAAAAAACBw7ncSAAAAEAAAABMAAQBk ZWJ1Z19idWZmZXJzXzAwLnBiAXtQ7srmfmva051K0/s5q9VKAFBLAwQUAAAACAAAAAAAd407l7sw AAAAUQAACAABAHJtXzAwLnBiAe3ZQ5cmPLcG4LJt29ZTtm3btm3bZnfZtl1dNrts2zjf+P0DZ9LD a5C9cmdlryQrKR0DA/S129I0YQ+qEpABgIYuRtPjjQxKCe+peAcrYPJYIRkR2IMVd2U/NBy0jqSN 0j/mHPuaC8VJFhiFxq93l8hrYdRHXjUldCL3i1DdH6n1h3bPHoih7MImsxS9McKfsr4120fVv0CB m2a3LR1dHj203p/ynTp00d8YJfp/yDpZ6978MdgjBW4fIvw/8fz4Tw7nBUl2gf5S1C5krcTC/YF4 /1sQWee7EamS/B78i8kCiuF1EqwMDCpvt2T4+hC2nEwfib9pes6Wm62KIF8l3EYuSNqyex6/fqu/ zmhbl820LSXNSDrpx/nVcjvNRkccYUbyWZ//zq72s3MiivZBoae6+13gxf50F5XBMV/2FICa3aqZ +ZKqEh6vM9P5ebHcCLv7xCYQ6rRVYwT4TsXMyrCAacOTB/F6ng+Oerod373z+XzKWVqz18rTKnrE +piO/YQNCa/o4iCKiN93bIydbKVkTmmA5cS0A3pvzq+KNnODMkC4GiJgHHvbqQthvg0i/ed//ud/ /ud//ud//ud//ud//ud//ud//ud//ud//ud//ud//ud//ud//ud//uf/f5OzR0Erz33kMlXO7PA2 Pn0N5rORyB4d+/C+V07m9cYbPgU7Ee479UoR6yp3I8YHTv13vIu4IW3Xj3K8R7MGVZ7H6K+5jy0e hwphMKzCvd4ZlBx/5+m9KRvBH1S4Q6XURfwWEP2tQ8JyHDrckU1zzAEM7QM1z6Kja9ImeWojnJS0 WuElpBDq1OWysMqUiLWhgpEsfAr1UcknGnXPImcIJbKA12fGmTNJvr+lYQy2ydMSr2COM8ARMUHX 0onoO0OvhlpP1Cn2awFnNfF1SwqDxNCV7TvvNo/6gi0jejXhzI7bvihwyLUnEEt029/6tvbvd+6m Bv/NE/EGm8THH0as1EEwDuARZWYE0d0Ckntq/TH3p1ZNi/Wm4+FM3NluQLF9feY/RTxSi9Kd7wgl Nslgo4LX1Jx7CGDNDRTx2y5Uh+sWIK219HRiPQzPki3T5K2WbdtKxfDy9OoYRW6EncSGUJ+zV1+b NDFuCx5yiZTmdePHok2T9+XfojXsR5+iJf355t7evbY1+5WvMBDXWu4WohvA/ACjmlWwnUzcV0r5 kgwkzxb0Gm723/nfwwX7+MvxKiJUrZKjZf8Mg5JZDgLfcZyNHnkZGsOZbDSQdKtqnHgR4P/oSini SvUirWn3knTm5QB8Bu6x5fAkK6x3jibclVpP6i0e6K1vvAXbouykJA0KpkJzfYrB6z4hdWr4Us6W z2c5kSf0bAVqtru3fHO5sK5DnTuCFyyL7rcLfYg3mE0jrVaAEKAQJSHPQ87O/Fz4Lz8gKnBwV47n 2NyTa6d7ttS4Yc6I4RCE6kizn3O4+C1YQPlhrYeoG5r/m4cW/nvMoArPOxPRbbG1my6A8PJUk81k Bwzsj2zVdvbxiFMM6RlfPP5m618KNZSu19cooS35Sdl7AiPuBUCJK+ENMksgQxAiHL1JvYpBi6ir UpEx1pP0y9oBmMbFe0iZHPON4e+0yNicAIypUuHaLMWGfB2niOYn69/RnEnlV9DCh7TFPwGEx3b3 qLa9w4aVksGUBCD+0iW8a8647se0vVd3fD4VTAfoMQPex9DJ5wRUkeRFlRBqJsA1ldwKFqkmriD/ zWMPX/DoE+O5g3c5AChOvM2tRVpIt+Eezx7Mn21fqA5I2/SodQr9JbYXEVUiQzUbnxoEqSsuYaZX weSHdmdu+1dr/ed3JWWhQoDP4ZE4/XJ7Jx/nbL3BcCgS/GYzB9LNSV9ax0r5zkJXmJfCGcaSqdby alKbWiAuEasFCSqwu3+LIJI0MBihIpc4jrIRnWpI38C+zYFTL062w0YN6/FAkCennBIzabdGMVyD qqWm6wpsp9uTo8ZMSmtm3EN7QPRvnrGyvDxuJGc/jO5j3o0ehqU7U2E9XvN3fktFtUqbxZjUR2EL F5Cea9JUwW3m0dmj9vwGiQkwwQVUtvrbncmPh9sWSqN0cWQOA3xqtp78uxfKNrGDR23xr+CvakeD gXwkTkiLB9CwHXQ1+wwXM47OsssgHSQtWpImVqvfYw6mpPfH54ArjHlchswJwccXgb+FMLPo0kSC YTZEjnt9XTEvHwgsSOWTmigFlIHyoWsbYcD7KFmPzzZhlsKAosXnO0BDv0imRmWfExzXacHqpAEf bHSq4N4PmTLr5oqbn4g9zEGeCJZBa+Ocx4q6094puumLQF0wJauNJMLbWSDj+wWbk3r5uUBGyKPs rY3a75Hbwa8wjG53pSX2ZO97/16FAn3xL8lpaor9BisDOqQJiKg1KuvctjfePuXZuDpKapt17MVA OC+UnRFjWU0ef+6zLoG9NVyizR0gP9FLEGuOOKTcx6G+BKc72RobOdecir+cbvhHIMQlPnY5rOAQ zAqkj6THIZx3xUpasGxpc0gD9vtjwhl3y0E90u0Fye3S1jWtjvix56fA0rvh4IG4KOcv2NNCuN+H +kF/JVWGY4JniZssWmFbSFsUGhz1nfAgM+jAh4sOjS9XyPzYdrV6mzgKDCGhahDW0JapRzEbKpe6 GELD7L7hRicTQRJTeRe6N2nKseHjUB70qkwUuCwgQWGT7Aps9ZTTiOcx2N49YAWQd02JF5QHJyWz qOzkwHIaoFjp/CaiM2Ax3AKaIRxWd3F1PCdi2xX3fXRPTM1bNDmwP9l6BayFZRcuxsdcSJ6ndVEJ lPhvsUlhG57hUORI0d7FJ8bo8h4/RmNiOweuh69aVVdzeBJrgOZNH7DI2zGK9/PumRSI8Ldp5nmB Q2NXWXEpg2gL+uRMf202DTODpbEz1u8oULEAJO8VpSOsIUoZKqYwU593nuOfIPmBMwVLVImVU/gq QAOb3rp8xHYEUDKjCEaRcz8MedvSw0o9qWDzaw7aTZ3UPM0mnE9WC8AGn6cbGOLzTQNIwSRtKkjz xDwuq9HJj+Z1It4L8++IIn9iIVaWp+v7ZmkwfivA79nrEkmOz6/V7MGGCCw5FcyEmbfXmjWjV54M HYjeLh88Y3hw0g8UXokJPSOU4K5GDlDR2iLzKIt0ePeT51JsaVVmYgSr144Vo++OJzVWdTe/zm0e +cMbLwNuCIPLlhlrUDAULySrNn2D1JCdPUpD1X2W880Wv7I5JWdh/dKi3gkD5faoTBgre4SlIpoz xRIb3AvY1u5BKVzpm5pD3bW0B4Fu7igvT+19zCl4lGYwgbTns2qmftWz3VnnQ+sETUDAJaxDLZdD xyFH7VqMHErSWwPmQzajI9ma/XAUlLPveDTJLQ60F5rCBdXJgSrcqXaXrQeep+ZMNLZ9ZMvqhaVR a/5+wgpQbyOFabgwIWN7Vtx3jD3Xl/5psNmXr3rA3LPHLGK03jLBGHm2Oy8UMRbew4V9FwnjBEnv FWMkO95DNOlMMBdKq8IbcMqJ9GsQttVVYJPIsckwnKNKkoGOtfWrvMIpb9dHjvr9Hoh3N+4F+Usp O9FTao0I1apWXUaAn2ObIDmK+3nx9DqHoWguIXdFLQ571DZGQdC5F4LbN0dWXXOxf6qTvFcJ2Hzh se1dJQOca/tw5mWC14WPK6BIBeHutp9yxQjdGa3U1jMFVeyoWPkl0cE0AFHZd1eug0cNWhReErc5 lY6ttLTINUfzFERXmcFeBXCv0gX+iSFHDR/kr5GAH8Xcqq9twXdrT2ly5QVtnwrhgveHbUJciPnm rBPM4ftMNrMCQvyIwGCRtYauykfK0VBDYiuSI++81xxx07dlAn5j+ZrEX91tzkFGd6DiubG+LAXo RFvSWb7F8A9lTISQfDe807EDOE3OKOymKrAjKfEcVLCUyKAChyj9dwg4wqPjNloGrV3rbTgU8dqn l7/DUj7zXArJmpvZIyVGXOL1OlxGM2/G7B7J/p8bVp2d9qvFKrydebtuU9HiFc+hy51IZvaiPCHX DpBoVksFIrSyQAvohSBZaogS7lrSPdWxYPI/D3fq95rePAXc7/pfRXGYQBnipz9JfspfaUTlN0Dv r6kMtTW9CA1T28MjbO4+gNQR8qkhhJMuJ82lyIpFkukuxA9QQpXTuh+JrcmgLuUZLqKiDhspFZnl RhEwY48+qcPzKVS3AEweVEec6U8Ymb/MDR/b0MSp7vCbfHQJZ0wNLGuNhBlP1upIIDix8oePDKDb aueUWZwCp2gG+Nxp3Kx/6beELPxRESrhs5frfjuIFY/AF7onCVkYgyG0Ypx4JyxeE942+kMbNhqS 1yAbCqKryklrn38Pz3zez+zIFUH3vywinf52zQu/KR32H2ebhGcWqOwV8CIaPw8Vuaa750vIggj9 PbVYjGaI1EjNeILxHjuNfOUoBln++TS0juFiPd2tEnC8Ot9iW0lbca0mCdwMtcgiSwE+GTO23b4t mpAIw0bkBFvGvCD3a3qvM6WzLF9cR82t1wog7dKXb/fwluog1Lc3DpGYff3idBU7V0NbFDpI/yBE +8ruaRq1syO40qG0m/y7iNCam1qpD4vEnTtkAso9YMbAAaB5VpC4ltmUimfIjgF7Kc5XhK0YaKY5 TEyMPWYhx42kxubeiHiAZ96ojq5nUngwy0DSzcOTZpxbmHe5RvYwAmpJtG5iw07Su+7BLrxbdomV k05EoapN51lNIml3Rarc6bX1AMSWDJFjpb71gjSfQqM2BAWKROr1MehsgFPTJzOd4HM9+Zv+UA95 q5UZiDEZ13ebjyYbMjhS0JjPbqa3tsw7Vkooi33qjOHVUoTnxI4VUhK8T5EHJgrduYh/l1A0G6Tp KMtq6L412fk+gvkaitL3eajQceQUXzigWLH3yfxh+vEKS2VDy6ODRac8mUHxRWR3GfLexaXsc5Mi XTsSa8aNlVjcmw2OCsf1TkcAWQmMnO6BIgGiHRwhDDSlcm+wJ9dOaeE4PUSvnAlg3AUGru/DXjQI GkEEkp7qeJGz55xW17OF1ON/XkhkAqTZfVIreDxoUSJTtGosKxoxq2Nspb1xR1eGnjz39j0rvIDy BayT0fiTod8aEwJkbUWYbhNXNnvlIqj9IgPmFwcmteCc8lTwug+fW7R4dklRC2LZpZ2+LEkVDDio bx5XeRAJ+Ujv+sBAX8pspLgxVy2VGqyMaztRW/Cc89Fi+dDLvgisJG0LJfbWgz2APwDlPPQVAQ0R LseWof3EQmmdWKjYNHW9vvfEBVBY/VySc8U7zoL75aKrBZWnf7Senwb6hUBhV+SJ49HF+GGdwEGj pRGlKXmXh2erQ/5NRbeJffqmHbe5cHw/owSMfssPaEkbyGXz3/mKxBRopWYVsXO12aSIL0ffzC4i RF85e1hjQPvxb+4qefYf2fxQJKbts2CxJVfWwiJGAXvE5jWOlhouZHNQp7ptib1Xfo16FytQ+QJ9 KBACfKSqbl8zECCgR9whr8dMrHQaw+0NGN0Y1OvLk+cPhD4oU3kEjtB1zTir3COf7B2ladMCW32R n50u1b3fZ/q/VBDMBavCZM//ux6jQdZT7a6EnEwLH5Yx8dEtkLoZdNfNYs2Hshvl310PXu6Fzjrz rZTjBD9YnaW+XeyiGgq5aFOLaUYszX/gki9cM0IWwg5ekQTqDClw6syOmnhRaAvhfv7ArCM9Xz0Q CbEOlMMYCMyy2nyHMnHfwvzaTB+ILlXQ0UDOVKur53ZFg9UKf78wkQ51vChzpyleEwq00pDBESjb KzhiUF9P5t8obB/co+boghD5wYzbKqe6ukDa/czgxip66Ge49r6lN/GPmG8v8AxJdxKiwacQxgR8 Gcywl1r/GcVIJ9GOhggA6J4e9kuorDqpSasifbxZgtfYPiMy89hy7JlZYgroLKle48aNS0RvGJNq gHzY9JQ2ye7DboNVhhRRwt5xo3i/J2wk61FkYFFsHyb7wWTvNQ6Sho3h48Lp1p0vKE6rSbhRDOMr kNhZzBlnBpcpYpMnvzH6H171eG6vn4zPZf5qsT7rUjneBMHt9LojcwBrCTE/1bbHMJJn5i9JRjom 6G0qac0QimBoBHVt5Nbu1/GgrbCqokQpgI2yuKDDD7Au905cKeUulT0ReFhUbVMccvhS59e54puy 87cTzvXHHStv/P3QB5FhF9ZPuZVBTyE75SymWOmG7LwgvA7AMKUFSMDGAQtKEsdlcJXdeuS4Dq04 +Fx8WbNvb4zNBUnWdp3J4AmHPFvkEO2RmB7VMJvqHGWdVYj9d38xpf7OgYnJ1WVqDMr7Lgdi/Kw4 5b7HifpAr7KJ2m6ZbDDRISE3QHegXFjhKbm3lhWs6JrwSn1liDehlHt3OzYca128EcRwDaeGngFZ GVo+4roJoam63EhxXFVaG8nFh+9SZsHeO/5imr4vB40It6IHEyVZ/ECVWARlNkEpGLrW6cs2A2IT sxLByT7dakLS2uL+XlBHjNev0Dunl9rrcJZsgXMY/wtEy23F2QldlX5F2whnXBaSLRk9ITZppNN2 Bl9cOORDh6kKzPjN1PbHnD6+WzZK9i0Z7cr4aPrKs9KO9IcmcuHvjExJdRQZIalnZu2GvSyyiDUN ltgrCCyh22PgRI2Ea/WTGD40weIPs5IYo8NxYNHKcUo4bHS3SzuWTmBE0HQGi34FUkI5bPY8uNma XMlbNX5xIdSG80epC7Q0XP/2MdgX4gTGBVxxzvEDtPM6XAynoOjA+S8aBTov3okpH9W9Q1JoLP7X 6qaNngiGhaRmzzVre25XcZIdkuKZj4J7wJrIMeAMi4VSQNPbkCcntFpqLKWFMtdp6PQ3BGBNguS/ /fT3W709loep5oHmx6FdacYbeurgOGx44SlvGl9WaBO6hItLkq33HO/ryTbZiJfOuHs54CdO13ie KUB8+iFIJQQ6ZRCA9JP/uD4vaQJjtdzfJQd0Oh1uScE1KPtnvtpQAQx3GFfbK4PgyNVGoPyRqRDE KakGbPK5IEyoulC3S8eFijQ/J7g3rZ3PyzVC+aCtH0NCGMuJE41jnwly1/SM+2/jEnPv1R+nciHO 2iW45ZMt9PpID3DDT78OPcfPgM8n5nraqtNNJ+aWGptGjJjPoUPX2bValvTacChTX0rIEEfHOroX +LU6eO2ZVicJVgHW7mVu6uLVkcMHd9tPxLV9J//ovxZhGEPsIWCCP6g+6jbcCVCKPc+ZbviT93zO +x4SDblqMBdpADzS3uFJKM0jOIJA6zA1ZBoEf81gGJKsc0R2A1Bx6w5NPw+t7eq99P1HD7mOPb2R qxZOKrlIMSo3dOhiW0BwavwMp/EpU3EiLlQaJjQPCOx7oH3O/en11MsF1mCfwenmTXTwrB9BK5wz 9xKvDZnfWWiRAOVAX3M6DiYDxwGSQvZGZD/6G/zjqELmI4wE7ERLOjy1nIKaIUKV0O0V4EJGTcKy 9UtUi7ogrXMTnFikn3pRWdfzHjVphRaQ1R+5meiV2xpqTPCBXDfALEuOOqML7symxAVJSLBX+usW oBCxpF2ztQINDas+mBABVs9OgpvAHhYZlZys24cbSbz0ROVG3lvnSpPfZFx0YWHQegqs4Pee03U6 AA4/6fVNFUAoSynBvZUNSD2VzuVKTdkCLBr3ii+RzP4UUrhqxXpAGLBlcyoq4mJw2FnW6++08kki +JHGOeR7BV4qGg607VsNsW0hE2/Olz2k5Cf6UxXbHybR4dlCZgZuAjobEoS6sIFC1v0LVuyG2vHE A2oja7y3NzLExkgm3Pcn4oISw2oohdA/jLq8F5IHX08XnihKI869QVrfLSRSJLKBX+yZ/KE3Kaya ch3ZHwXnhBqVOzm2WdLH6McHrPjg0r6Y50nX8P6b1yxIY0ALqABZw1OFX23RyYN3z3Y6JhIzgXXc OF4EaRxN6ExaR27MLjzrqCLBxzyQ/nor7e0j/RfuZABw0C65nFETJHcm5Hk3Dzk9t8S+5V4cSYsk FkuK+NcceAt69aCDbJnvVYSpPNe3nuOaaTg+FlsudJmL9n13P95a1AcWD2/huuXKRWR3/CKd3zl1 QtywQETlJ6CR/Wt4QX4mW0h2seHrE5xH+66IzR/ut0moQu52vp/Etc26RYJ1YhTBGXzsCimThW1O +5KoptI+hi1/VF5QW1ctJzuR2IJJPY5+aR6ZX/uokhYBV01UBf9Mbx47R/CaHahfqzEjNc60KRJi Pi/dVViP2eZ4sI7Ftw8/8OmgXtzi/CALSK4N5Q1voUcWUL381f7RepEMk2tE9gmWkoT6m8xCJxLR kNrXRqJml7SnXIrIcsER027NSZ+Emy0Qf3ASYmYlbPN6RLpcdUHsro1R/JcVqcLbRGkEVTpPMmf4 f/Nl3KuqU9aWculbGHWkr4ileIenJEDkygMwYOowfsKcO78dIHDYVl57e9a7XYAO8PfZTAq6vus8 9Rb4t3U6H2GhDpaeVVlaxgOvu0QwwxWW8LF+1ZaMR6d9p99Vi+KoYtSdJAYLicE4GjW/yGc/GQ8Y +p0x934C/Msa8OMcyjUQZKCu/S6XafbLwiby+S8SvALEnum+AcUQKdZk4BghvUi5Hbdn0uaVcI35 1dJhPzmCgk43f/MiAcQ9jaxUE336npq6RWuFdrJdzDNm1Mv5FftXLT6DqGFSgk9CXH+A0EUbSHVy zeJhM7Y82V1qPv9UMnMv3tklMOP0EZgFgQn9lcniYmcLhIiNJa6DDj138W4wtTTn0ZT62cX09vp2 sL6G5Dd8N+QSt4zmqy0lF+R3uwBjCHbRe9I61fYq4xe3JOBvfz8oZcaqaxofAW/16BHzFlc5akg/ pwp0/XJFkLPgxeT+3XuJUAByqeWQ//k328pZBVwj4n/P/2sXiTQKQyr0/acGh+K8nHuWHeWLUzDn oDvrrMpVxEXdjrV5EwxITVHSxndUMhxCo/xFbLWuasE+27gqO+fyTlAOl2Q7h2BzmgjFyhys3wPI R7x6iYIRY9OMqbB3HKKLc4Z5yv3r3YwbEA/Liw5/sSoYbplB3Q4H1WjhigerA9bIvsIWBom/bF5Z LXnCkUVlo1OeXMizSgbrvJmFpT9+La5Yd7OxsZTN8h3elFNPErqXW81TY0ToBqhxb7hLvGy96SOb aCpE1q2XA6D+PFTBSGCttNVWLNA6iX8Qm4pXJknEzCdXlGO1rAFXB0a5ASsuzF6ZW6tKdodfsa1S jAOSgSJxhK0KY+mULBGk2JlNnAle5Z+nLfbUjI0x5nFTOJ+zDy/lCC9ahf+q+f+AFYhXSUixOxQn TgjcDayOXm9g5ce44Moa5K9NSckXu7ioobeJOknId84UCUnyxv051mp4znGfCj69ficaBS1NBU87 enz/CXB1lkEwgEYnj8jUEyyG8jmDrDclTHmJbghOB/7bNzhq3YfD7DgC48AcxsLMhAHFRO1b1utp g11rJ/JBytt+/24BpBjFLRk+RH9N2xhjORzwVEStEGG87SIDCwIQoZcoqvHdJTqaxyBr56fb36bV 0ATtP7k69Z80x8ohoaNbjHfbFlr95p+F1wQ/QKbotrFxkXum0Awocg9jrlzhimx8uppYhhywf50T 4ZzaZFYWSJnnDFr8hP4NzTd1GRz+46oPMiCKVs8ihZf6UZc4iH0HZHYJ78VtReh4Q1ahvbPubi1h Q+JAviLJdiS1pLOjTHr4XcFVxnHglFDpudytXe8NyB/ScIopQGmTpPDvRdD11CRyVt/aGwEVqDVP q8tfcb9mvmwmymm9aHcIOFEsfROlP+u5GkFD0lH18r2mc2Q6bFZl4gZPeCHVlRGo1s1zrD5uw2P8 u3Ay/LIVJ/FY0MFwhMplIUvl74IV65/lndRZWEB5Fg1fz97TxQET2kakhR7AAz7pMW84JXsorUWJ RErVRDH84fD0Ik7DqQcKdr93Z00XFr+pb6Uy7W+qPkm07wkW+bakfG6AOHDXeMCggFT6KxU1scup w0ixV/6KH+c1O3fW289lO4URBLocz3XMiXMQGEyXA6v++xhWXP6Ta1t7UC+YYFIuz2HnL3BHaQx4 UQNVnNAqbrafxupo5JOULsWfD/pdItBiyFVQZg+3kTjUS/vxj7Vog1LvfOKktfHS6uVqBUb4/fRM 3Wld1vmPxgVTjB07VjIngaeakbnVqXu+9lRBaznzTzTG8jtmXQB1z3wwElruywbLhcWB7qPRF3b8 bK1GPtrIODZzOGWMJW9QaldgTbXpqUCBKues5w5ytYnWqHixm3VBuHTqoQ/VtAXjG8zD0vNorDDj df2+G2OhUbcPu5aM8jDPBA5aIAXYEwJxunedbc3RfnO9oL2ls8tGMd7n+JYxNU1xmbGnj9lYRsI+ Pf5QJlEBdXOyQVICghZ80ph+IFZNQmgYyEijsQPa1WQIoLDRoTlnQKmcKd/YNh99NBiDwz7+JzLa yYbaUrvcx4WOqjiHviyWHsJpIFYkPZXvXp35Eacu7pmnysvT/q+J4rYqO3GfEWlpx4kh4VfAddUb LfBBlM77gKUSNkcWkCl+Q5DCIlDgo0ZBGU3gNSw7E1tvgy8JZmsy/DwlkJFeVTBWIR2riR9zBpiD jh3qpBP/xhEBfFKQ29xSJPpk1g7Dx9Hn4CqxlE0AqsmD4X3NU611qWwgri5bWHNtAKZRFV7+A+cq MyQNewEXYGBahPMimuubfr18S4a/Cqesk3RmNtHdpEVJ/4Lrim9anHR39ru2lapWTOj43Ro2Vlx6 AmiZ5g8htmqPes6qQl0U4Tw9D8ItEIzSz+s8poKBAKwFaxIzIdv0qFvPvrgAJsnd4PG77PxKpDgR T7f9/WXC+bQOsEwBDi04qgF7/AmmS6C0J6Ftj5SzOXzeIQQBpHZTu9pwcVAFRJEnUkxWsEx8trRM bSuWc+QAVrbyVT0ya1ERTi6ScGFuBkeHr5S5yR/u029xkIMEHDYjFD+Z+3pgb2Hng4zd1kUlPm1l B4ciK2rF56Baz2ysAQsswzYGwdsMm4Rss9HTUvehAZ9XXBoRzkgRXTeeQwg8EV0zqhLPje/9r/rg Hs9uVFb6jWfFpysrI5gSr5iXoVoIejrYodOZ8wRY/EwYH/fqHm1wZ6o+KEukDeJBYETAM1lhBwJ0 hrzQclfIu1s/r2W2jrlEt3QrjUK49p26vVw12Lyp0gixduLchBLKBc5p74xyiOuvu93SAIb6DlAw 6yxZZgwuqtaeQ4712Y0jSe835huQ+AWbWPbf4i/5HDx74zz++nXGZTFUCIfs1HrrzIeTwFR6PcWs kRkE3DB60ij9IUMV3dZ7CkwxsdkRdUXek8WbgW/jPX0tPJGSrvhVssWlWRbiZ3fsuqJwzLP9nR4T JIsP2bNAZg/LMWF6b+nUwLiElK/+2i4hlGHv5OMUyHEkW8cZQCs96QjUSlN+d+sAyNPVP7hHfEp8 0Ic8yol7Osj3ORAMan34BUj0OLsnTe4EGMknYSM4Lm0MInsId5zbto6askLRiQKJfCALQkNEGoI5 3QXR8YurBVIwkV/giruirsHBuWZGvh01ROh/ebL0FxiTg1zDW7x3+NKhnwAIf6wOxoGS/JEbAuAC RDDLtShc17Mldl9lNiDJXLWO39rU2G2Y0plcZekgETsT+AlQfg0MoTzkNcuR5dXTdtzhMk7RHHAR lgqG6CEv4mkjQ9kPYiUQtpufpbow6zMkQ/qvuUURysYnkK4y38/YytB8JoWW2yWm4iGH3K05lCOd 8FjmD3iULVKtd+r89f00t7Ev/2bnOLcPJD4Rhsb6LUVkqrzaYt7FrWROUwJaQ9fYG6f+mjbvYUwO 1tt9mBaoPfDiiLViX69WZAbJg70TOkdqkJno/meZVVJL8nDPkO7tdM2ErV9GtK72shkFj4P3a0SB 7HT8BnCf893/nFAHsZiitubbBXrTh9Hf2Jr5ArG9spdVBCC3GwUSQcmwjhJlJfZt+10rkGyzUwur bySqN86NpxypZ4LlUqIUPWsbKKv64ZM7ARbFRs7XaLqnH89LMqaVgjoIIxUdY5yyzABzVeOTW1w2 s+7+Z49j3+h8QhR1s6Is9rs7ISFeKHVfBt8dVWzxWF0oX9LkjSK2kNPoNnGHwR0/DpvYREcSBRxQ Eka3n0Cv0o/wtdX7+elRtW0RKecn2V3lb2W9+d//6liCMh03CxymfbV25bTwOrSZmFKOfqqFvcjd 6UrJYrJF2ACej5GTRoiiVnwKaw0M0Kz4wBwwc0XbR18KqEoYYdxsYmrggN2XymSilUTlkUPL+DYr InBly7X6cLLnbLKEdauKPAuD+qtHlKeZOHS3i518ND64bwnB3x2NAmW9PTTTTjGCDNJcx2AFYrwb 5fRWSnTX6b+k1iMcbGRq44/BOW8irYbtWoLlNY0s0rQxcKHtnxBFydaomZQ4lRVoFtaQvJgKOs3P w9vrcyaOZznMyRcj23ChZTat9fYHbsJXT9B0CCA4XSnx1nA4crD+gvnHHlS3D0K8Dd9UfjcA/ebX hl4uxyDBwdPv4EPtSE8yfg5jh4RRD3MIoqylNS3vkJzK/8jdRWo1FESyz1BpYDDWVXvoB8+SnJEJ DxS1NrXwUNnhEpqa2qF6qlRxhQhLY0Enc8WKYM11bdAQ83eCCLwRtHr93IU2nESfTIKDpm5S6/5Q cSkeEU3F8Tn/FXbzVdhCOnbWyNQYy45gavJCwb3HaUAh0S80EEkOdzHJfVS6JnqIKH5yf0c0MFeZ iec8sITYxVYqsdBOdvYWZqac3BeDt7aSRzD2zUUYsKLbEmRiBx00b3s4hWvOhdKTyMJJy3e+gMef xgG7fLmi4VKSBEigfGGTY9kx5D3nIxuT4DpflK4jAT6UFyYsVPc52k8d5laqI5KK3zLFSzRF/dbT mo+A3skl4COYcmIyL9Q/5pq2o5FISPIk+k2VDd+iS5v46Rxw2ntrX/S8VFKQZOO5Y4sUDFHIcj4c oCdA+rD0yugi3fsj4rB/xAvCg8pvHUjZ9SgwQwf8jPMb1Mmy8jk0Ux0OWNmwUL9LUal7H/pvICiE ccnpzNgQFX6KU0IVkE4ky89aIOSHr9RlSYGBc/F4B7+ybv/APAx0voJRxJ0yn8KJp9Lx+a+/+rla o3Iy4gQWAoKzM/f9gfhPI9k3ULHBQBGkmQVxmB9y6mAXjq5GbuTuE0CFbtFa/JM1RIIycGOQIqaM Tj+BfRx7RC/4HJrWoKazE3S9f3RqpANLt2IuMq51ETmfzqtbiYhiWN9bb+Fu+y5O2h5/D4vQx+AO 8bhE72joKEyCyO+4MT4IecnSMQ7b0qNxGXnSfn1qNvmDLqRdQCqYMpJ9SfaZqGwVcbwr0gXDb4OX atL2dostfo0PZuUNIeAQUFGpLxFj9u1LPDx/qLcC9lCTdvkACbINVa1QoiqU2AXQlqExgmghdZy7 DnqPmgl+zOr4nUIifHluXZb4IsxpQIl7C+1TxIr1uy1Hs6ZHwa11z00giyrseBv92QHF9Y7P0G4V kJcnDX7LClbRIuAv+h1Dc+E16WpNRgKGDL1FaxBekPe36Y8/r3oF1zWGSrOY5SZhDwJcbrWV6HB+ ddpRdSCUtWxHgp/MC7+Ms0YhzJsb+IRNgsOcbQ2M+vs5WzSDgZa4LAId5eBPzNYgYBlWjFD+zupc bUA1EiRD7eriDm+cOuPi3lhz1vupjGyiMh1L+Rm32HjPIqgzywRhW4xt+hTx4jin1C15qtwrpLoz A8ms8ZUBKyaMKLyRC4iYZzHI8hcZvtHqSvzMjCMM0LZtA2YQrH7iH41ZPnrd6M/bQYMPwBanNbRh hNTVYPzHVEcsOrnv8zlNh+jEv6ArGNcoCKS1Guebg9xAPXldz9W6zLiHba3JmhErXaiGWLzVL3vw swUuXI7KSfffEt3Y7B2XFyyz5qhdLqxkSL47j6V3r1HCE/363wuGpakp5eUFrLpjbf5bT/nl+b3i 1F0je8wYlFPy0fu/9bAoyEZLiPmf7j1/r1YYbmuXzBp+Q+zqPjBlu8ro4/je49NzhFLU/sJFRmBP OqobAUv+ZWnGtYwGW7EibwCwQ3m8oVzBazv7KW/5lc+VdsNy8mju6DtRCVGp9Ms+TyNr4g0nJRNl b/jll10TpwcWA2ATizzA+cZIOnvbfsrLpeOP02HFgcVccu29ijrFGLl0d59wpUd26FEQ9NokeNBt /6JsjyWrJJAqfjJjrPAhbIw0HGoC8zTIlxQ4Hoj1GuFNdTnGs1G+SVvQRijBOV1tIzjv17ESxtxm YeOyLHvOromHelok5OTmHldeQLtoW5VdDRXIYmJ4j0Z5kD0gdaJKyI9P5x6Baga+N7VUb7eqxQ/v 3sW71Ifp1Eylupf+iJoV13KQexP1BRZAyN8ewa+lcgKfMVVac9/QQqicZ1Vyu77ikhacVqnDJEQv CaxWBUUvAP2+5Naa3v0ZvaQgcno5SI1dqOj38GX4IcQYxfOF1LNMcSzlz+TDJL4R1HDxmSRgGt0H Wr1CisuVZ507jcixbj9KvGaI3/xszTi1MGPJBKOcOQBCYy+vmmIrr9P6QoomvtNHzbMbU+ChHO7A IDFUiQ2NM1AdI1ICLzCwqgK6DIvJEQCDQ1Dp5/vMVaEfuugKGL8iiD2raXAh56hzY+OANJC4Qqf0 jskKrNcS/F5bTZsbVZXtYmdr2fXfkLVPgiV9koW7P6JugrSi21P9o6Vx7Y9fyJpKadQCI2Es2Wlo u3NLhzqAZDnqPBgezpLPmLUy0RZOJFW/KYipEr6jFQGJ+AcI5nIoChdMGHwpOUtZnwL273/79emt lx5rYkvzbDkUXYbnCACUo+8yzRVl28lf7FZuzaz9334lw28PzQyBk/sjrTMpvm0grV/VvLCiN9Ii 5bE7DHnF7fiB1T6pNJ3BH9ZruU/AT5M+unJ2WS9R5FpsVUtj2p7aOTf/WC6onyGoadi8UuG/U0lk XG0hyXj8Tmvbb8NtUEeCXsIUqQyDdTTiHjqf4uhJ6QDHKytua++PGyFSdOgWNZUg2uTiOFyhtD6j sZuL8ECwDZ1UIP5726vMb8+ucySsiNwEVq8teZeDgmuAsykCF/K/9WL1dIyKmi3vGeu2rKF655lf /Tvy8ov5RCRxdDg7feIs2ajBpOdeB0rEdMMZFYr1AlRiYznv8hfef+1kdxtJ1hs5liN2qG6eMG8S iYaDP9nReM6wpsdwqgj19jsLrhxpslTXe8MuWwnyAT/MZtqy97ZBbkbRIaVOho+tB5NimdJhj7j1 sWod0QmrmqLwLiJevxhDZ21LQgt3OM4oKlv6fhpQ1JZkqvSyXrwRPPK47usQViK/V7smurlhq/+e lFoRN24T62iNswhfTN12zahcWqLIoC/bPoWULT+py/Bz4xend2HjXuAED6jyczamY/hCVJjPsUvl 7o0V/UkpStp5PMBvGH53IBmWt3pcTBC7la9IyfLFxQ+y0UdWgpkaIYr9SA/enTzqAyJem6lm79fu aunf8nooXntPkh4wkbDjpZgJyZjDbP/SgeB2q3exSSX3ehREVhvaTQ9fkLnFp64mG1r673l0L4hv VITbAkkHwsZryKASrVBY431KLFZW/WC4fUQWQuAgqFXPKAXP5MZ+7BNOYI41vf8Iy4p74fACDg1c RDZzU6sgJTuYWVRcPke3tBSDftyWu9LCSxll0rJts6HBw+kxX96ng1hCWBfXiGUwAvrdFV2yxcQe h/ekrztk148vzADLrqyzc9303B+7Cquv4ND5h3uT6vc4mRkVqJL8BQ80dCGvtMhE77mONDTia6nO IqcWAKlC97AUrHII+H833UyHNwSS/lgzo/69OFhgjeRKBYGKUUnxN/EdVn7BBeuq3Yfiab5cVn2q 2qVLl0vB8/ibApLUjO8UcxbyK/KHppmPBYRniGPzePdVnywU5KrUBPn/9j+nxqIrxoxMNjRT+Ep0 zElNOPpCdj+A7GIWI6BDtFzcUbu9+cX//ZjDEvxBQmyHYhULe5r1FA8+tqGZ6TiwQZ7xerejiLWI o4fmDYV65B5oa7AE1fg5OUfhjfb8yucYfElstEeZI363Qj+HLvXMeGq8+3B/FJNdOYs32oMkr/9t oy6Xdo2YHAHYKd8+tSjCliBFIjsWQbcWbgLQBiHuQpIsXrA/MPCqoVKqJBcT7VmbaRJNTxJGGFFY ATuoQzGghpRddul+neAiOpNhjy6gnlGOZsxXh47jz8gd6maG9smqAP+62iFX5Sql9stOA/l8zXj4 MwpvbTiu2U+Rac3KjL56nMkttjv4r51Zraom9Nl1Odj0uUYfsy5LKG1kXsEO3htv61koDAxLeGXO 66ErHk4lgdlnG+XFL72eArhCMyXVP2p2g1rg3pFPft+HcOw5p5QPHh7DHFu1HVCSajrIDAEJmFo3 3SB+QNq9Tr+MhKOWkuraZnxVA6Uy8OIhxkfLUqawZFOpGYesZfQ4RewTv/73TIfjIMAOu4rOjlvI 9B1ddRi98EZGlzZMlm7xMip8YKLYxnQU4XrkLDNpl5gIJjzGA+7CVSUXP/wEJVYcwIwl9F2FH0lb 8YLXWDYQMsaGkeX4RSGZD2Iw0gBdVo5WsxiedDtTr/TyqBZl9YH8LlADCu+v5WB3Bdy5U29olIje ZDAgfJG9iA4K0cEttbH1bmir1cryxhNWQpvLM9BCbTLT1a/ajiIRZpx69xZkqYwBr3Qxp15xV1te PYZQCmotS5/h/H9QSwMEFAAAAAgAAAAAADHL22uG/AYAwNQHABAAAQBudmxvZy5ncHUwMDAubG9n Aex6U3RlbbBtbLNjO+nY6Vgds2Pbtm3b6KBjWx3btm07ued5n4eMf/zn3nPGHeexRs1dVR/WnFVr 7Tesgu56bHCXv/dTFK3jMCMgWYGkAiABn293jqqaTTlehK4D2lUr6VIvqc6zxfywu1A6COe9+PSD z5tVAYxXfiSA9qmC5JpvlcrtXBgnhDAK6KVDvProQknZIGwJh+uK+t/pr37/v/b/2v9r//9jF0Uc WlU1ap8X7BuJaiwmSAP6uXyBXH7ZJ8RWDxb4T+k1V5J9e1wrKjwrVjZzSNoi9BPc77JJzKIigtnR Lkj/BaTC0IO3tLlezDYAh/rLC9oOmpIlS3Py9nxujaMk7bi7TWsWxnapG3FTGQnOh/Iz2FX+9ZjG IHr7VMwMvbU4DrualvGk6SkFvwlGHEEP3o62N7ZpVx71MW8bOXp1YD8VGSvWMk8bQQMy3/MMlaOT KOC6JsaSTKv1itYXzdB4oDxqcuH1GKPsgfgI/+ZwAooTZrcWCdAvHS4jZ71514SuRvADafPjCtDv SVQUZjXiMD2j+kmr0aE5DuhXBe7ZlrRPM+pd7/ENb5f3APRjvTfWm28msisxqJeVuEAWAvq9hsvK efE6nyzYTmuDflaIAfqdiidf90i0W+FnKcfpb0AdAP0TTPXmH505phN+Myhg3diLgH74HZ5ksFPa EsjxqW4ervh6QL8WLzYGgUn3zOC96qsmP6UCoJ/xaWttru0X+Za+9O4rvYwOoL/6FKGLRkFdfQTx NFVs6uQ/rf/cShM0SlbOFsb1OEM2UaMF0C/O6ns7EnYJft3AimkUnc4L6IeE0BpVa+86x9LRBkN6 51VuNfZ2aV2IvIjWRrisyF00eqHnS1Eq4XbJ4cgN9TZK65FuCs9rpbHugYjpv8W6KFxv2GKgbyWU Yf6l340oVwUVRdiRqnPJRcvwbrW5Sj0Gn+VfstwqyMJiv2cO9euTxGF+JxU7ZD067jj/CPdBx9P7 ikxT5upkwDqf8Zkw/yqpFdU2jw2y32nX1/lX0r4I5HaIbT7Uw4hgEv/0E46AZW7DNMSanDtaVd7C eE5JJ5Jh9z0gHn1XazukQgerZYsNJntpe6nPXhwGoorezGc8PXZMQ57DCfnQ+C9x3oX3KIqLCbZ8 w9j88wwsoQG1PMlQS3xjQX/iQZnVXxDhSeW1g5VgZndQQH8z3cEBNZeEBt7rXB92gMS9zmZD7wxr WeBmuz7N4VKk2ekSpVHPx0pKDJ8ZjdC3EZHEkBCp/a5firvBDaCznYZ/X8IKvzELVUqvKaKXlBVW FRu+Mdp+riPh0ODwfkr5PQgGLNrJbyB3gG7QUIcET8dlj1t5kleg5biOmvCBzLb+Epkzsb1thBh/ 9BkWJBvnFxhJL61VIxITKYORUIE0MiLZJTpYmuELr3KRdhHLsPRDjfrKBo9exIW96u9VFii160nF BW0Km5RC5QCB1MrtgF8zs7pjCyfCWVPEiu0aV04FvVMvsqYdOH947PB7FzHV2GSGtOyTmpqH+uho wgjOjAQSXJsc62E8tRBMfebJp+YLuOr6VMLDjtkB27u6t8IB6QnpO/44xUDWyN3ktYF2n7uWRh4j wrn6VptYmXadaLg4drjw45YQhyGN+umcWlSUGj/4Bkie28NHXpwkHeVb1GVvKX1IaJW9ax5aNUHj eK/DS8oeE6DNxzPURaJcGN70WZXf4ir/7St7qMqgQEQBNux3Bc4R8oOGVwHhRWR+ua/eUiATKDPw 3zysiIVJRwxs9nkJw/mpk3igW1jEvRS5QLGs/mt4YiMKCED+dvWZciYVv+r2fstUd+WOy/2GZNeW LeWzpMsAmoR39KsTEN/UnBpqncyPtZ6CcQpKTTDxlX74sfYzlGST22+wQW4SvgQWtUJyJFnR2xU1 4wNfXjomonVS8p1mku42jZ/4gTnhsHvxo0UjySkest/TEORoxaAIHOkSRWy2WEj/dOxW1R3mOIN5 P/jtTL3HWy9QtSA+nWRxGs5G+tzWMW5h9gzprNIivVDHxHP8nAXWJ+9oHf+hoWaIuYIAZ/TkmTZ9 l6oSkqGdCILRPaLxWKBlbm8M0WPVhDtDYzwR5ONTdPqnGXSgci3xCOJNCj17DslCQrksK765rl1W PtOZtq7xCsyaeddsw/Agir1WsOcs3+5+8fw+K3J4cl912kQ+uc4X1W2hIReencOqtOZ+QXQNE+X5 JhCMzaFraZzdUisgWeungdxKUAUsORYxH/dFnA2l1RIQYfIj5DY8/W7RxVXNaoq7nCy9y2sGGoTo 5wCVkxS/gYHMJYTwYkAK2WZE9JAMm4I0Qfu30uoPK/fW4fpCZdYQWLgD/iwYUppxzHbFvnyzSCO9 ViwmSU/Q3A7iq4Ssw1ibJ5sutfnmWs52ixCVOlVPwz4L9VTnQ9+V+cKJ02DTsGvmU5QIenA2BDdx RuZyzYLJS95aSG47um3JLvGVl6MTQWRt6RrjJG35kHUXShvKX1YpUEw/KyQSXeJ5rZRQrvTPStt/ kCwJ021AjEheLDAslXL6UBs4uz+98nR4uM3Eh/uuxiAj6IpU5SzfRdpt7SK/Fcy7PDAL4Em+E69z Ds82nUoFQX6Fh3Y7knUNZfu4ghBiStZvXZsz4H8Hfr8R+yh2c1Gms+fz3I6L71ij1PhYELmbol2O U7GL4Bu83BgY7PCQC2NFPBh+c+4IaCn+lVy04l1kPEb6oy6dRoHaofItXrYpEXX6e+CWwtM29KrH dd6fH6f6XvyfdCPGvNUWmBDewJLB9+twV5dYPTVES45b54mhIlNkVAji0Mzk2nLErWUTPwUgabRS XmpM3ThYlE9DTWNqq0XCv91wNxFrS0f2eUFLkvatQumN6GDk0r8KI/pG7KhCz6u4YEajTdjzpRzK Jk7oHTzyCsKMwfKdGggN4B+AxU5p3VdOIlUGC4dEa/gJVH0kZu2hyk0n565CGLB2tUIYwehEfgAR QFc/+3yXLZ3Z9hYgCb2RlzH3CUzoQaInj1IDuk21+b4wZESFAVaNsBLgtnZfpPPOtsHUawjXTypB agK8DNS6qB9kE9oNWskozXolfwyh9f2QCk4sikkcCW+GAIzBlpAspoXUOk/Ggd8O5qklAy5VVukk hlUPrTd/Y+W+IalJQnhoOLk/YqSuLnuVW5kQRVcn8N2gfkIFl2O/g2HgOK3djiv69DJm2enotMm0 47JGP+ZyRqvSImNM+UzQWsqQZnNjWE3Kr2f2HnfH9rlfCmRLcaj4b8KBjs8xS3bA38qC8JqoA6h4 iSKsoTGTC91RJqJ63hSi2o8Fsvwy2rmo7KLvjjOPWsOf1G4uY+Uzg4pzuBgmLN5Vs3/2XhZxGWX9 pywTo7TNeb7ZaO/2mdP5DZ/iuaSQC/8FMfWcCCeAzlnZBqz3k4MBoa+tmbf4tkcrV+V0GbDeI2s5 aKNjIxTbsmVU67MDJcB6dXgXRFjJM8MuY98yRDe5rpNS5Jr4VtTLMftQr1iDaXhEp4vV+kjHBCWu 3OcdO90sKGIK96w3Bdg4P5mZgjFQ+kr8Z6heaUu+2XMRzhMutvnMnIQqexJGrsdgC7H/8A+ZATwP onchxyrkImrIRmj4kaozQVIizsmpAagA+HxwLGnNDg/AfCAoD6zqvKJ96ZpRmBzC257/NF/tDGbC Htr4bVT/0KgNE5LSV+f/b/fzq3qulaNUV7Ev5PX99OiIdOv5vlp/L/RIVCHSdKK8rWKBEtLVyD9d P8nvC1mME1xtVog7ElCEDp2v8llTxUq/4s0PzVLkuZqHFOAA5rO8UrN24YwLGSfLZYzh8Zvai89j da5RB0/dss9GQdQmknsKfuWq0F5aeHhdEzhP0T6W7nOpvj4XJVW+B5Ue0v9AXyChxBgjXFMGtWFE sieOnA6KdHELw7d+mUUGF67FvM5VLANJVym2gb+cEInQbqr7QJ3s/zOqgmC1330MUUbLgObUJI8r wiuhyvZw8yGSo/zSLaEfcgyeYPdH0QY6pj26P6e2N1G+pL2rIVfxKpLMdmOXCQ31HILO3OXhh8kQ WJhDKhov4+vtrbFcIhWO9Q2xlyf/y3AAWoQMAlHZpYfXw+1q/vSPQHnxN6SjiOSwJSRWqhS0q0Ti bO8tTuKfi1Th3VGh2Koip3t2JNl7npiErRfCKpXXMOW1tZn+HaTj957p5N9vZ56YHQ5UYRejGc9q xOdi6jn2WiymN7XcoJMoI76qd+tN0NrVERKuPllYjqBDTSH0Mv9e72WE/n0anAsvim5PeY/GLs6I gMdSMA8sgCml5VbaXcf/Y833PmgO6F2AS2CYt/xCGdEsu6N5T2HUhA0vCUemN0xk9W+9+vdNKXru Ip4hP4fKpuAo/VdhziIkA5bk25UyboeyhYaVIhSdgFwrSFZeXLHDnQuWUz8/ZvFh8q/y1a2V0QMF FMLFlmErauUlv3+VjzGNGCjwWZATP0XIjDJ5dXszuCK9PsT6dU5MjXCKJ2IC8DywVDZgtnglIIey skC6QhIKvzoPqVT2SM0rClGlcQKDRHMOnVU+6z8p0+Z1d9ZnSyUjNZyA9UiD5IF3VFa/qvDt7cbl 36t/tX6xtyNJeWrK8fCWN9wwnrc79z1I1x2NdNUPtVilng12g6/W/6t6NAitgkoRNsIeb75+zuWf 5qOEJR61QWFbBesZ8FbGBXWq9zKdMM2tPEuo9fbu3qtMA5NgTDJZKjFxlMaRMZ9Hahp81mwMEbtg cH3G67K9WFDaggX2+G2Mq8lEIn7END3J5cDjsBR8nAuGl1XFPgCKa1lqE4qheO90kmWlYlszievk xhi2TGy7RfciujmhEiHSHZ3L9rd03U3sXfU0Dh99OOU/8Wdpb+1xj+vEHIysSkYMn3Vb7CNqvW+7 NP2e2rfsCi49MtVeJKCLJeU8es66aqEpSMTdPyJdiSrs6VVThe4YkUW2WpEO+9D0/RZUvwfa408+ GqPbJomSUKcnz/3xG/hiSDiSHzY/3ht9SSLO54s4H3qWL68W0dPZCJjQPLpq3XeaVoXkF7wf329a YPz0NfkM8GfD86SUrnBhsdsCXYLHoigX4nALCTWGqGHs1nc83OW4u8dBckOwve3AhAOgPQwZIa8C C5+Wr+DGrCnKpSmyGry/wotwEDziz3MAhVlKRvlPHy3kj8lkaMb1mlHQRjl9XvRoCNXNirnd1+k9 xm8Vv97BeUnCJY5jZhwEPfNHOvxVtef9c+ocecNji3WrqIsSSHqi+9V+zJbikhURefyE0UG2k9uQ QP5qPxTGhmweu10Ifqbz5f4s18Bzs0SDQmJwL8olQhqZFuFKB3x++vswBcWZwH08w+UDM+xTnWrX VzFLKF74TEydhaXKRWejf1bd50A2dhXmu5XvvklsQ/fXKUJ7QypZcNVLQ0VJqlzlXpyfopt5XVdM ghIYR4cnD8uhY/TcxVcbZchR9EI3Weu+r8kXr2Euub8TN2SmMbIw3wdAAD8lpAJRHOyvxYu1sBl5 LxCc2rZSfMd9V5pmIU90PMJcrWM2a6irXRoUX7E8vzDvaZ6qAvfu3XxMfNT+oWwcOpJ8yfGewgtK HYQZwi+Mm7qtRaGGQOeu92iPLgl4P7/rCr2MociwElH4R9OQJv8lInDwi20zS5qrLp5LSNqQQiX1 0+/E/uMOWbLtJuxIJ7HvGvITXi/IVV54Nii+1vaIoCKh09bujRtcawbryHLkCRyC/M9n7a1oSfvf GTmZj9aEaQS59LbyycqsEl/4HJhpwHqNwI5kgBM5MfouX5qLlqZ/A9YLeB7cHqz3GirJrt8/louk rbhwAestnEnbxpTR7hzUF/EqbpsGA6wXcH89BylPDB8SKHV2RN86cVuD17ydKwh+UuPioaJ9t+gS KccS9FMyKoX8mDFIZ60Yrq+l24ZL1MgvKDGKzs92eX3CDXSKswwRYt+I9q2ytOc6JQDc32wQbBoY c3ocOEPrlI6+dxDAerNIVpdwxSt/tIjUNgq2Lp1+VS8cpTLtWCEcsIL+kQG2BvrQV/chYA+Ld5yR sIKO/QcD+0G7x2bLyYmtNd9+Beyl/+8RLinA53lcczNHzYt2E4WdCrsYmEEmyuPFN48wQyw/AYcn HhJviiV3e/zMELzNzPjeQf8KYi2sFixg+1SY+6ECqxP7EjlyaSjPE/5vqC6Q1JvGDTjsG3O8hHhU TLZjkQCGctsumOTc7yhVT7eLP2BLHdybyKXas+qX9mkCJidCiNPUOSQCEwt+QtQmLKVVPxFMywMP 4FaGqEDhREsTEbkj/Dv8NILSwVoulkBQuQ0q/HF/zg09SRDuRTfUvry9VJe751FtsCTJA11hXCkE aF0NF0vtiEvaA94fwkL60YMFwjn0NnxD5K1XB82ggIQEOS1FeV9cIatllevz8pID3nJRDKIcVqgk zCC+0vegOLnoPVhzm5rhAsse+wLJBGOEsox8zIFu1/L0ZvITDHBPIM1zetUDhJHoDBHbs4g/wOII ez+qoqzYoBA2llKp9TGLezWlM8KwZ3V0+qQyZhBHO56p3zdb0isRMGUwvsIjKEQ0PLX4QMnNElL5 hOdsO8FtspqGrg7amCALwxnHbvdD2O3ClmD1XYCkXEuMhFlvuV9zzPHJaoUpv924EGI1bd/7Jf8J EUiqbaWl0Uf7eKHNiQ7S5lXiqJMoiruUCeGyayHSwuPG8BzROxhJK1w/psRvitvuaNgZIkwkeYMU ppH86zvOLmYiLuo8SOHY9noAuJ+Vb7dI/Gan1u5B/dxmNpd8SAYjCj9QUVu+MVFjtDgm/NVH8Yk3 IWu9n+6RIT0kgYz7ql7DXLaCjzueOyhqo7slJM8XWGpL8dp5g5wwsLgICLcZrhQjZu7sVPeQw17v VJu283eMy/nndy4dKgb6hrOexFxe3O5Mn8ZDFQ9g2wi+IPVvUcmWztByKUGzxWZL4STLI9yTdaC2 2D1F/bxZCFHoFIOs994i2xe1Vj76MaaET06/U3NVcH6idIRYGbT6OV7orRcP4xIGLEsUlr75iF/L vxS2btgj48z1+S0XYT2rOW6PFwLm65UZTKXl95+1zcbCk+5hTP+n+fLuDVdvk/Esi/vCeduuBdwC 4/qZdlR+o0IbQZzvdu25/VfvJ2A9vRoMYLE0VDx/RgJMs1dptHDMLG0zoJF+nmLdQ21rhSJ8tf74 laaWsYs4D+5zS2EoU1OGr9YPmE/NrdXvNyQmQYAcuArBtcLhV/ly+j4MX/FVU2T0SrEd0SYFSdGY tN2kD4D8n8KEd33fdf+r7zcbWWRJmv0Eik9RYAPzbsAeYD2Zesr9AZ/bqkT6l3g5K2xgX62fRrmr rWAZFkJwKYW495yYYnhwbUJqMmrR9j0hXyqzQuir9bvS2ux4RuXENqhg7A1SGFz/03wPcPFXDuMr E1V8bOTIBBvo/6/v20jdCv7kqWhjTVBMH5FdVdRSVhQ13YN6soXnvLhb/sLKV+vf/kFlEOUU5qc/ SRZEHi365X4D5gsTChJIXGt19gglvo9sM7v+Kp9/5KBL3/JjqE1r5+B5CTkk4H1Do8M4K6ujhLl6 h8zq79PeO5qnzch9+hlgMsaSgwMTlcDw23lf7rF32065FjUdytTkO52I8XuWpPdCty1syXDvzXuN r9kQAj26oSzxKD4vhpUsVSunnhL5cGVSumhPhz/RbQ5+xybbGP6446QlD5b+La2aS2ZlVxkSSij8 9vAwyEzK0NLiQUwLFvINTDNwQ6pv2ULKKf9YfUqpY9tPSNAHs/zNSKiRHqjlbpw5m9ZklCUyqOU3 zHdf8z11Q4gTbLRSRKoImMnz+MlaS3hkupc4EJP3Y9AzSjGOcaeVBV1yFUq4cKs+SiQ90nQV5kXR jZLfjVRMxEcfP5gCOqLULoA/uFKQgAoGc0Q/zW4oD4UHWC4bt0osUdjN9SkO57yb1MaQv/Lv1n5f SZi56LV4P5LO1WDjupa6qZeM0rAmdahM6P0EGvgpg6iK+0Ymmu+0fWg/qPTrxAJyHGHX9dygFVVy +ryQUaN4pVSPWjn+UjCPZQQqvA8wHq6Mck7OoY76dNn31LOPpXvAeBIsWm7p0cMH2TwIf24mNQ6+ indPC8Q0JIe7ARti/8ZQXTn1b+t7lGO5GCxun3DCQ433P4aW+Soek0aOxt849nlP8uT+yD1CI3hU 5p3iPp7C/f11k0ULryl4nFHdX0mJis5SDFHzo8gmFthWZt3vTzJLblikzUPpx6+8ujU5sXe7V/6+ 1mvYDXs+FPGhH00PFo9Ad0pQW1VhjzI8XGRXJzrMCZ02W5uXvIpBqWkd0Izd5BrrdVBz7JSyjT+N uHWa5Sco54gMA9s/FE+OfMg7N20WT2EoPWrv5OR3A/VkeFGo08TqQ4ITvYpkCK8ZscTxTuaPxXWX KzRPTZ48JFb2mtV+ikSNhVC9gXn0bDBjmooI82o8kJOCQaR5qBx7K+dCJDKS8KBWHm2Mbrp7qEtT CgzErCMm40yHdYKwzGOwp6fnA0+66sjA+oPJSuUVogsQHtATpZQlYmHEc3LXUDMNcjkzjURzmePB lVXqEWA7gGcdNSmt6xfNstkL3rsSZVxIbThLDBq9+uaObinaf/bDPkVMObaOPJvA/SdbKGEbb+Jt xOBZel8oZe8Xlo+zg8NHQzsW2N9+SSKCZqVAl/I2DT6aloPP5FfNgp4/YcJdRVEPEf1yvIL4wtc4 fgJv5tP+tU/BLUUGuyZ9dXzdjuLgmcQLcxghyQpKrCFEQIoOiRGXF2vjoHm0RuPZB9wtGwinbXUQ 1Q+GbQIERDq28lHZzkNK56igfnfumixACgev5T2tpfdHa23AEjLfM4aoo6ufN0mEPrO8SLnPweUs b3j1sxHnx12OfEKBKH6VjCglGWuSCWXR5ADNjssysvxg0iTilO3TIs4UeJGCUaU1x46pY/7Rz1D4 1FwTb6+W6TdcbDjErzZLy3xC2btTbPSVTZdjAFm2czYrzsJvgCOX0c33d7ho7wZT1klqWSidrMzb e8keMqqxsY3EWfsooGzosPUjT0tF+e0JDfHoerDLfg6RzpIpF1HgthuuhzoAr+AymquURXgFWcf+ IohjHRThhb5LrYtENzuJUasJ+43hzNdc8TIsSvQjJCPxQAF7BXxwMc3V5Iz353o1C/3exvUfdCbx hbPSCfQxRWc7ZvBf5tDFJrGt3qZodlXAHEuqj3LoNrZtrPDulM16v0M7oWNn5MDcoFNKfYDMBk2+ 4cO5zK2mzf3oG+AaKyRQsD8fgs/hLLKPZV2oHm6f/sw3g80OOZYTc6JgxOqPpNlmtX0ADejgTSoN RJCt7MjTbcPKy9bh+39tN/YHUrXNosqdP+nwmgTkhOTbsr5aa+pQrhokD6AjhTGAVGdybOg2QODR ALcyiPc5uLzgAVHiVmaEW+9bIbLr9S2hvyPLNH/2DnU+JXGhtRngBeqRo6WzKfAuRzGK4U7zA9oI WlWRiflQcrEmMkxMInWRNhTwK1pnpAI9/fS0hEnwxfnBXOgqBJ5ZiESznEb+NMGA/KGAYNu3sIqq KajFiMgKU1lkdmLREj9POQwDJAAl6CbvrB1ME551NleJp3/1yGgLZQTIH17wZLjysEFGoOJrQO7O wWvE6EUUnqjCa01/oyaGj3nBxcYVzv7OmINqNEeMNoFZckWNhINOKoO5gkwfFAZR+UrxHJiXrFu4 NX2zYIsekGah+sp+VaGhPCBcRgjrXOm7nrz/84EcVZsPff47nXdVsMAk1H9IBWVJctq9y7ad2iT4 B5lvj3p0fRm1M4o1qz6o5p2IZux8Vu72XwQHo4qyUANbFodvgWv0Z3rQt5qupaUofeZRyvelOmrJ 4WlLAmQq7ZlC5l/xX8sgISc4z2j+ajTFAh9dvXni9Mf87ahuiulUzdHMoG0JKtLObB5Ln59WfUu/ ELuSUSOsxzum7vRILHrYRBysnri3NL7jqrZzuP80lp+9sCM90ef7Y5/lZw5Vruf03iFVvU6/isLc T7Zb/gkNWrrVIDsptYx1z5/58iIF96x+o9sh9PjEzOmqINLyD9zSrMFuJTfax8Vn8No1MjRQeUnp re7FmaxOHj7d7fStNOdQ0kIP2BsTYR9RqaxswBjKxyku5n+9+9LsCbTCo84NM9sGFEcJqofV2m3H oxw6g1wgM3ckT7+/7ZKLHTqEsJRUtuTXf3zFz/+3bUD+R0z0AZ93fyCGu3HBd20vIQDkfx1zbd7j zzqPEM4eKyrKZpmPhvR8oZVavZ0FL1YPI8IwrrMeosMS0aGIsYm0xdTHBC3gkLef7tMaozPf3KF/ SWK/GrMV24CV7KNcH2pRtKqNfWUD6kndisiI1Wg4NRWJ50uTPU8yoJ5gXFv2WZU75uGwdLKKuzz/ BtQTTMMiOHBuSrO0ovlIic8lrZetB1X3caBJj1hIdpR2BQkc0dljkDRcmgKwSfEAuC5IQD3JKPqT c3wrQBuhDYda33pG80/1gZ3DOGJS6uZGXnc2BsiDBx9QH4aBCwvy3x+YU3o96Vv3N4W4qP76Z44S Ymd7OeeYh2ru6WKWLGfcSOPizjJBrJeXDQDqwzdhPdxTwxNN0UbOAGtFEphQa8bkCzP+SMztsW8E 5cXuN5yH54GnuNy/TYJ2r87PtAD1wfT3CG2jA2/pkFTvhj199CYg/76rwnYqb2fvJiwx4c5qRf4O iljfmvydcWwv7u2W2aRAzI85pk1eCvc0Q9f1Oj6LHv0Vn4otYrKuDWHaKYbQgo0JSMpH8NHSKyfv f3OIXu2ZYrox+4pPJYPWZQa6kFUlas8gYdmZrTzGljqF92WpK1AbF9Cx5na6mxpTO0TmjsNxRNH3 iWTpAPmU7vJ5ghYSJsLPNFvmcDGUH5Af/chFAjkmwiYtXn8pR+qFjMkxBXZo5OsdmbVHi+hlWm3+ U779v20XrB7Q0hV0Pqab/x6xTFACBuTzJs1U7OpDb959qK0gzEwa74v8YTpMnsQbYIp9Ep3KoCZA fv+n9ld6IGclHpZ6m+UgrP1bl9jjluwrPeDj3yY/JCPk59D7lt8Kemi225VwKnJWUp9Mt9fnCOwx 9DKKI2MG6b/AOfF6WT4hXEFISDdNB9+L34gbsttl7N5pH0muw0KjDxvOxuSioFaeDsj3t57awjCn 7dda3M9cljWEnf/dfPw/je/3jde+cf1KY4o8l93os3gF+rd8/k/53kvSi4vK/J48EQrhAPG+Q+Gf 8r1tZ2N9SMXDih/RWxJ32ujgQoC0Cf7WDUVWG9fBqx4h4lfzww5fnVwvPAVDNTrN6xmohsW/nR9i wTgJjCyrUQxqU4JVQ3lqAfXh9UqZphosLbBihCteTPEmB/3y73bxeP0WEPeIIzSspCygXqxptthu 8fzqrzd+Oj+7xIo97vK6wTM36qaCSMxA2sFWuntfl/zxSY1Aym+Y4geav6SrqcT5h1UWOCxNf/5X XsXaJkImKSTb1UOObfLctiZYAot3wGOckVS3KXtiB1wvIw7Tnkc/3XkUFIVVgtJFPTGSRZp3aBZo XJrzh9shDlZXRUo8xi3QZXlMbXQO2/1vBuyB42ib5H0gMTxulXbQZ8mAP6lTHFsYEimxolHONVG3 e4ux9cjhVLUcOt6Yf1Zd/DoB46n35HpXRmt6OE54hayDi6B+Fa9Hdw2i8QbPUx//Qy+zEFPu39b3 bkR3EVjYKFH02Wba/kE391W8Ffmf6z18Mnwzz4VsV0RVOuB489092c5B0/XAx0J6ZjB031YO2rQF zTIL4TkQKAk73ykz1zQXMx48FCSLt5yGIjU5OEazL2xbySQ3SxnsiPgiXXMNMFrnWtORhkGRuXxy xRJd2gqUlu8uBjuD2EnCw0BhFKtlf6KTxhNjfKzTirApZoic69zgfv/e8ZdvaneoFwdd1g/h765C vrJ7bM0QgXPQOsz6d5zRITqQ7s//uCF0f/Qu9RQ6Zizb/FrlKxoNrH85vNJi0nVEK4h7npo2kVC8 Vexo2LcGyEm9T8jTcMaG/9N5PRMHyIZeaAyMabYUW2/lIK30Bnt8p2BKhL6PM+hAIbJgmFteyuq8 0KPaAVURJ2atC/B5831E6vHo19bW5bJPoDd7Bv2vfr66HcbBkd7I3qIMyaLNuVHIOqVOlhZGW7bO JEN5ucsmcACfrwuWlaUnW8yu+WJBoWnVZv1/Oq/XWrV0mojE5oIYlcYdbCvQPl0gJk7zZtkW2B9N eq6Yo9+1tk1j9+hQYe1Uf7+bj7gH7MdIWHf6uznwoLSIoB8CND8U/+383Nae5A++7KxGK6cVlv47 dJpxuLlC+ePOs0eA6gXId3X5q36NC464AKz70XGJauYP4xzy+j/t1zZaMJd4x8D3TR5NQwQmWu9B NLkZhii2zzIxGMY3XbBci8DBhhn7X+/v7tFVE2VIigD7tWFntKFbp/7yKZO+o/3W3PP5et4U6D+/ krUUUGXYESBbRqxyBnhW7rmmnOILrJv1yv+7+zHUViv5A7mqzR0iOlP1134YwH4sQpnttUQCXUXR HF73jgBzg29C/+xM6shLEe2HHC02W3or7aViQLcd3ynjEIv2okPZv+2/uLU7Ju7H8/qgYLUo6Vqa DAH546v3kVdVeDlya7WyHXX6FOEVUUeA/CEjHQ8Rf8BVpThNlP8cWgxVBqIcVt7D3wI7KIASgTyL npqsRYaSn6ils2smjuD09P5v+5ev3leOprTk2ILRR21+b4mMbd4y/qrf+JyIoK4WC6j88+MDcSxr TPwr/utdbjH5C4Ujcwj3UXc1LbicKu1Cvsvhw+7F3vNyCfOXMw+Pb0BmIlkFGh1NBWMoxeJn8FnO dlD/II1HwuQcTpkIIP8pteYY8YsUHS9hV/FAvVxMUgfSUxVuNJJqHTyEQs79HJTSoq3jB0m0WV77 80FN0Er8976+fQl5dOHwHU0tPARk9Cu+PNkK5K5GPM+VTLtEly/o7Pun/cz/NBuQ/7foT+j6zQz4 EKIr5sS0PA0B+V8iAE54rgAEAUXBvPGPmttzaUGFrXlnLOlRKQt+y44IVd86iVq7dnH9NA9nwN8T kjjA/uuf2oB6Yl0a6kCtH/kGp3kqJR8FPQCoJ1/N92fuZtFHSY1l1BCDd48lpxs1EnTx4G0MD4Jl LQtAt91NX833YtkzObB+RLDuRKm1ED65WF/pQ94Nhz1H0CoyFCsbe/+6jfpX+nAdoU9z3sjyvjUo ksW3ZgP+1fcVQH3AfsVjp4HKyNBo63r0YWM8N8Y+/yipxyqTAOnGfaA5GyJvj96i34plNr7lnHgC IksE1AdSKSf8Ovb9Bm614VOFRsHwr95vaoEjWSLW9NAlWujj6IVEP6YoTzyT3gg3/fFWerc8E7H5 ik9DpVH50REqOyJ3kceu56Nm/un3nZ/0DecUdaJmSBla5wmzUuz0fWykBFR0G8HcElzIGlYZLpeq HK7sZbyPWhZkl00SgtCR8dUTzxlipjI1xiL1BJyA/Pikc0F+IbrcIMCHfhxQsrmCBxfKl7fjHhRp uJBzXLyc+N89f37F575iip1AZ4GfTcg+IqatPv+Jz2VqK1mZTVYnveDvxQw+NhdpEbSJiiz8x/iM aGJoGY0r/6vnz76c0sYieJ9vPMQzbvijBfRf6UH1eSGFMlfl9W2/Q1qwzEQg/++eHpMRBSXrk8PX PQGr4BF3Pyr1bPvEj2jxn71JhVauBKqv3GRDiVw5jHRq8MERgHoAvlfPaacyELw1ZHZOHjxtAMj3 jLDfQh4/PWpAty7JZ23LfP67+fh/Gt8P9dfQ/bUwNzgcghqmVAIZ850JkSxaT6riFzcW8S4UYvq3 /P4V33eyZ/GilnpVtOy6XSu5b6X+U76P5Cd9JvcesPGTqwFZUocB7ScrydmjyJLFSsKOuqxz5ftq fpjfDvg4MBnKtn98biLKAsv6t/MD4PvfUD2ImFuyilYPXHXCGM08nH/6Pc02mXBdSzMBHaLc8UTv o8YW8P8Eefw/P7JSYRyRAnxdyO743etedV1gl6tbHd5OdaobeteJIpH7B/1TMu3A73BbqGQZm1FZ 44SMBVVIN747cloXdS1gc0Vwx6eXU3czvgjfODgiiEZs9cZKvUNkXrayTEqPrKCbv4MiZ5tRlhF/ vIg6l0Ctf58Md2n/datLwZkQgocDiJ8r1ysqb5cUMVjk5wpTLJ3UIqvmuYKIVtmZwm03dSKABsT7 LgopGFEZIDGL5ZLatGXfKET9Uja9UP9orX+X11mxEwLEZz2c7EzKCZykvKixSX1qCvNs9GGxMM8k EPT+EqWfhAgFxG/zFP9en4CPpC5owqUfjAeVJtBuUA8r7DgW5dZI5wj2A8RTgNmj2xlynpNRQnUU 3cwZLuKsjBj1hvj39Ta6GbHTcwPiH38nTB7vpUdWEH+S1n0Azyv9EbzaiMClu38wlYCuM02pfwlI rE/KT7fcO27A+OTi3xu/BBPfi1LyQ8vLlBd/CIJaJ1frWGWMIy+Gc1jQun4c5tUZXMTfRyMl4Vni vkK/0xXLqPMZWRhfafQYlMCEqU1Ec51zvi3qN7vTn/bJZIMAxMviPNNO3+0sYnG3Xe7B8jM5QDga Ey05Vm/Y//7Ne/QA168vEeOphq7myfLXidTmhtOfzBecj1WZomGy5+fY+FCP1xyHbqLG3OCHw/eS 6ugbI8D44Rt0YFJ1wNc5aE13DedIj1OT4pO7veTJa63jsufJdvvMBsC465LRCOSIZXSlkeuadJA3 rwjbq5q8xIFGzlinU9VEwUZ/rVY8FSwHaUgnoeffjZFeWDQg89qhKnSaf/Y4mWoNm+mqr78zqVXk PIUq1zpXPCGi046slUKUSpmpHMugQD4d8b/uwoH93mhO5He365GVM5LMiimIs5wLt0tISNOkqKZg N92vPxLzjaM8/PEtfciXyGq6n+fiNvmlu/4npEoJjJ7yMo7QszzHDI/XHq+N/3EUU37eymJgBmYC NiUFIB6oaiysi4zOjf/FgcPW8UrRZM4kayUAUaJZAu779ZqEtakEFwadFG5KUMGgaS2yzc+VPrRU AfC//QsS8ZnIp9lzeb/q2DLQD7wYR7C7r8N2tghHogjnlSFnYiywHmMWjC3kPiGiFt1Ue5H8y8zy nDzQxK6D4Xh7rpOKBerc93tmlfZwjhh0HxRIRs51DlsjdM7Rpa7M5Tfg1yTKUh+6+G31cn5T0dMs pMkrkLrt9K/4TS3EvkuB3sjeHK3xP+t17f+1JB72Fcg4q40FI1zd/fmWcDXksb/jZqev99e0AWut hT8ByqxA8AFEqPlxUHJO83vEkNut7VXTKt9QXPxbdSpO0ka2ispUE6wyiRjv+vXwGgFgfIs9V6Fk Qb942AAOuEsFvLPNP+oJ+I7j5PjKr8vRWo4FgPg2m0Kb4iykXVmh1gWjH9tNPoubgplasEXMHmmT ewXkLBoeGX9JM5Y/BFlsUTPKvJ12Xo5kQ5KkeKNt+TBRWgS2A2p6REmJXYBJ3Y4wziRtI7Are5Ox 8j02ShBi59btF7g1AzftmKp0bDpd4qQ+C/uyqoNLQJ8UX2Tp8d25iiqSI9+lu/13bLUKczwy7NbW 0eAPiSi6jZQ3zJmeTCJNJUMYE7Frv3FI3agT/qkzXDSpU+uahyWe0x4K/XUc1yiyxMfQe2PZmFlC mW/6CzzeqdzdxybDJqZmVOIEdmC4+K9XHGxR6BHKcJbGBoxqlurQq/RYIKIDmxO+3vyUZJnh0wvm mKabNtjGIRyYDhv6Mj93bNHJr71OkxNx12CWWso+ug4dpE0EKi1oBhx6o4RuPQUK6F+FpJ1CobNR J8t8EsG17rBCRpK5EZp3nILpcHmJnkncF1QCfo9hM9D1T30/ktaGgso/OtZdMiR8NqS1jC/7OpRK HTcbExDQq13UGh65BpMYkDUE67mYgTBQ3yCzKtNdZkDGf4j/wMNIR9rwRYOcFIWhnLzgMD27RUaJ EooIWH3C5HZaxhfoQ8ppoPrRBKWen7bMAXZIgrX3fdtxzmjVAqyTQ6e4wJpASp7xV2YtWuk8kuH9 glAvnsEEr8JSOeps4nmjnq+kl3q8auCY9etW77JNFt4+P5yRdoGjpXWMRGRC8T4znyT1XX9BTI2c YlcGX7/qO6JdyhQ4nywEYQ/CwlGuyE1U3a7uvIfXvQ9o6XrO3res0m5thgVxPe5n2zqRaScPMEg1 0vt0k8CrHT5NkUPOD3LqyOo8DJV5OK4kTHnH3dSFERjktND2q9UH9wXP+iChLaqVgCft6HmyHBWZ rk6w/T4r0ppLH+/t4qB+aeFoLf9bGybJtwmZnkXVxt3WwU/kLff+/d/5xprUEfsFDAmThKSJ90Z+ 2/urmZnW9leMqT/8SrO/NVAiZ2NFxN1lefLRBUBMCJ8kIDTk9KFvSNhpIL9G0mvwUnmStHp9xoJq 2P+N3SJQxlCmtK4icsroWpGb0T2PltmVR9zKGBgDbu3/jUmNfHJrcDaDTWUiN5yzGn8qbFoTFAIh Ojd+PBa2/OfUYDS3VV0Xt8bv9ERpTnhJE4/oyi7Nz3AohoSyoWZaWULQk6PIivsee6evQPZgvxKi ga4S9OTupUwcDMwAOuVc+juZAMKxhvRiAexkwm8L2+hnpuDoFwoLjqaQAfRiNjFjAsNXFNs/zcGe yjpgsoLAs8Kzhe2Bdso3I7FBbrml5sTTfRB4CmQ/v+0I4ghY4fHcRt2q5wo0lrE7xxjEXGa0rGHw lK/2WlzInoZXHM67WQwnSICxP5juf8Sh3YzgsY2tPKwmuHDGByXLuG/erpq5UQDG57tOc6R7WgIP XzXvHcZRHPIESZ8297kzxeP3pELWNQIBxOMm99+lkl7kfPZlYeVcWLItgdc7Z5liSewQNiI6mWSd fWjkM2T7CfQcLTE19A+zXocfxyGFSPHdiwpaeurYkmjUsqpkqpVeXXA2LIQWRaCw9WA4BEOkzMnU Kma0lB0XX6hB2rampKYw/t0o4gyguRjWQCFizJ/5iVQRil8N/+mWwCndZuwse8/FTyQeVS5Fejyw G73mm5pjWyrirT7/aJzGUdsAuqF6HfjTkFbgQK4o4lV6Y3y8fRyqSBo+fLN2rhCGPSA2cOStv2GK BTtnpDeoYcu67aBS+9aqD7hHPdp91jkzlWxumT9qLngMzyZiYm1ko3meGAIt9uC7yqoNcHUb8OSA upZlAI3pDB+jfvxrteARbSEnTtkPo0KwCxjC4fc+3NUNE13M/d/0ux+CYhh2G3BvZ+XAjje/M2Bi UnU1yWFB1chMy4FHPb5jfiOd2eZQ1RvEHMENjP6pStLPeeqptYN3OWJfQb6h2St2WwlT6DZ+ldcQ yFuNu4IhYodp2Xnl3q0npeIjxkCMvN7t8kMeLJiLge1IhDTZsY8QW3f3925R7OU4JsmDvuGyaHOg OzEzlQetehvi5k4aSRaqnl/vMInIEB02L1QRvi5a/PJMA4/jN5WO8+UkEhhnh+qhi9bFl/OISdWg XKCKb8K4Ea3vpQPNAlYmWqOxeN/C6n+yZYHAWIybz8nG8gfVNsc9AUnR/dit6PhFtTD6uGlgl1KG yAoJWrLsxhitJrT7Q5DgtYoMMP4Va9EndZXfargQNOxMsJgqgzzo2MSVq/m3z8YoUBcJLqZSu5oh 1/qXDn6ze77Gaud82sRbX8tt1FDdBT4JnebBtfYBhfIJufILM5swa/3vqBf8JRT+2l0CMyyfyRGT eLvs/P6KvNJEhlF+bG+jEsFLOCa6DI12z13+SHpDZteKIxg8ygcyiWyxNtsGc2Dom2oedVkkhsvt VmnWr2L28JfdjeALEG/RK/wgNVMc27qMbRiqB7woXWj8DStwyw8mOpHOJI/wTNuDY2/rSqgJJXRd krzjejTlvXZt82saFimXe4DxiTri5wXHutBnNn4lLuU64o8MWglZmDIU2nIMNet8qplGqrJ4IPCM F4ZXMs//QoMfPoJOJwfNA+4E9dGfkQqLIfzbfev8+cm8hLd556rIR7cNGJ/gOe6k97cX2Mh2bUo2 3iz9Yk7XoDKBdSvZolUF0Jr9I4y4kq3ltdDEvPUGlh+9aaHD2w2iW8pMZE8tyK0XUi+tQovNZxR+ VtpYSzjV7EFKM2D8EJUO8xz2fXfsUOAu7S7zI99vMF1JKSXTfgxkn79GH+8tEBYP1PRtrjO0Te65 Wk05HJV0rEx+xMu0XvAZJiwvUqMQXjiUsEi75favLJOQ75oxW9IpiTsPxJqlZUc3kOJTCmwRBRGC KHqZNXNOyYLZoRjfuKXByOQhuF2/iWxGGY2Q4eCSoySdzKExjp66Cnkk17F+4+RCr7WM5BuRTeVc 044b++F6ggjpPE5242lDWfcbMD4kcAK0PUJ98a7T81mVSzAXfMLAzVC69uI9jPCs+G1GV4Rs4PoN mePJJCiBoHjDZX3KkvqhOkY8fUNRwYuoMN1JcDCYVjswUMMUJXRLgBcL9nNKZp5xZKQOhDWxcLFb qPryBhsBg9TbjnRz5aefecUxc4ShjPCf4FuQuz2bbHzdNUD85Ct1hO+dnAjJ8/fk+B/9ED+c/8Cr rxdklv8u5diTV+J9j++j9rdUtgrAMW4dghyLESiDtFRBjYILEsD9OLUznvJq8df2fRVh8pVHNvne nEEEiGdnjHExbcRnQx8YP9ZE2IOWqznuMM3w3il0uvM4ZxdiB8RnWWScGGf+7gFx5ildGivI8Vix qM9Q1XEOf3KHs7jzoADESxR0Tv9ZZ2/N8EvsMXYiqdA2+P3hCXfSLhjf4fgzrLQC17B4jviAjSiJ 8ay37nO+Ac0sjJ5vH1I6Tpq+qOavZ2aXexuP/ndrJNoA7GOWOPXqaSYuWIUI5LGLpCs2TRUBeOXL CWmKvOO4LSbjFj7wi1h0BEVW6AmR41ZVVYFfTzGySSu4ZDhT4DCEpcwS/pFz5ou/eXxJj6I+7H0Z c242H1xEbmv7i+SQ1L1/rRG2c/lWXa57RyuGZD6IUQRFmOlH6REUFHuLsrGaLXBuidqzJZKbH2iz V4XaBaZcax0UehGSbbqj9sOHjAQVe0JXpRlyuggVkN7OhrjEK1szie/Hkevj1V5zfGN0SwJTuNDw xsN0nso8q72Ryy0X35//NfxwTApF6rBr7nHhjzVCpvOk34qiQbS2dbmtqIvB4cg4FDEns040+Fdh uLtnxPQCu5Lj47txGGKgMA+u+ES3m4mkGInr9ILwzMzAMJPImsGOky/NZgxVIH6ThBfljhDilhz6 xtYj8SfNDt89o1kuxD0D7maGHzLzwYjoQRt9uuX1YKVEIJUlV3OcTJgBE7rKi7Fu3exBPwzBg+ot M64UpM0xPflglRdmZ6kSIhVJfKsukl1ZYPgsKFK0N+xjbV8DXRoroVRrn9qmLFQuULKkrBlzwTdE FRzBU/QfycYnTlUFbDnhtONA67Jn3BC3H7LqO1D2KkpJPOcK01yuNUerW8EGxYHqcQy5JcnVYR0z CBASCyBsbPh47GT1rnsyl2gXqxubC0v7MNCO7BA3J9ks73CL/mQY5L/xtNEOT/Ec4CYzuoUdPLkU C5lDjyufp6rKEfMGh2NwXpiDTqy494PufVVBUbuIErRM/F319LuOua68cIW9QDrArzu1f5KmAjF4 kbCI1dEzcpfP7bp5+O2MD2LQO+VQLwCJuNLUd7WJZSM3I8nc+8MDsVbVE2U2fke7Uiu32a/EV501 CR+UBFfTrbQZJPYOQsXLapg4H4XdZKHPjQRRsQzFy0HkjAiLSSCRutwK5woXzkF+OuJrJNKtXPJH FQ/CAqyfRoGUWP54f9NGr7qdCo+NVKjwJf4tG2Jd6luv5A+9xmpAvCM4mPGFHM1JrfSipyZDcLWF zTDVN/Z+mJhisTtmqWkXQHwgpal22LGAFfDG40OE+N/vbIWLU9/6Cxx4JG8kp4aI31Bh5VsjUcUg pD9K8GamngwD0uyYCPcNXz1DmzWMCslNx2iJfH39b+/PnvKrRz6WHOrH0YOVL69H0G9ylz0YVrhE YNAgUbMiY7tfgPKIMRz0IfZr+tt082s5JdNYgcU+/RKfXQ7GP30ZH8g84gV2V1vkSUxUqPvysEhs Xyd2pALgNmdpNfRIg2YcNIBSzubvcDj/TsrX8wSQlm7Qs9xH/zBwcEeq8dQxUrMtaDct5hpoOVYL f6yLjimAPQPJESRBqD1ACK5BR+v40KBct169C8vSiAcdJ9ciyclqaOr+KYg8wsR6yatb9q5FrSHB oJ2XlPAhLId2ujRhhfKoZiQFTgbznOpXXssMY7GFAptd8yi01Nfo3L2SMO5AOXoBVs5QIGuoLxBn BQ/P6vh9sw400KIWclyeLYtpBLE6otwIWxAc7kiwYRmIUw1Gcu4nQkC04lGT0p/qBj3ny0iO4tKI O6rzSiWjLBQk7s/R271d+gIlFDsO6Ma9PS+zRCw1uygvptcWJf9DjnolLmemACDgOgYs/1sZyJAx gveS+62PXxCbMSK2ZejnStpg66cg0fdUWlNDbd9nBlN9XnWeUVL6R7GREvtXKM9u0u6QN4kvJXMd cKNoUGfBmuhtPqpN3ZXBhY5+nhsFQTJfuI2HNzHfkAM7SbfL7I2/JflRbzmCawXMN8e25txh/1SS 939i9t1Jga4OvxV6LserbaGrP03FG8KoeSPOJYjFBDI76w6TL9pVY3DpVy16PeaE5dXy4mpJnCK+ h2lscHk2ouqAIo1AXEKDrvFY0ZEpnyns4RTHYHl0wR0Ay7z65l8cDySUx4vDQ8X3YgR+kpvYqzxq wVgl5CYPS4DlqPY8ozbaK93/4q3z65o8zEnqefl9GUlkv1ZFb8fxlzolk+HuTA+wWjDLwJi7wV0I i5KzLg4Q9puolR723gTtZ8Z9k0AV2o/UGIanC1ALc7WkPGYxnNug4sSJdARSIzTmLDNdedNohr4S DrLoj0aHzicQizjZPSrrphWzEdkf7ZAqSRLVE3CCXPuzBllMGCDEq0UcbqLSG1paa6v41BQXGr9V wtFEiX3cg7DXqCZCDn69e0jCChZgBt+KnDqmr/IIgXNLk5IyXKmH1UB5hn0kXzewbSCaahOv0AXT aFwkSbKkUUbqqG/aV4dsJtHBMke8RmZToHdh5BeOQwcvTq4kMDYw2cAqETRPiDINcgQszVkDbdnN SJXA8bwcKI2yadWZKUNdQjplSlGPej9wZROhKxX5GXXIEFUzlgwO5zfMUX0L9NzufiEEqxuzTETL 5G+zx+ilAVHjkYg+cX0TGt/XuxqXvzJpj3Se3KI2Dh213XMFO/xeCU2FwzIQfWo+/32Uiwhy/k// 2ILTijQTd6ZzN3flMH5O46N1xy53/i9JxpoxSQd7i9AcJDL68IajgBYEhvqOxXlIkt6evBOXX9Ak k0LRqV4ywwUVOazMENhheeRnIk6btL1pZAGgrgXsY2RgidaEe4KIRdliRc9hsgtR+Ka2XTh/8BeD 5vgRr2zTWgHxKPNZ5aksWp1jmZF2ZNZsGXSVrD8woWwQRyMRhn9SdwkD1hPqVnTJQB03FNA9Iq7t 8x+z5bPr5TwiAnMaGfI3pRF9tQz2tuFtxJaZTZERSjPxQdsagb0fVWbQesksgfZq6Aziu5MUAe18 A2ipThDvm5gVUgR3lsTi7C1OnBww6BZtD7S9K5W86JSxrsHcZCmM00cckPch+7vGAYRDkxGeiEwV UyLyphxZlbIxTVloHwQRrlfBQm9oCmcraeyD0pIc78m8UwLV7MPh22uMYRDNn8XmfT9Sw8dGHAgT SxH3usZb/DVXV/oEtb03WH/MrfRznGWpe7k/yRh4M2s29QaExbGsSABJgYUTg6pA27OUqMGzok7S 2nKCPiw0gpfk7FXDJdun8swptmDzVRiOJqYK/CEdyI07nibGY/+YFPuj/pnQ/xky4NacXgr3q2bI /E9m3XcPa73paETckG8JIPCrdwm3EJC05jBdSjptn+J+rm1GzCMBY5PbcTToOLO0kOKSYmwCqGB9 TtB/HNyjdeADf2BxJom9VohIlhBtLwZwqvpceAbeTh0+JgEJT9JWP92OpFPkA2XK7kqiq9OFo6uf GcQ7i7dk/umiKvIAFWxTKuUMWSG581ygY1oXTG7dR1Bt00EdVc1/1xXrKTmkFBI7wHjxgWTExQDu Dm8lUqHuXhWdfNzh11RxICO88rsoKu4cmOvm5JSwWqZMzjSKhvzbXoBgAJf0Z0+L5ogccv7O4/Co Tx3jCBH74aBaOYQXsSYm7vpIwbjjV+Vu/Jt9BFpU9SSf9ulIVcseh7QQg8QJSjCIN3nSW1s8Q39d q6ddWdHyrTQR0AOxVeHmsPhtX+I+q8NwCUHOJFM+/5KrE0spxg8PbxtRKmhmDo/+6O2svV10R4dR xrgu/wKrYCtJhvnRFVZiVjQzQHz4EuyAITXlvCd/MfBlcXSEaJmxaJYmT+2JR3mDlUuiESA+cSZB C2LlCbygYrWXLVSV8RE121AM081JFeg9/WWJEBUQf8GuYzQV66F0Rba4h+W0lLyQgB7mnCF/9FKL qyU1cht5uECfxd1zQNRFi3V/NMnyo7UyyhylDqPyFe6wCqZb9f+QZQ/BmXBrEIBj28bEtm3btm3b nNjmxLYmnti2bfve9ffvu7qe1am3Tsu58FOfRXeh8KxoTUnZrrEdA/ZHptKlPZKZTMIbHln+zXDO 7NueOoVG1uFNaYiwfN8HJgDM79ux/oqqFwjL/FEV7u4t0eTSLAb3XvhuJbEvgtli+8QlY/IIDNA6 HfmeAINZ2FDxGkuHB29R4lYpv9lFOL+DrUB8l41cdkpCeH8v7q6Jl5kB7M+ez0/D3IIw6i7mIg5I pCfuR6tw8BFuTDXUYTUQVBmT7BVkqbhMM2qhTJFFFHCti5KFbfd/TL/hX7AT06sW3KbIDI+2vsRL VN+M+SkPEV4aA+xPny2O0kfoXkyRsN+YcXY3e6k4ZYGGDhlS8/XkO8KwoQDMT2mdTKq+wHfFL+ye wdzX3WNUA+16W2OBbYAJterntSD5uGv+IIdgCHkt3hol70V91uenYes40IfeB6FSae7cebQ+87EQ qGfsfmm3Wh2Eyc8C5ieEZ8QYT9JsmdHM3yUwU3IaW1ICRWLbGkipfLOIdupVAfOB2pBHdJAuTdhF bGEdPoXDG2ioNWdCXk7Cen/lLYH++APmycmIPuBEeifYEg698VgbW2NFvqb9BI5hvrxnoBTHGX7z y1d7CoVFyzPfLpUypgvBep3dQAQ1Fqrcogf7KCgc54F62NUjd1T2xbmeaYU5MD06BNikOoTFm2xL GULpVgUtdGLLQtosgTJ9PMiROi5e4sdNwNJs2e+LDNSYuYOWp+qm9QqdfaL2E8AZxXX3oVHTFT8h VNYtwXeoa6VsKUceYsFpqZNdFuESp8kxenV7+mwV235Iub8mK6nL9m85KUW4Pf4rcpPz/BZwGTpT x0hv/TFdhJ2v5uOhgSfqZn/q/SULScYcy/q7pge0/pPXva0zZE3KerjnLCHnxGvMvUlMooL2VaJ+ gdt/8d0aIzfIOSHa+el8Wq02o3OwtgkUSOmcAv9xZiLp6S6Q9Sbv9NzsbMBG2s6r9aUGNWuaF2RW /JOUm1TzREY40L+hq8fhe9G4jHp2PvNTuizJQogR6eRi+U7hC7vBxMJpMJjzmfRYeMRYL1CG7uX0 Bj/tTG81ptACUi660LEd5a6C3gvtsNSXLyeufDrvu5RkFc8LdNna0CV7jW7uPdhhXNgbrdib5yxC QOzTLNAmmIVcn0bz7eo3ptVpWOFxz2OnrY3bzB2rAbaLxJVhusFRo6eqAPOaiOkx2zoMg6b7bbNw mOELNyxedPe/rXaIxDoUTHP0DHROV1nizVyoCCtGBU6nakZSNrI0z8v70+REMzfkEx4YX5Sc0LdK a3T30U2hKZbCmZNAsrbl02XrPmNO9/NbzcJM58jVBfw3c3AdI2wW0Xjnxw+8V8byi/V2292u816n oTFJXioKeky2K+jEV5GRyuTs/aSUMx9CsD9+s7nwZa2rxyRFz2ru7ww5jIGZro8dpHxS303rgJ6l UIarRtriLAw7/z1JTgRwk5d0z8bqObpvWzx4ETZ+Ipq7Zw8dZN8l+DyZAdzz+g8sI4188ygocrXF gxYlNSo2qjJ3SJUnJZdYH/h8NyeNLsC9t3PPl//3WPBQGeLq9nr7AKNPFRUQCPXlmzNnyOJY1S03 f7Hb5vFL5cO7ROwzet1Dfsmm86Tph1tK/GJOiFLp65CpIo+R4R7zjPs1g2RL3V4DYH/oywIVtRtq z0hDN1HauO8V7CSM/9/2l4mMH3BuUD/yPMC92hOfadg5r//vpnrJzvHWQBqBKmrNR10qro7DhuZV GNYSuJEkrlUofQkwREZZ9lRulv4MTMqR5fmysAqQRjvMnOXaElkJ0MhHZbr5wYNzNJyR3uaLqaC7 cMcQCbD5aR51NC/qryatoAqNuySGxALBWHNyV5DhcvCnlR7PxOAj5VKg/o7w5A9aKfYO0eA/YCwD cP0kJedrbdfRFMJHG7FNsL4OExYvoKJMatZTGGBAC0U2SXHfhPsVbfx9ud8TR2XBVoy/N0opkjd7 9U+U8tlw+N0pg5jG5AISPIVBqR4IdMzJXzpLJA9OYQnNMMXoaoxccj7Ee+JBav+ZWxUNwi4UxykC eiJu9mOovn7ilTUT0Cdg0N74sDV+cC1WCVqZvX6P+pxmZhMoD9xTMGU3kz1jKqePnpsJ8FRYHgvd 5+7061DT4iWQRLjHkMenCZgsZ+wZL3o8PhomPUwFxxHIzT01L2pmbRCYZj1U7LlnanVqHjXhtCLg 5kyMYWgG6/by6f0AVVnKAXrgz9FNZiNC059Oi3y26VzxTljT5H9CeDVS9/HCWpQ84iArDxpgA8p0 tBa4P7RBmX3UfBphpHbTZMARa8zYj/vdBOvmYSZR9QYa68IEq4GgziKuR6pkWIDlhvhKe5KIMzV3 ZqYv6MIm7+RC9KpNKt1f2tehuGoQfCNYcvfdOKccagE9dt7qG9Q2dG1IEi0OKuO+xzr9BFoCaUMa Y51+aPag7sBffZQM3Ljy2nIShUJ0Gv+gjd7KkUBdQmN29yY61Q/VQTHNlF3ov6iVnZT4zSF6bbor IUkWD+aV7MJuDv7sQnnFMyj/0JSJMcjXAnfHEeHuR3A09mNge0X+ZSauNAeNZ0AG9Fg5DbWnd/Ap dPJCHkvgj1z4b2T3y95pMvDmnndojYenBOo33/SFU0BcajE9FK7XFy0cw2R4keowBtLLLBoU2j7t IvZNkN22yqXNnp3m3QwJ/v+E1i+cOWd8KivvjNDndd2plE7jTN7fFUTzncC6vS1uWtdCdFkS9dlC RG4QFt7yA/QgSxGgf5mK749oLij080dosdEJEODqZFredeRoxdHTZsZifufU5y0QWfxp70Vdfe21 KSb6h6UJYpWryix+o2UwI1R3JbFQQc+6/mRYzgoHrt1KfhX2Guq//mcmx12uH3RijlwoJ08bs/bC z/U3R3uPPzQ4veaMurWNB8l3hlvumHEhu1qZkDLBSL3n5U736HC3vRGXxK+p4EjHbVpWYuMbqCiX +iDRWFKmjkMMB3EJ9pEq1UWzLUeG3I8zO9XKDL8ywpg5f/X1XSmumbzzQU5+M0Eqk5JBLakOS6yA 7jkda3DclsMkHoj2Z0hXHt3LHG2TmXQ0wT900Xe0Klq6KoMRx7/iSHGerLdzF1Yb3AZoN3wAPa/7 Xi/Sqfbk2axHWkg5CXYBZXeOlVRb11mgvIIFBM/ivFwY44n3YYPvtXg0ZVPeAw1r0KDcfV0Wl+4f hWnaBYP9R42jB9+cbiK9KXldMlOtTrfxb6ikDUufw/9kzsPONVouHSSCw2dW1Ym2ma2+KS417kG4 5gIdq4FtrTCkalG0AT2Q2pZURB3Dxw91JMdzQmNqjfIVCrSBKln1PTl6k4W3j7UgdUAoBMnp7mq/ 8xd6xbNqgmp1a8IWyusvjxNYVQJM/IZEmp7SG+nns+0Q4MtqYy6j0UrOLnlbu8BMEOEV8S3renVd CoszvAQxqM5eaHJeM0jJH4OtdJ6xOFx411I1IAE9A7F7AdDWJXGJR3E7VAjn0VT2RhOEaJvfWhB0 ru+L4xvli799o/4kEOxtWlr9SowgPls17l1QRuPfg0M1IoGzq3ogDpIWzTQvZ+fUNSggAV4lJLs0 z03k3m4L+BJwuz6BR0IAlvBqhB53ed5eYE4IY8wJ8yhtr5SaoA7qyh2AYfoA9KhHvbovhiLC4AcJ xzzCXNL04pVYkVLlTs2FH+01QUaZaV1AwD2YfmqQIJRAXXIbLo1z2nkdf/aG5tlPF7qmcFMteNr2 fN8JjkkV81eoR/FsTqdzpRmkURAhUnXvw7amzMdmSK8aUUNlWRpcz99FtUL/vKrN1U70XH+8lNvw r8YuAnoOajD7R9P1sim5zLSf05ljRtyol7JvWbSi96t73H8Y4jS2MG0arIWKeGpMmrwscz186vuv WYBDT3+kWhBUlyD8PBFNndNO/qKc4HWxtNBlOalfjZu+aZrGhIodz+mIOewvovBjHRe9FSVg4YLR r30f+RVAWgmSUM+97Sirq+buZAN6vMg1P9GwxVqu1eNOrt3k/MMJMA77nRfg0ZtCuHmkWdH5Nbpm vRfTPXMqqA2UCECZVLMYkRWsKYQivv6hrwYs/AE2jpkGXwUvu9nqhUIfJRaly92B+GoLLOr3YGGq YlWskTy8lFJEaRWk+UVsjtb/r4hErrJg0jhHJbsBedmjA0kV0MOSduJ1W++UWRz+lrna++8mQ87d gvAfFmiccVwDglE2vJLpuPzI+bkOZldv1zMib2RXT3XnDuZGcV+hEKJh8RHak0o4thYWcbwWlgqK owAvMyHGMh5mWYwBa7jL8WfhYmNMmJb9hFOxARK1NhsU/EL29bIbrcbqtPSnhujwuMpaPqDHT2N7 gd64rLkkZsIj9gnVnCJ40rV+buYnFsmpNTnwt//vQwy1dq92BfCEN7XghopijZYzJo5TiWT1wZqZ tvyTRxxG2wZXfxAN9ApbimHoJWerUuMGXPGmo8c4cqhSejbFx+cI0cTLBlp4ZjLc25b1PHGCFM+/ d0eYDXfrNLCCI3eAnlW6vPlEcAPYTLAu+ZcCl0dDzlq1a6uxl5BagVKeT0uClQdCLSwHZFmKcffL 7MOZxp8tjpew6dJcWc/OqXm/xer6g524BoIEmTqnFBronXrxcNkSjXCvmFk1KjofYxX7ZTl4Q51Z cfR4ibOPkAfX6/l99UUkZiurxsAk405yAQElQA+mcoAoTrn91Ruu0aCTSNvNSDll4lFwUVxASEqF 3TCCPAlhvIF/C8xSCkY+61ml3Eg7NrB2mMGq7V67TZbSbpAd/CejoXj1JUX57jsztZviBqWktQ18 8ShmRY1m6828ySblFJltr3+TqBlKMvFHeFNsX+b9LFgn4gzynpHZCI/nr/+8hzXBvc1FreDh97CL LE/YGz/NldbK8BDd9c3i0EHWncIkm26+6F3NEhn7makeibAJ2jynoC2NE4iIljWpBGOqW5zc2a46 oXQfRMU+8bAZVenUH1tstwKDyIEpNTVEuc5+opBvA7TtXK/ht7IIpq2HjfU+Kl3tuALRHW59Fg7l 6MiAHviwbzbvgLUvcGvWIGBCnlM1U/D6q8J+V/1obcTJTTiKOWe5dO3P/PwN+hG1QSScDJ6k6UYc IcV8n/iXGHA0wgcLCfwfjDcGdb8V7LIztr2xJAZ+S21B3pXLQdLzxGKyj0fOhnIGnTvXn85WkLgD BMNQRpYTPuUnyE+HuzZ6f6JdQI/Yx+KxDPpFu33eih4Fzay0v667s2cadP9EuvLYIrR0U8h4vMvH BoUF70QN3yWShjpygaKcrRXc0lg5wYPloq2emFyJBx9NrZidqRINe4mMpLHEHcXr++ZKV98wVakx z2NXS5LKlzFqanFPdLPuV9+sWQE6LXPO2hv0yELvgLCkDKDnA8hC0kadZRhhnps2Pt6tzLYriVA+ 1/hfwYLFKnIYvZCbwhCKjIjMhky7hEcwyV+o8F0v5zFWjipopcHsMnKkqa+NvcPBaHPNjLmVZLya iDisr/ILfLnO3PFT5c5nfwfHgMi1Z4IHDudKVyt/hXt941FVCs1aQw649sJf+p0waD2AHqPc8tD1 nTkPl6xTctcGWBohILvZREWhELiuENQj6S97OUJlCmYcCPi/CgFjkOhhjEJ8FCqx1KVaC+Htv5JX QGduP+8h6GEqSThJcnkZBJNq+hvhEQ1G1SANIlIUR657uQLtK9gfHR9LqxS1YgsW0YuPllMsIAV7 rPCqodLoz43Vcyi0EaRntDTgvrzpoQWGE0eYRBn1p77IzXd95sWgn+98MzDvB7sMy04C6D9s84vI Wn59UBtkmIh6bXZMzVDtnZAUxVVBq2CU5T/ka+qDynQC3wSYxIRAD7J53rUNvcY+9ELU8CoYxJ0p iBQCn/nXRyu5mXu8JQPDC7pLEXwzd0uc66GjlIKLKfuvxrp65KKhYMSxYhTAN4SUsM3FNw+mnh9T LhQ74Emc2rQFQYYczIiuKt4KddZDyrRxk4OzBmc3BA1asEN+5nvuoYUz1D3+E4onDsHhSb47I6// fQkp9M9mhN+DJT8wVjDCXCVzgDnNnpOMCxiytk3GDUr48t+l13IUunI/jP2rDhvj4yYE1jY8kC+e mu9PYmAXa5ughmEXG4SA6PPr6Cf2qmiBFcHKP5zjymwa1TYq2gZDO6h3CHukau4ci/niIrJ5Hk/z UBe6s8wkcCO538rTxy3HDpbdOqPW7X8GiNN+6HajEcZ4KIQOt60XqciO8tCcfXseUv/F9pXxF/Po q1kfKJe+MGu6vprqLVwZj3q69LnHrqu1CK4MgPR8hv+QBJerm5inWMcU6FiN061n+6BvAHNheO+/ +NnDoXnBoeTgIORz8VdrevAcJrKKX2xSZJ39DmIwtxhS6ozrH214sjv7/mmkyYq/z2EA/xPfZ1oU nptJQpsDPlFQZC4/L2xGgwbXxsI5VWgNxjivIkCcjutqfLFs7PrYFImfbmHT5RM+EziXSlgKlHGu LARPhJ7T4oCg6FiQrPtUN2OPwz55J6m8btqHM12YTvYyg16cTj0pTv9XunHcDRzJ5fDjcxDGtKn3 JSqLFIvCg2K5ZVP3KZEuxQi86IW5HXHSmeeoVGoIqaNquqruyU/QvREfTFXFSfuQCrlEUc40Loa3 mb8DfwZuZWp4OcHDdh5I46+93C0RbbDoBMrCjsM6U/H1gRhaz/n2qIdQMElU8JACIzUbagKFivrT 3E4MvvA46apYcdL6d4ODd9EAglg8uwzYoZNZSXfmolob/NRy+/Bkx/Z7t+euYwbDmAr5m907Vke0 b8cMyzLCwGKicbWmk1StxJDNYxioxwrcQSfj0KJjw3LTvRxV4LFWaSJzNkoYy/WjhMrFteaLU3Ye 4ylPkg/dhKFF9VjAoOr31yGdsu16dpz4MqUA878E/2J6FeA2vexbNHMTkvPzEL8VBzxiwucuPw19 roRdi+vkNHqmff+xh3DDgCGUVTFouCkMbM+0Ect2P5mZev43GF2imbwi1Dg2e/srsth9NcsfmPoU s78JhsDBLFyygRnL/LmlpuTNMDU61eI7OuZadyKcFhZzM4CeHP/pG2+F0Lq0CDpbldNBO4X9Eqzs ll9DzbmOr+lY+LedFt1QPiO0y8j//kW1UcTm0d95xua1VS2s6zMa/jD/Qal7aDyYh68973dYwPgc r9kf4baFvkQu+QKPC/cBJbLxMBG6Td+SJcsyxtGMHWsJ1mwvZ20NrPYFCSctgvXCiH0E9Ozj66IZ 4uiwqHm4DaAQk6W09xTm/1CoW+79Ib2N1Wj0IrhWFxKbfQUKrl6c11ATaFDqdbm3St1z8ZflKIFO sJ83+DWwY6v8u/+MKrVdqqb/IZ4IlFwO15X+Tx6hhdn7NSvugQHxQfdh2NcZ/pMDFda+X1jimzai L762n0M1bEDnOaCnmukZxkEINvrmZTvtRwJYyUUre9VrFBR1C6PHWmmvtMCiiw59ITMkUIq8TpQ9 1zzTs8exvpOe1VZkppYBUYikVtCLsVaceP1BjZndD8drxREcH6T+AvY0ImPu9zbCYnVN8rvfeWjS 8N6hTxzeRysZlENTX8iDR/JsfBkG88tXWTSghxvktHQaCMUQdOX2C+R1jaGT9dfZoVZt1FnQ6OBe BB+mNcNlpSw4c61N1+hdeCJidKhImjQ/sgy6n33NtJKoDZEr1VSMyCwjyB/af+mGzuN2sluTqdB8 di53D2gXn6TG6AIamMbwdELED6K+Kt7Cd+WvkUiFU6r+CsSth+dHbmLNgJ4L52KaX4e8o1rk5NnH k1Cwp5NSqMpOD25NfQly9yizvG1he2pNkotI9Ke3dE9G9IV0I6w33wp+Qb3XZOW8vQ05SnR/7pKC P0Cf+7Y55TgsWMrM2jZlH2IlXrCssmN0lcp/fv2AP/YwwszPStY7w7nEZNJk8v2j6dYGl2BD7yJY pQP0KLJrMkkp/MGBiah5NYL3JJCB2WGTF+HiH8jx9z/MyS4xUvC4OzAKt93L/Ch3TXAprQKF4PWb aLcoWt1UME6YlhwtJumKptkzYMN0XjkW5Xrhpo7r+GNF0ouQ6lTlSBNWcJIRzGmYR7qEWG1TDm0J Kp5ZBpp6+LM//7583fOUr7cE6CFVFvbeGSiTDgZV9RsvaaeIXvZ56im48r3CmUJDaLGnSh8h7Xt9 OFfF5D3rjMqn+/yDRYR0V2e/xDfdPipM+BBaDpE5tpnlUmRziq0jhasQ1KotdmlAjJkRV0aabhbA 1shhX4OztJPqfp1/qpg9gBDD+34DSiKfMAFHJgcXZwB9CeixPocv1uhCp+WM0e/Djs2odNRXIpU6 Tj9QbuMnJBV7zXYmp1Pw0ljpn1D69dtw2mD/TLQEzaWQmG9KpEpRV6Zh47QOXyXCb2VuJv3feP+F oJZtL8cjwwmEhydXpKSaalqcNolWjn3TyOPRTRlFV8PU6VLFSMoPvZ38/SJrx/DiXhWgp0T54Okc 7g8JfK99+ak8ZUX4NzC9yklBcl2jTMgLR5K5g5GzZxRhuamomtwEqu6ZTn+AfpxPWkf7Pr7RR4qR 8HDFCTBvrvcFf+GPJwY+4SE2FxBT8BHCnr1OlgOWGM/wbZL51ySs1d7Qhg77RDXiNGbp9aanUbvg rIHCHeovPMs5QE9VJvTLstDZ+IlMrryHbHUR52AK5qgMfztRuUCf9exO0sQ/3ZTCe+NNlnQLeRa7 GVRc+xG6baZoCOGMv9GVC6ZXOMhv/dWd1n5RVuAXcnXdmj6bbtlSxSMjg7VsAYH1FONqvHC0paBh phzfadiZiYkyon2ZfjF3Zcu05rSUjISVKoCeUU2zC5kgOG6hH4F5FGQ+PcWoIaa8w4v+WdKJUJo8 QvRoOs/ksiupA+6kaHFVQyhykEbHudpk8QaLM40DXXBpI53Xt/fjwqJhzC7k5b07FWCI7rdIB7L+ JQynuS9r+iuvM5cgvQ4H1ABKCdsoNhLUBykdrZg5zJgcsdVTzh5QMHxADxgbVKIZ7YvHkM91q5Au zaFC/akBo10LSZh1H6Tr5rgGXz6y4Fcu90CtUqM5T0noG08tmz2mxGhockndePvMgbc830nNiYHQ J/yUvWaUo+Kmbo2W8I0lVIruSx1Oxb0Wll483Tv6ZbckFxShb4G2J/jmbtdrgpgCf1x6TWgcUIck oAdn7Jny1w8VvOHn1NWuRxUJEk9+GUE+tlegy3w/6Sj3dwY+jp/F0Evih1ytaOhL3sXaEfnkxRpY toMeJLEM342dF+7FXZzoer842i/lRQ+/gRGOT49QEM4BQ1F+W/S9y6rJHf2PhDUX66iVn5CGjROn kadncf8INLwVSNuMCYeiNEDPMJ1PJ0TTQyIwVoyujC6zsV1Pk4eGfpLChwmjpA3JajhcMdgwx3zL +DWh9V3FUFcAq2kXOVuqypfAE0Ho8q8unX0i8wPeQK5sRLzsEK8AOVCBY/mG4o2Io1JeO6wHgoZe 7pMvBPPcA33Krk6gD+2GlOcCZtjz3O3dUEQr0YwaEGhATxisxvoOT6xGYs2ObTrHYYj8uLTiB5ls txgbZCPzkkWrlEVtBay9TBX2kITx97eb16nzTCvVW8sMavdigvP2BMxkPncFYTULyHVIMzaQzHJA O9teVpdpR35oLVKhBBmnoUZ9aqQscvNGuO6XUPppI78O7ogCykO5bV4DfcTx/tF//ndBw5yJVeyi oCG8i97FMOVgFmJeFA0v814398VZmDpsHbNIyGHZoHoQ9S9oHoN4uDgwqH/ULCxJ/e9ap7iGDBHA 6corbxBOAjJpZV99rBmL1+0t4dO33RcV7dpGPU/H8Y+kPl144BxHfqtRo9jBLaAzrfBk7zpOZMth mvGzN6t3cAF6YpQEuEop9zYbUoowg9YR9t7n0U/TWkeu5w+uc1/74hLk8lIbdoY7yiSnRcMVGyh/ Qeo8gfOR0cged3itksfK2G++9pXaNuPqP1W8Y8UlfqCYHmb9gF9aerKiBiBpCZlCE/96fKuSuCrC pKmHjG/5rVco7/XRabOtXg09SoRenQYC6BHY+hR1ALZHQQtxLBlIH9tMNZN7DLybt4wSXy5pShso 1ol8fypSANYb6BavxPe4hxg3NHGtvUp6/qK6eSjedRxurOe4nmUu0P0XkmNFJcnxJRj9hFS7pPtN 9itUG6aYJtNIVskF5WTu9unXJmpN1hvC1yqf1pcUMXqAIHDhmPNyBaCn70mit0eIlsIV76JXy+aD TB3IkJLc7KETvnJpX3Sc/Zlu02o2vj5wyUJM30InnG1ncjRJOSsfleag4QZeHNtuDjKc53i0+lMS 5tt7TqOIWdAYGpTQnuAPssnDQ2l2oVfrHCoSZc3q5atlzwHMke/CnQFuRzNuxEiW/C5sV64F/TKg x5v9tHOTxnpHybY2CH+Gxa6NF78jK+YrgxP1nS7SfnBZFPpixFp0ptjqtRwul4d07Zo+HUivm2ga qsXekawTnPFP8K3183IgDCMpiAq7KI5udHiL+lR6AWtT455wIpweVH1K5PHZdw1zKMNkAIjZvXOY kf/wOpDgQEeQlqUteWIroMdzliSGj1srVggsjsb1NGuEL3PBuQHzABLazrggM09Wy8NUbQFdTzKK qJTbCGcSVPNiTgB0dwCVNm+YM6oQQpUt/Nfw4PKCxLbC7ihuqNXbI4hP4kPbToHX4Xp8UGyKlnU0 wYo1QlJFaVMAj1bWH/S/kJWpdPU1A7NyJsdeUiuuaYAe6qOwXUR76zjKBQZ6HkZKiyXg8PX7v+TL l6IeL/ci6a2vjVDIOTX+f5uuIrTn7vAiK+DZnqqWTR76EHaaZV1KZq7f8rLmuC65vyXfYwl3tXbX zjf/cuDwNVLHq/iHKFO/maYM2VxPvpFQUUhsXc54VV9CPfY0RA8hZqvYFERidnQDelCJIy2epGjV zuQFzErVvmji2lubEJIYE9VRwx02bG0Q1z4audo7c9cFVnJ58EoiLuonU+QaPk9nVOZZKeqGZma8 6CuFGbbNFcW97rFF6snBmMYORpcuuWjhmfH6UNH84wPSDG404m2qZdjpsubm3qsgOJmYHaoYJ7jR d8qXfNELAT3ohWx5i0hoWSxkRp6obGtKMmvCBUhuq9FWNlQeP6hoys9sZvzaQ/1viX+MxlG7h/pw YjBh2Ffs//1U1/4kshgGuH/Mxtz7FjHI3f8mOabYlTLI+gbFq0LphVH4XVaUHT9LTlmjZzSXPOqt UbQ4hU1yjUB+4IayU0R7lXOPuu3siA/oubKMO9DAFmOq7l48EOtDSpr13E7xHOshitoxRFHcmnCh /HAYVPZJEGisAacAtUQ4PS9wdebxuw13/W7Egbw3dW5X9kPAFXkQXSlQrsaG/iCmAOUfIhRBaOr0 zsHisWn7opu8LBXh14gtAcZsWEh+SU6KqRXjABMuPY6sxGsW/nML6EnMILMWVAZz7/T5KppZHGNY rqYaL8//peXBpOX6ghLsRETulH4cbE28bmRIE2BYrJB9MqeJtA2hrOVR8D5TcHBRwSM6O9D0bbVU BJmZYSKHF1kfSwYThv7X3eVxP5VMQ9upHLhBsyOLt5Yw0Zz43YP6TrgsKLBBwVmKZMzB9oV3FNCT JSU49YLG07ZO1toWYbGqIzlutoaPSj6dMgVqnquv0JEXUP4PadTyKiuXFxPaJXozIw6j2JktlE1j lE6PFrtLjG2q2zxBAsPswFRL8O6Rfjp7yxPGh5ow+BApn1rWN8xdrFI4sb978eM+W6ZrD8e7id4g 0RCNsT99QUUqNJjd/T/79ozMVdD/r3+U1GJcWqfHLMwfyr8op2tYxPEMTg90i3LM4jh3j6yBo4/r r9qd4CtPVdBrRMOcG3k+x9FGOaYwXmX8maAgt7/g2uqMK65gBvX2WbwhLF49zzz7rG55Nbk+gmq6 0XTpdCoamAu1dkh7N2iDvLF90HI+lkom98O2IxPVNtmv3Ntgu8A1eCJ32Y2yZkg7vv/CX7MwlERX 5C+T/VU8DBActuzL/4rJ/we+tOZK3yMxRGyULwo3lVb2qXzameivIhLplAe2rJ22QvfixefQK/K9 ZorF0soQUZW/WgB6FETylZlOSDXVEhUUrh36gBVzwhJ9R+pc71dQz827Ez3913z2k+dZyXoeXyrZ pNn7iBSE0ntN49XvjqmuKpMEtTnMP27iRUgnjTULOZHs7WYuQaqoPi2SnXAAzLNQK6J03/Ugdlei m4t04DQRf3V0XzCtjKRvl1SZS4JBimy0lgDPEzj6WQaOCuvGTKM2+1CWLCY6C7mlwi7aA2tu51lD 86BQVy8kxZQqU3SxtrDajkwY0PP4fIRGsaJcCyEioVII6vHpdoqKFyaSiqkpFqvsHFkNeoRNRMpQ Un3SHtpYsyqHTHTq+XZNPyM9vCwXeD+j30axZclz1NEfJCjTXd8P9lB5ZjE9vSDoZ8jj3YJB9jBj Dx8lit2zU18W9VeADpNmOuYhdrGhx2/VqXmwP1asbE7vE9BDbDfHfp3sjfaPo5EsFNlyFo3fYnmp qdFmHFZmXP1b3relBIKniWJ5Ac9sS/9yEPUCvQzEroJWeURISvUVN9X8jLAcBYiMFrfBPGeaslhk k5GRWxcee/oMPCQSQSq2LauM+La9ioCZNuAR54U+dbObhb2/b6TJIwB3vkunZ9ySmxLQUy2+ykjj 3M9KCBm/ipwgUOfpNQ2kXX9HRvLG7MSsW/6XH0NHAOXFTlVIy714nI6pztX3rczYxV3ri36dYLyd d8UQCuelv1F4QSLJ9XmiZbp4bURAxzEEfkXq1C0G5JOuQj1yWfcGIYh/xss8YUOvovb3x0fPfksF KgG6dcmThxg0oGeqUncljd0exT3G2Un7WRZ14Dyp9sK3pmN6v20L2fOte0vYs2BeE/vjD9kwi1kq lQoEa/8co9bXb63AJRv2+vyyTk+ZFXtpGPK2XfnArGDYrfhzPJbdck0P4/6HCDxDQa3l2nk/91d3 wi4ZS8az12y7ixnsf7jw2i9TjKN7w9lFYIAeWwNDx6i+7xpp+59EeBjtv5DHHnh6RBK/YnmwXXI0 YzU6vNCH0tY3YOCCLfPekXS7TyNbH1vWiMXa5ONwRNk/vxmfp7maQUH3kBU8LfU56Td/e57mH3Kt xYp6sW1qBRJCl2wpUHdfjAUMhPhjqUdDngFVXFB4/SB52uGKSqyVcMk0DiQsyUkVSzaD+GpSU2Fm 0V3ocZaNe3kXnLfk/QkeZYZX/7L4tq9wgSlpA8lzcDWGkE2GiQ5SaBCHGosXDD8X0+wbsnd99V8/ Q/qs6qq7jvrZuJr7wih/kRJAefdHssNlqRyY3BZilRb4EsOpVO/XLDh+mYrQhlOgZh/zewpRjTfS lK3Wl3wFvezfo3pXF+Fo9Z68YM119DcGY6bQhGQjZGztpz3/4t1bIFqfSnANR2eLlGpYUR3lDUVJ H6qd+8JnzlDWwDrATep3AiUMGeZQRc32c1SSLENsg+jUxF7z9Wxox1jvnzlikZrBldjnty0NltmJ GoPPvhtrw2qlUs/afjGkXM9Li+60/YiI/zfqIzrgY2ThvS2t0S0FdA5LwFsF6EnackH6O5aF9SvM SmyBi18q+2hBXdx0XWGrCVEnBeNfZr9VNJKkN0pWH1ybiO3WjenAyXa7BxDjPSXWNsfRreHFGKgr jGY3iJB0oAUVkZdBejO6edZrUptJKaUVJqW94VZA7Gsz+pjFGLymv+GoOPoLsTzW8FXJl/BVcMOF VoWGBaCn/ZaVkjSEENudWDCl6oBZP1EId1Iocnv2n5dzWfU8/wnawh4CHFl9DfUkpXNudYmkGLiE JRdwVqU3Hval/iW3RcjY5Cjz6efyRtmrnMaNAYJW2nzfy/UmFIaLeshk3XxJwrtbJ046r4ueVARm qOOMv+itMpw2DPD4tqOuSBBuMiag5xaG/L2lf2O5jE6XPc0iPCjVuthqEEFxu1IGfs0J8UP/vBNb jxMq4AlD9eJtNWw6Tg+1+ZHkkG4veTpjFNNm2Dj4e7r5vTE2kSPMGZw592JUIqKToGPfT839YVWC n/eVFWXiyqUSTnS3hFLzUnfaAdUqnSZN9YN6sniTfqMB6Hsa0LPgEEY/Vxav+W09HztG4yKWMflM o6x7NRDdtAoJzNc5EF+agNJ0YEBt9m4WC5RyVNVh0LQ+he2ioOHiROPzDKeA9oYZgh42pavdlz/+ eELvcFcVlZmudg6KzM6odhDAVoP8ViKvsq617h0vlH2w1q/P+KHfv1e5e4+ua7mY+BNIDehx4vin YLG2IvqRSsVtVrAZYap85A6zEcYWohQbbsOoJAHUp6wpT0cwA4cqlZuPGaetg2LY/EnxSTqBvPer P8i3aOOvTq4OjJMeW1f3QrhLMPR6ZnoJ/ys9+t94RAtHC/V000h0vbk7bMv+AMhheg/WWNCxMFY9 WKf47WdN4O50xA2MRhfC15qJ4Dq+xX7BOEI/5XnwD43gPup/PdQP6FAPt0PVg1Jiaa/tPpZ7JMHf Ydu+g0r78YbQpoMLP96CwHM7TW9sOXy8rILE1vu7wVBddiR7HqxWRpJ5ZYrMRKhwLdfx7O59fkdf rI/fIU3WOJFxRqIvRcLJBu+xYZcy+WTYBLD31EKrmWcF7uAQPAtTGQ+Ank5XXLhBf23LyUP4X5TW C67mfSN2TO6ve3otTtfryqvNfo0dapjt8oG66I7PCNsZgVgygwtgTKAwdBmrVmBHhCkFGzQgxrVp 9nGCqEbqVr3qfoZPaQazwNfeWI/IP5lsF0aQ259h58yeSmXyQgPel2SsVz1ANt/jjzkcl7xSPxrf gJ7mfUxaatGlOpQoypOD6yvrvxUD3kaDR9R09sDS+3aRy453XT0J674DiJ4CMRbolsc/9WrLnTDr RRzGGmm1HQ9CmNHae89W9YFbG9ADMtZZq6zfssi1ZHxLbMzvKI3cwbFRSNrIBTid7cD8pdP3K+HE XgYWNRk32lFgW9m2VUztGICeTzR515859rzPW1yBLS8dTEXVAITFzxiiDH5mK1aP8nyVzLxoNRtk wiNZLyklORDdYCPUb/c0+z/t57h9cEqOm7gIg+v8uQ/VwI+uHv+SkxghCmGNIiotTUP4mWpDEqGo 8qLshIjuzfn35lK7gnS6/8Q774/55VM1HYeigCZHmJoCehzqIhfW9qghulSGiMPo6VI4hKaB5FPm su6G1lyjyu9T7DpN3ODmQMxPArX8h04/nIK1LUCq0XuG8D+IvsGO02yqlNnX1XLRDhR/hInKmQmK PtGw/8KMZPQNNFweRbaiJ3KS+T/ijI76/8FwBJpMDhT4QuOTwocOJqVGWWws8P3TDughq9NuNnaY JG/Ume1hfR9fFe5b38A3z5Pe2Q18JIFr6ddh+HGQkB1BXPvid/BaG7KtyOdbDY7xXCXNKlFiaYKA ZvA46pVLJOrzrsnGRUl2RbHysm/CREeB13uzf1F9+U0Bg46D85x/Pr3JmEBoviNLDjH3uRyzVEqU FZAxXJXqGQ/o2aYwbKvryBo3tZNKtUxPdJD5wqWjtd5NSv6TqKkM1sAaD/2wT0m2mJeUTmMjm3y6 QfTPUjjXql4SbKiX3dKMGAKEjFAzzN7ilUDF3bCf3PA3q+LTLLaLbVc/PaHG78cNdkpQ+n5lb3LG PF8v9jAh+wbCCFC138+jQ0D9I9HsyMEvgJ7pycQ0B7Qzg7matLgxlIJ4CgcVERHHLE0mCbCkwoWm gr+21p0T7R4a+foxkaBZQzJa/AgjEjGETE5KwwHeFJroECsimTnkbPEuUFfR1Ua/Hi5ZTrDxnRXB dqVRjuoPqktGA56hmmcWzP6u2jVD0kD0nF3QL4el1CF/pfozn6YAMwF6irDhj/De0DD7J4zy6QsE DbRYG9Spa/jqywiTzsKPPqXz2q/Mly/zvcaeVVwurx/uyLSmoEX+sYLUfkHj6EUK1rVq/qJJAW8Y V2SI8HmAtzzdsq9OesYRzrgMDMES/WNAGSGKmqPnQhlBvNNtlKRzI0b9YM0XPf5V5z0c8STzpdIA 6BG4uukis2hb5Njv8+YxOODcZhA3I5ggG0kauvkZ8F63W9FyvvKlAm3qRRh17zWgQytJ25WCMT2n xEfLjHP3SI302WEIrfSkY+iNN/q8kF2QOyuDmn3QNWXIv1uQQ5TXmPYRlMJOU4N64BM+/6p9FdhO 4uD0bVaXHhLK8IRiKMZMBvR4xW3+1bCJjMYoG0hcvwyWk9lV1jdDyNueXuVHfQyKjPB8gaM6dqoZ T7ZHuRB8GR4QHrANTfR5kK8TvSd5PLakX80SNKgYxyhutTs447rt2hP4uIJ5OD2au+NVa+dSTZKe 1jhcnOLrKYVxKyW+f3oTlstz+IjpPh3YGCU5hNIZiQP83wWeE0mYPj8NEZLXpkbez1D4kvYQuX6Q tGsf7apuwQ0HBdOp+6PTdNmISLsQKRQyrMapoJo0EDYpGAEP1O9PEthhLwlt4zC4ULJYjnyAYz94 M0sQFdZiyet542oP8VQsrbjkwnzYa7LKVaObdGhNdFfJozU5JDsvBOqLg+1ixUQcBujpFyoIE7e4 0wn4XXG5JlgrJ+gTcQdicsdFXfj+ue0HFYFDfQD2vUL4QDwYFJrLiRwnDMdw2akwqFtmTKCOs5nf Kt2oOnlts14JJ5B4sN4Ckg56HJ50rY6GBV7x4h/9VLx6wF9hvw8OldzWqHVP03FckZS9c883A1FW wRrNRMoGJAPo2Zi+A90iUrDFEss4LwiNyrAhIe//3pp6MwM2BOHOOXx5u06Om8gc4vJVSvadXKNS I63mCZGteEoNz77gY/M3DYBb6QlHjrm1IvlnLG6QvZ1PXwhl8mri2Q21ULkyh6hDSJD4s9TYuvqn OSqtXlZo8h3k92td83DjY8JFuTVVWlupZIQwcOfowfeRWjQhvh9QQGiLjP6uS6suQ490CU0RbrTW wpzABv4hT0aXcTQtYbl/dKStAscfXgTBVEY9h0swUFHDHdMnTOzJ4Pm7oBJrdOzc9zB9YvPJBtTR Z38oDFClEXJiBLa0PAuPvPFh+u1irCyF3B7tHEPyNLjlLWc4EHZAD/+n8N2sWtDkl7cDwxj+mWkm tYk1/h7PujqhrctVT8bfrBJ4o8IYXvAB6DnGblx73W027dK5c2TEG70PSaeTJ2IEgUWyULbZbS5O +gb6cPFCtDuBkyUr51i9fZQKOVPm0iOWxKYk9ujtg0wxAVQOAup5kKcEclWf6HEM2GA/Smh8QE+W QeJpfoajo6pKsFl/n/c9XKMYVzCNXOZICFUehZCGU0EmNabGQntU1wDyJzux64ADQ71gC0YPbWpw Q2ufj7/ZZTeCxfxm+0NxQaFlG/qpqpS/pS22ywK8ev+UNGfIxkRGDoSecrfGHqaUDXfl5e8kOFsj yKIE1+aokR/6YtYGJkDPAGfI1P3w45aKLkTyradblwnMCt1EY7toTiOO9T4yrMOBhI9wJvCyY2QT +2GbsHTFJ/fDr0N+bI6qx/AdzFB0i96jpaUm/EzjDM8F3vqlMrTLy53gEUPjAAr7eFHcSvA4sj1H +11FWfTa0DPwCQTUYnCDz37nEJyNkJ1uc+qg80VAj0bJUlDPHgWlfWJwCEpNxcgzQ3D5dGU7NoYx hDILd0VaJS599H7Jo4NWEn6V+rYpdFVK1B/3o+fZyLw/bu62mEOvQAeen/G8WiljtWvxXDE9fQKJ F830tagIml/4uMxG8vlosfoYAxdNoY99RawuTlvsAja7MFuMT9Bf9WKqamWQgJ6np7Uz1DQizqlg b/GBCuLdQlQP8U5dR1AisyfQMR3lEiVnxJ/G2JN4l62q22ZhtSn6/t2q565vN+cttNibEFvP7Ps5 1mG4bCcnfKyv580gPEEGy1GGvZSQgxopCuAHW5xdN7Et84kxowynkfRO0alfjl3X/votDTfkxeA4 gwIC61t7lyL6EF+Ei9lPYSFQciY+6i+jNgVARCkC4vT71RUm7tbbFi/q8/etsPPzLqF3nG5EuP6a w4iwGvRTLs2VPXvNGJ0qjkfZv9VPFvkR5iHjjXhYeM/z3JcqV4ehDKjdJ/DStjc31o9Tw3COyjNS Fo2rcctmwUU/zpwWDBX+7DNqXaRQ5hA0r3hSBasYX9EU0vJg0Q3/cGhBjvJXkOD+SW2kIEKkGRp9 iCUloC287Np3IT22xsBZwJXEBENtgmdmQryNiszzALfoXXWSnPdhgQGB9ZeNEWc8EaLJuUHAkTNi WkvlSQwVLaSzN7NpvcLmBUZEoweBxDTltfpyWP8qcVQqY55qkRZhXbZmcAI3ym9c6S0p2DTvjpkM o+bhHj8iBwP02MPrg+0wonIUmeCoVbq1afoihBc4WftgzrC2llydSBxrVOAFi5+Zaxz9TIVvM/Ow 9yjRNw1kYFM7cEOu/6NiU5S9Ibs56kycsHJJn1IYhQdrVt8kUKW/O5umQeJsTVd9K3YLQnEzSXmY YoY1Goy+wROfVLs4wO/T5pdnx0ivvzcC9HSHbN5CtC2iRmYhUifKP0hN55N+PeWehEP+RTS48vgD PQqFT1ER3rMe5dO2P0OAjSuSHs4IGnG/0cNVu23jdDn45U7tXEkZfODpG7mfLONoy1yGV9xuKS1o ODorujQC5DnSHDXQ3xti8tco1sUar3jFv7w1k2L6+XgKvhC+FzL5N6AHu4/X0p75ht+Gq3Pj9X4m J/69jgExRePXgrFbkUTP5HeQH9jdDrtSZ/L1nLea3kTx6mhr1ZVjP6/8GO7WuCiUyj5VvpWULoNa lD1h1WSBYXaT9ZMasMfLOBJP37PxLUMNMsuKirTM65kRAYNMhCTVnqw8GbcJGu7FLpfvDhkeZw6g Z8m4GUOeMDXbceL6/PPg4YI0RyBMlMXQ3muACBb43NyOGI8QGnQEDs1d8GdxWoEh154dq0fajxqr Nu1l18YgqXuDrCUX7x2PkIo0zVEP/5+5QSIVMdon72NJfZFNudQW8R/fCd5m+NJgpPGe7Gomn/I/ 9Z0WteAqv0gaJQko/RmPAD0Qcw/gLAugg69NiiPrR/JtuyKjYdtNPU0UEgWPT+aPIy72p4RTn4+e qLkcJpyJ7t3FnCJPfRGLNiQBQnyXyCS13mSyiZGQ49inQiTVYVu9uu/feYrxt8G8Sw980mpcF8Bm iAmykfdHrfNThdTAZNc5hrr70oLKPCJSQh8SsFvmbYCedi+pcxc2BoQAAxsli9HsF5gt+/tV1w7j xKaho84p2K6TI63H682k/d3NcvJppYaMNYqw60kIDCbScSpz5eyIuTAWVkYaRlBK7yKRVPRwlKbP Hq8wS8Q6k882dkvtYy/VId3iUzBIx5xH4AOEevjTfSV5LV74vx0mHB/GnoPwH7avajmj0q+y5wfF f10yha/ONPVGChrr1L2YV1IaDuloCvdH8S50afV2UnYcxAJ+qeqoNMRCKnEH+mazIm4cnQkoDrzo oAouNl1qwvPKpLgAH8wm9vzW1E25aMNQyvBXFZpdinv6nS86Lu13IVgwSgYnoH/Zxyr+yYgyqiXg Vk0kNm0lmVr2yzyzqH190FLUOjoZ0BORBVOU1c5vSmDNDqbaaD73eLgmKkhYZiXv2vkJXfKIbQ3z yCErGB3qZQIf74dEOZ7LYXTGFNMcC6rWDKV0mhdFXJX44IYT+o0apqFIXuvIYmJcVu3bWhoMrU3n 53EBpGDiJSpAGqqkVeOJBI4+/TUbq/4NySs8/1cWouli19qqFRJsKZO71w375XdtGJ8vlzvLEljs QajZ2vA+n15YYlQWC/QPsicJBZurdxQPTrPzF152LvzjVWXECyOPpKpD+hlFlujZu7Fm3cvC1ag0 2DesZPZ8gLiu22mUAANF79dumL4vTmBRAKlOx8Pfvdo+a0k1TzR/AeZJfWKwjKyFV9Qx9LjYmju9 JdavVSeM46wxcUBPoSURaT5WwurNFuja+ECsTgGNgINMfHGYQdGK4RvxgGI5m4puhu0i1t5w5gsY eMW9IOw6tpm32hrX5j9bYeYZ9lQQm9frptIFTfKhqMUxReGD4ZvuHAGwy7cCMZIqzDPtrUoaLEKH jm39vNvSu3Dj306nvAOywxSpOr/uPgRGkOQBPfrX3Z6pIzgFS6jZ8o18TArBklVnobD/6lNY91fV LIaL5OkCB8bUvU/4n7QQrwRWnJe90KvHSz4yDUNu6O/+Xp5ZK0bZ7wJtuBDiLpYuSp9fU5WRjy7/ lMboCUAFyniyBNt7PqpyuU6zvyOmi7IDLWP/6HSK/IIrYj4ikq0jXsqrAfQ4blXP0N8FG8vQqMho zGgSVyA1ufk9//7pVd8b2NHUiV8s3E7P9n7iC6CVspxC4GLptXvX97FHu4bKYxoNf9oA3cPgz3xH pqLJes3BY0Opw1GI1mkwCXUY5yUrnjllgofOYUj6XWkHl54eLgV+Ftfwm/izKsiIRJAIyC4MRk1r /QXQs/ADPNo5H4WLLdqB1LUaOpH9IBnSxhKN5ELkmvr4J3i0iwWBRDhEq19dcyBcInDSwg3GCZcZ WpVnXf5QOGMjVk45WteMmnXHBhaczb636KUM+lA7oeEkH8ErnKf0dslIYe3O+gFfn9JB7w7Pz1x9 wzHrTYGL8817ELzhLgEmoeIS0BNpyIjn+Lm4t02o3XmQnbuj6JXbStOmB6x7xjVTNKPIsVx00TeP nhyxqAxkFQBjJovFPeJ+yrtNw5GnaNBYdHmFyTqhLyeAzp40R7Ed9iCLwW2GzvPe/f2YHGhODp7u we5ZgMRnd00ofdebbLRiwSdoWw+NeBKYWcYFj/HMgZyICegJpTAeOLiMMvlmgiCJvCT7R6SCrkPp WKb4OvvK+TEgfYCoK/WN95RM2lVlBOG6qIdCF/9eMYvqaItUaF75g+M02+PSZoo+fNtXgN7v3wbu wlv61kgqmMIKIw7dfUiDzcUgZsf976TXSF5xvq1+iU8++TfxnoLwLL0mYYiaGt/w+xXgPnna6mK3 wQuOIdgdtX67tBHYVO8JnqqYeWBDDDQ7VVU+p9/0QmEuqkqUdRNs+dHje7cddO3Wvn334vuRU5ZE YXQIz1HJgSl6327wkIPnBlrsla6yfKRAz+lR9RcRpwOIzVAbxPULxhDUy8SuklrbDJZtY2cGXw6I x3yZiSx9/Wn3HdDDUW6jt+kd9ucLJHMKS037+aOG7X01eAAfI2i/9dpQvzqNgyr1uv7IYq9JOFNj uLjG377ds8DTKn3pNBsdz9LUMeb4LYsgeCsj9PR8nRnizmfvp1D03mQqev8CYx6ZQU6yceFDYDAJ 9cSIIQynzTpXIEsF3odCXQsBL/KwCTYZPRLQg04CPFePj84IMQfyc2dxwZ5J9rrEsYVhV10SIa8T AhI6eA/h7eZ989WvyagsI41Bb/XJ8iU3MRBN6nMq6XGlurnG+2wcQ3ozsklidH7YNkmPT9thBOnC 8yWI8WHbO39iZNqgbOt6sqNU2j+fz6lNiIRAW7wPpuKZvih34TKQWDUN6Bn+rmt1j42+Qm7WXisq YdAkabcvmEpUOEskjGmKrWAd+IuAEbxkaQlB743XVbKgRJephoIoALmpI5Z5NmlN/M+LU2m1sRqB gmo908mqegGym6Yg7QRR6Q9FgY2xwY91pAUPwnSnqN0m1MrYsVWEajPfi3gXGqXpEq41eLRBnQgu E6CH7s/3W8Axof7lQayYnz/3BbokSamGhwufrqarbxCzapbMeAt+eM7xwE8cuAgy6OvP3+ZfIyrR WeavFx1mCxbSH9rO9TdqLjAh+CiY8KYwdo+w8yHmyL+s5VZ6FFPVWdHamzu0nBrzO2WcYhfIeA// iY1lTJwjO05ov/QL8LWS3Z4CesaO0nYn3zv0cWb9cvmBdHMMYemJ7LEioAt/0x/q2UxznjIyJeuA Clc5aqk8/X5NEPzkG+PJ2Vo5+5OzreJCLLScLKv/SzxoC7kH5jAKPLR87w6eF2XLdl0uf2ZDj/xR OCqLIT9teH0ZhJzrDndlqa1xb8eppJ2aRHp6A7bE9MHPz7ix4AjiDZfQsDZGYFCPDkMr+gqJNrEB 91uUayhVsENEs6IRvxT1cl0aOGgVxGvGFo1aj0mtmSD5Rkxvhxv1AGs/2SqwDrFlOGw5QX0xh0ea jD3AMoE+FCH99n9k2VNbJowaBeBs27Zt27atyeZk27Zt23ZNtpvsmrD38fv9g/vkwbVWqIP/RdUE utlLj36VknPfu86s0EOihXT7sF7ZMSb6JV7I0YfGkS+gh7DCJVOW0SsjRUHTC2hz3h6bgzOIn9ci +o/opvTDqahPsK4b37BTP9ot/FRAbKOXbKsN3J78zV5fFTzjKP6mUB1u2aefoZdjk54CF7ZFIJgS jylsHAwEs/o6D8xwQtrqzxzugWb+v7eZ1wSj+Vxu8ewV7h+tM5v3KEcI8jTvE3F996ml3UMSSKOb 9CcF2gNi6/4XsQPkVQw0lG2NlBqIvy+0hKVc7EbdMB5l90SikjhR/Pc0UwLCdi5S+cn3pR6FaOKl vuw2agb0bkk+XAmypzKuAWw8kOJwXDdhSKrN1omMdpJ/dwRoHji41Vll6/RRMQ3y3Z3U6d6D1U2J 8QRADOqL4OrkDfQ0xL4XCyq5+N5RAD06YhS8B3Yo76ltgRGNrnToj4Q+IGygOeLTQIFqthUVA5oJ 9Vp2mqD1S+yjlCsHyRoJKl8D23mDCBhikgMtQBeo/UwMG6qcQXv+4GB8aFolK5CL1jyaOUh/4po6 EIjAMPNNgW3P5wjS6c2Uujb3/ff/VVXXYJ8ZvYmlLqC7ABv1zgN6Xs9gG4pofbjfjFTk6MIfQ3hI YEu+V00I/v2A+/d+Tfci6seXcorfOM//0oE2oID81lH4hzPu1nEKMQBtl2cKRw3y44gH8yUZaCPs sDl9qAOTEviAZLJ7FVQ9fnRx3oGqXvkaEYhXOaT1KTmPzG3LXOS0djQL2XuSyN9tpbNbjCYD6Enr 3u3FCvEW5cmsAeG3x1E1ccbG9qvYNOcsx9G6GAVz6ZJOpcpAwH2YQlDCaForI//ZSu7qy+UkkxzM sAo8ruFoXsvVNGcHYdRHQt5ltTp0QLRUv4nkh0EAKi0Io0HGcrchQQmKShT1uI7Hg/UqovFFzGW/ U9OaIvhLB6a7VzBCDehBqMMOXM6oEl4/is6k1PV00jJKRL06TQo1ZWsTIWrfcmBVh2Uzf8fPgeUZ qif9pQ3Vu0QEL/MzR1GPhmV8XbivHILuVZGdvowPxdgXKdQs8Fv9s0mpsmD/H/BOWR5CQPDcJp+8 F/opVnPT31MY315sel2XNt9m3XxnsWk/mG5YYgNAj1AFHjLPs90D5I81T3+9s6241ZiTHkq3hdvJ H2O04s7j8Sr9C+0CkTRjvMR1ZMQU0Aq66Xx8XWGoyROro3QjE3POry2hrgW7mcgdogNxQo9439x1 FLuIZjEepmR9M4EmxFQf29zIwzCsSI2n8dYHdKzhhTJwaJHCBonxlht5z+V/gB71uQYEBKVfFacV BUsl47YnuNXk6UWFCHa2FpUEHOnW4i1fKgZXrRfnfHG86ke4tF3gscHFtcItKtkcCCUTip8+u6Xd ihSscJi8MOmq3NKJGLTb8lZEzcj+C+TNnWk2yLE0zSwu7TmwZgzE1Yz9jb0UzKkQbA8oUgXB4x5I i90xRYOOpe2kdbAVBGbry0l4Zq0PKNKEoiO/YMgaRezFZh/INIaUMK0zRytqyowXWKiCwdcnZ38x hUOTC90cNJbX84v68TNR87QBexU871Mmm70Rsqq/tktbxbIKaoHO/0wEebOLjWDUeaxGAsX2UzoP 60W1wmQsxDx4eO55sWxv/uJUFzvr3JMCfY3eMty1QbzfGwf0bMe9Qg1xk7Kcs18f/TEzvNyJnc49 k4UY40iNRJi4e44bO9vsxlkwedsbh8Klnl7+h+PXB+/8YdE324yiBx29UVKVZdDMRPcd+cYpdFtk u1TDsTDVsov0jK4N+ddyHOip6fmp2+i4CH5/RUtXTDjLfEfvoodhZGGB2YTVmhMV/Yqn8dlAZvLx 0QpbOLdu1nb/1wbXpvPQJXqYMwWSuAj5LNWFEaZ2XBEXHmGsV+zuMXztEVmke6h3rmzNOdcfJYZU Kd8SUEWfs8ty5VvxFHn05pqvtdUG0aCwVe5eQjnLAqjx6mAPuIPXG2JKVkJBDw8kvVbJxuZPY+8m TvyH2x1vxczgulRjjYaiK7S8287d8FwdQA/xHu3lUWB/FAhn6wdzrzk0P9ZfpzLq+cz0D5uYWf5x rdzcHHy5lODDOQdCy6pxv+6RWNvbNazC5+9hPP4P5kj31iJWAwvldRfVgGgymA+Vevqi9+mm1xix Apb6uL7oNKYbSwLT0yzEy97ip3xsxwR8rNcS5yNqBPDqcqu9KHS8RkDPaYcSjYEHrYRmkp/RiopJ S/Xwjc/FbKOuX1f2zgwIfHbPaFuJvpJ/SqnZ3DqQhv1VskhNgT9ZUcT3wl4fR4HA46iHqxK1Sn+U WvgVJR1sbVGQi7DdRcV6hl6aj42H7YXDRwo440GU+54TmpNM6pEzp7uNe3QsQ2CWdmZEkgrQkx6g h1MsJ1AoBoum41aSNLFBj6Fd9OUI/6oyJH+7yNTe57aRfA5uPmVuxqPaEAoq4oMDUX1Jn0oXRerR 6k/Mfafkb3Daqi3/MCMsMzgZH2HOJPR2UE0JYTM+3wdRBxHc9JUKThL0GYjq/B7V4QvQrvM6Z+FN BhClmlGQc6DHJR6+8eUsQE/IUSMs7xW1hHvqcIaGuVn7C9uxT50V6x5d2LBNUhZBwswYqfgnv5Fq YJJPRBUsf1gUS3lWPSartDA56zOmTqJmN2y5KscKGLWeg8zwhxzN3rDb+jRlDGeFILmwTJSqhEpW iGpgJF9RjowozjIuZViqtemc9FnIp748fxysex7XP0BPJDNvk/c0rdCN/5NCakOcVFrg7Pz17Csi EdJfr43DuJEHTfuGJOGRAB7xnSDgVJNYu+rfIDPsv+KJ8Ic2NTMMBYn/up8i3yP7NZsuW1gMxM5p ysWLbBS4JdhM4mda4rIGOJclOmXF0SjhfIN5WuqqYDZLCDSckGGSPXUO5Uf1DAkAelhfHrGUw2rl dQ+d/M2EMr6OkZuz59y9LEOI6mbb446zFDVnWKyhAt/OoaAU5u4j2aObrHfEo00LqdQORnl/cOkY 4FNN3DmikeAT5zr+AQWZaRzXmXOe7unkdLnwqRD2K2+5BtFOTbpM+zaJtxBeOn97ooUi9yMrIPe3 r8p84/e2Anry+vkGcr70nWx9aqn4/DZWgh/+9W4PlkgxiM1kNLGs4+9INVrmDGDRKnWbWOwF5T5T r1KmfEDdGau9L/zburNwjWRLYyEjqJqn76WcMKQLvXPZFYYzMuT56gRbQE8GTmHMTJVDI3R/Rpfa Q/16OcLzXDLoPCoPoQUZI6CXS/YZBgL0RBnhXYe+WBGFNOrPwjH1tztnycZeXH+XuOzuQOvy6c82 E2QwpdNl60qdktZHg12tcd1Bw/Wnoekh1Qe7tE8lYQwgXMlDhwKFuKrF7sJ1NtNgrGEWpXEd8lE/ rXlC61oMfYvffewTVWCI+9uNpIWmuK+Q2awV7X6U+O87zjVD4XYCevbUyAWQ97BzBpvVC8bjqsCb 1GpxPc7rn5ZGO1oa68HNdlKjWObM10AzzEdSily/4RJ7w07jgnP/4MieUzkFGhGCzLPcPCnDslfd cIyt2CgwiaFetNI/3nMvL8hyt3RC0UtZK1XfH+bMGV06OR9aoTTnqMPkvG06WfFHn+56HP/FBfRk CSCfVwxF9pVGi4GaamP5dfUkZt2El1M9lJBub6CwzxWd2RX8ZfGUFUPOhULBF/xJO7EtRxSnCOwo D58tiKq1XNaC+PmFS71M/jd7kaXkbt6ubc0MSxXtLb9kw9FZUwsmSgHY+WZb+vjJ/AO0Pe7DiL9h U1Gb0bPZxQy73mlUcA2wzxHUJMHWGT7glsWUTKoqClsQOIg78svVTlKH0F0SKgd9yfCB9k2Cmz8K tnvlBrYYN2nTLc5nJVTWdVQYq9zH75OAWS7tPnZ8vQjxusJ/y+L8iQ48ggm454cViYqDH5y4XJpV AQI5M37PgkXNHa7NkNU+9HWj59K9O39jH6Jh8fDuAvQ0F9lSdCF3ngXS0c2ODdDp3WqA8TJ9mYJw g1KxM24b6iwF0JycQQ2C9/cOFlk7t/3dyIjpx4knDxourJM1kglbLcHcL2S9jcrOPeD69DTFsjh0 4nQdnqZ7ahtaInvS8I1YuHhCKFhiRlKkZ8AJQCRKdN7A88M3WekxQ3PhyvmjqQTo2ew02ovcmUHu JaXEHQfKn3z/lytk5eAuPlziW6wYIoShuwOca131LTp1WCtMvj4ha/w00pVcRTH7ADG15R0Rt9W7 6ujagDU+Li8olwcHcWVG5S7YtntuOVGFsUdV7gtr4CMnmsP0PINDnDnNrvOYY0hovn/mRdUt1Oku eQtElV8N6AHxJJErcoe3dNcP+kGE2jqGnVw4/PAP6M9L53izs8llD/5W/A4/Vmb+BLKbVvz13riJ 8sQHEpYTBOYPJP0PBjoUCR8MpketYI1nkxQpInJ5KfBTnDb09lV/nVu29zcdU2u2zGjINkSyLy2E zDtb40N3T+T0T3d+IhM4lCdOTsquPaBn7uQF/0d+UOpCAuYKFCop+nLj/RZl3h83C/lMVInzo0QW 7IweK+oZTWbW8+vPsI7P9y9i+PY1Ea5J/7KVO/aH+6aJAknHL324zkbpkb9ou+M0ye4Yo0HJMiSL e182DXiEO5wZi5s1rlhpdGUC6LQ27KVouz4I4Hw/9URAOCWRuryAngzrp22eAUH9P3SqHdVq1dfr jjG7oiOnAaU/GDhHwiNnQ9AZcwYfO4opIcJPx2rRhePpFvjNGG6Jh6fNUCs6EQhjBtDKN0m3fexN zTyLSYJDlY47nE5UAsblJSYpLtds8+xIP+2Dau2KNlMuIMUibzO+sc3mm+srzeCw3vFRfSc/v74Z FuUlY7zcpkeR40HeBy12jYg7SgdvG7+RrejVkxPFojQhLitRZYv1oP0Xk/3+9QTwLyJXBH2V5IQJ klnAXqw6ISNBw8LkQWtlTPQE37l146PMlwZuboAwZioS749d/2CTqJmQeotqZqQm9hZq6dbXDgwV EMPNoh7IOPwcGns9F2p76g/p+xSkpbsQQiQmeefIbRdHFFyZSE7AgfyRd1yMrbgn3roZz3ygRSZ3 Uy4eNvJleDwKRZipqNuhNahIr1yTYoSDMQHXKDuaul+vu/885ld9CPVcFOsVaUuB/5tzDRxpIC+Y bXE6YL5APztDFzmfeGONe2csuqRZUmzCwR4W/bAWDFStSCns8Ujgn6EE6Hk/FWgiFpfrdyxswC6r 0KosfSaI2hTvNjg0Jmam4yw166sI451jOEXzGxoyUOw6OSG17GDerB+VMgePNl92OeCWS72Nvsii 5M6M5vPP/EdifZ5Zjpb3jR01/thWhka9OF+xt4kMZqtVQSbypajOzr5oTA59o0vlYWAyxE+zrFVO DOgpn3SxtZHfx/2QjpFhvcsHijWpyh5QuRJBZdOfjLE2pZhFXMdLZGsTtoqDyFFJ/mV+atlxyX4t 51rMUXE0+zJVHeZ/EmExtXNXPXgYsWWzw4+sEUr5uw6MCvyRvAuuyapivZYNWr24d+xKDF2+6Q91 soNjovUG5Offs67He1081OHlb2fiaqrsP6ssVcsZx057fOa7nkM6qTC8+ngmbAR5/GB/kIrUcV8T QK7FMDZ6VOqk+1jwr653wlFCIJwbiku9gbFACuzVioGnmtzuWgfqTyg+Vm0k+djV50zTS5eLHVVq 1y3sab4NfgrQxzuEaJHl3XjzUqDZu9PdWYcxf7OSUfqEN0YwrbHVVFw8xXE8zvIAet6Q5TOC8DAL 9l762xI1RNnzR3Cevcs1dcxALHy426nqIe4JVzFpuxhQpyyU1U4h7htig+UTrCYVyhz9lmq+UmlN xbwPtk/Npgu2h1PbYBR4/HqLIHdhnzmQH1nILs0Og1CyjtmNvY1eCPVmeT3yz9m1cqQK/8Ks1uoj coeUmgPRAHqyYbayYkNyJvmAJSSvVj06LZaXGQxoo56nxDU0BVu4g+W6DG+aY/nmndMPVASpTKAa gMh/j9sdjZsXFLRbk2GMBrwMoMS+fMONimxkfULw3HRU9Fv4nH92lgwGQdSphIbokVDha1G5FWCt +8K7YGIOKQZp1fHDv45WoEhw7hMeuAJ68teRY/4WQ3zm2tC20wIpQ7wS5l4S6W/PQGhfj9myT8t2 i68t2CHcQfoa5lqPCHB6FkWhNTe+ZkXyKR5oJhz5Nvi8FFb8i8Wb2aZ/5qTdvfQtrrOUMztZWfYk ZPFdeU+2j7S9wN285dZxRxNpdd8syhcoyRAeMik59D83nSfg7wUC9IwGSR84GwX5aI6mdwOTZAQE ZO79pbbom3qkFPnjPvtqKKMtEGOI9qAj10CjUX6ud+g+hqloOkR09Fb2WySQVVdxZLU1K8dptIgh A3yQgztktXMp6WJHQiO/9+7WVryt/uRwAjxO/i+yV0/lPoXHN9nQ8vTulMK3kLrQZWwIEjfnb0AP EM1hQM8nOrarv4sr+YcAbtOxQPpOAdCTzctl4ZpioQyS4AYYvKcmlJsTh8n6GfwMSm0f4g64kNJ4 LSYkVHmLJCucpjLJ3lvLTjV4LQFvieDAgCbj9sAIvwNcYwIZkXsScbpqaW3e0+wWhflubd36hI2W EmXFzV5htF+iFzaXHVEjppubnrEL3fPuIMzfwCmnikgdRwmKkEFOnBY71SDST2REKWSQ4NabX8KV etYXOD2Q66Y/ac4oHkY7XGjiQjB4/9xwTRFIMRr7Tgj9npEGln21zKfpoiI+sL4gAkWc8W6xYAfS a57Vu9yejzCpTRPEHreg2k3WfC1f+6+d7Wz7JQuVLjjBVPz7qm1fn96ghLgAPcTjE7thKk8UrZ1H xmylfyOyaqjWZF2R8U/6YOq4ZHP779CQvYkt/wpA3jfIKRTz7OJvnQVjYSd8LS4zK9BQFjA6h4nZ S+dSNZvd9/x5OVGQyx3ESp4xd49nkqf4DCZxOH3x1M5P15rXGpHWvNGYMocw+YH8MubWQhxeV0+f ZCXnB/Ss+UMLOH5EolsAk8WrcFTCedPztPgpJzkAHwbErezutVoJJJV5mABDd8k4/2qrDANK39jS MhzUUQ+qrUYnct0LuiA2jQ3GRE8GE5r5x1/qiFXkC8bbvoHpN9GcsBScy0J7rimdrcVoXyo5a+bL WEljmD8/Rfbnts/F8K9FQU6BTwmgp3fuY37j1xWVn5fdeOgc6V/4R1eveE4DYbJp2SjRV457vwHh zTfZ3Xe8xvxLaUO+umUHpUvmIGN2zF7bj8bdmag8v4XEviVEiZIc8keneRWlaNg+XjHZvzl259KF WBy+SEYQel2uDKQEdHm3eVElCoa3j76jc8q0B5i0asm0eftogJ6Rojx2ARw+KONlILI+8ycaArL8 kXZM+uVxhUC35S1y/0OV3xANRD7xGxKF4ybW4QZ1LuUORU5p5eLAsQPOJY1acQ/mmVRcTrMGpNLL rCBUhB4b6UaVi7Ijxarf2YTpooK7HZdNpv30fAc1uGjeazKp3oEgiAEwzbeB8hJLxZFjloCejecS oVydfa+YmAAjT6LlW9dGZHIfzgcShz7IoPuu/JyjsRU2aUoEI1K4XsjEgy3HCNT7IM+Xo/ld7/NR f+gWcNUg5dRtJ+dSFMU17G889hisqpqcP9ym/mWKAeJlYwM9ZEtFnYIS8G9QIe0g7vW/wwXzEPFn 8cEp0GxXctXtP3MBPTe/KWVsWmqxWBbF11XPyDoEfBmm0gZBx/uYnoImWHjW6HK2t8aCZ+a1/KBO z3UmR1UMMR8S8RCrD581w+BHHnZO4/tJ0MUpKvAK/5rLqfbD76ARfwb7L4ECv8Yj/f6YmckOen0/ H4WqnUpmttoXSAoOt6IzhHxUwxqT/oWct3tgBOipK3aS9e40++ADJwcqP0nly3wgJpYr14C+9N1Y AsUy3/fjnHxzsHB8VeLlEauXEO2igXBj0OgC1mysJpPtxE6OGKCc1rypZKIiKF1bT7vn1YNvGjgr rnZJhLbs2nvX2hY6t2OD8p38oJzTzBOGDDUu7Tl4+tEbfTgZ3RM4Qvl5MgP0FFsQm+jsOJvRURGE Bk0/uM1eDUVe5yZ9Rv0Lx2x92fRqi9vFmO278DNUVXmMoImXP6zD9V8C6ghSCIi1/tp2iB46h8e8 ClB+eYWXaQa1mMH6HUbpPemJSJNGRHHL/yvuJgUpHNKpgPFarW0F+LcIkSjjXPgM0G/50tiGJeek ZjZhQI8Se++hUXXQavnqCNQYWLWNFiXKIpJ0o+YjH93G6ih1bJMr8vah4zxpotTvBi5ZjHNI8lqg IZ5e6jMTg+ADhalcsICToCg8c2Kw8dq8xi6PXLdMQhhqvH3izB/6dXCbic4xB2x0U0nI6BI9GgY7 TJeFTUjTSRO1pADqDFXsh+/frICeQKrD+WPeJRCd5VPm08y3Mc82Q6yU62pUqF/f2+xloaN+l9Tm amNJstj8ry33LhCX5uLTW1DKrudeWo7pFh6t0INsl3WQs6iiu3dmx+a5+VqJAZ2M+PRfChgMPSbQ 7eI7Ezariw5/X1wYbZEVjwVvrSCytxDjiWMRqrDSvCV/sBYBPdfKVn2ouueD7QGm/AuGOJc1nSNe OHOvRf6iuHaf3s9+34cRNnS/jWv3NfG4sfyZy3uK5p4p0lHLzu+GDSrr8S5E8Fo9OkAlgRN/++ez HUTfCYT2qypj91sF2DWdXru4fMWLE36V9EGFMSdVOlniUN5OvR/fROXpQC/MqmaGzBAFAnpWfkF4 HClQQp5dGoPspiLjW+nbn1E/JNVCwZG4s0d4spNc9jrxtS/5VtSWhKV9kAHPiRLilLq3VbEdodlo 8Bls4qlJTOCpGt2LHnhtDRA6qUHl3qFadXNwcpQF1n3Q/CkQvDXq+2t+w9C9LVSbCCKy/rrdGd4l /e31j/iEVwGUiQrQ446RFR4qP9uRNs9S6oAAenLn4SiX5u2fN0M2LW2WD+TLtyGwqAMzql74g4o5 yl9EMIP8yefAKjYG+Qivzxs97FvjRXax3JstaAaE/Q08TBD+A+Qnn/WsgxXqVaXPYITxrx2plZe1 SS7C81HuWorAKIY/qnoLfoC1cCEq90NztE8S0EOrBSknaZDtDK3FFnZNRdo3sntX/exNHcMX345+ HFArwJy0aVbnsfKXomEwJ21RPZw4LAbGFRdk8/uXRaHM4MmSzD/WxP7pf8Q1cd2acE1bciZTbxeQ T07rdnvzCsYbT3oUyAaGnHbRzTJsWtn6f3ysORC2OSzq66aJ2vC4JDqF1AA9UH6r1+k8zO2hr5w9 NhUPhDyWScSKiwXj9cYOjJOH3XphfH0sqxP8YsQr+Gx8C2Gunra1eeoyNbZQ8RRsbcW1EiV00EDn LpUrpvpVIlANMf+UAqzN0lo8LlS7mXU5nvduS+2N4riK962APAm1gavoSWQk7BzO7bRvGjBcZ0u7 p2kBPXHmBdlhl5rPwTsbzo5kux6f2i8EF9N6XGIql7PESpPPlMyt3ZBNq/y/O47INAaAKpqApFjc tqwuvykf+TxGkZSMr8kpnoYM8X88friNHt+K4SeS48I9Kp4TOBrUpCkeL6SmY9BJ0mU/+fSzC+CC S8aUtHQ1sIixhnmLmF7b3lMDAD25aWDBPXCeTs4bun/XW6Mo9BrgY5K+01mh8YZVVYKfB45svDkb 3ZNreXAVRHrnW4nLfBvWXJ1ZJhyj8auiwGA0wm7vW4yaZrl6uo3nFRRtNxGv/40xKF8SKv/+mATb xKtasNGzogySmWNRgTy098twJDJD8bE+UOGWdm/A54UyRQLstxWUWtkb1RGLDr21CSpQvRFHNu6J nZ/IGXGNaO53IOlQ4cwyN2Y26kjmzmBcJAmOyech/I5IHHMkJEO8butnmWA+ZBZl8gclG4+IfCtr dCb8MikOUKmW0DINXtvLaVEWwPzegOEhzv8/ckKyi7os4yqN5Gk/BUrLwyES3NrkfRmbqWXwTxg9 3+7ntQ9IpJYMP0OQ4owenUNHTxVeKXfLrhSb80waT9gz8IeoxT+jF+Rp4CiPE5ICwoW0fo8yvdtV h0g4cB4EsAaGoG1IjtONiizieBan8fZYzzWpgSs2DsUnB0BiqeIJppvyi4rsdMeD80S0wR6i1QzD AXvObPoPYLOAHp3onV8iWI0lg5V3YkMrNLhjoJrsstseutC+voEOkSTTCrJF95XZUqCxZQn2MmkO M6D7Akf8Gbfa8EqGiS4a/T3sTMj/JHmM8NVZa9KlhAZ2RSi2RUWAtoSypoHMI6AS5sYTLhiN+faa liuI8kIzAkJ145iYS8Fw3vX+ZebtCKn1A3ruBmcQPZ8G87TvMJwK7QjD7BdpHH09QJDR01cT+8WQ 1qtDIlNhIj/u4ais5lO2onx7PDS0rpjNcDQtq0xEsx2eu5zK1fd26NRQ2+aBc4lS9DLtxPl43bZV 2uzG9nfEmyCFmwtO8HewUvM+vrx+oKOWCCfEKhiH2tQMJUue/I/dvgA9Tdb2iGHZPx4B4PdrCqhA V6ceszirC8s0/yavGNA1prAOQJBar4k/Xoh/3e9QMHa9mu9GQcEUP5FpW50N5dDdmb/PNxDHI8CA jjDuwlsMu0IUd0d7LOt146lBsJ7mm1Yovppffeqf0CkesBwkX5Oqo/u1iM8aFskZFbZbvLNtXn4A ekiXaA7yPj5qd+Hw3GknYTPUQRA9JLr5A3rHvhQp7eyQdeWQydggbhB8dzUNSFrXHdIQvEVshhIZ 9JwfXq91neywZWy0y70Py+O87RtsvV5zKct/v+OJXZWDW1qZlp76vp1h0Wse7F/QgkOigKu7qWEI nH+bW5PQ3+EyjDBQhjLKAXr8lQefLvLve+PBI3tpMI5s6WNtjnv9eSxCs1VnXpKygf/kWpi0Pl/t JTPgPUKpBOEQdodqgcl5FhrqPmNJND1jwVQNYzzQ8vYRGtpmikXvfvFPOUXpP/CM1y1HRkMrlc0y mXDG0IeskoIx2/wKgDujZmQbL93rKB+cfQxDXQ3uNQHMe+A+okgf5UTKss9IDAeq+n16k6eRh47K dDhlDupVa4ifLq8GTof51aOY2ja11XwltcUa242TvgU1BVR9REdQcynvJElhgoPRI3RQ/krBnHHi sqM+PvVjuQ6l2ECn+0RKlW4T5XZ9dS9K7uZ72Z9a1bwSHknx33HCoRMuHdRcNRnmAno4w92cBhdP jfQhFY4EGDeZ/rn0MLWR2hoCrfhMjveTywG3JN0Se7uhBGWVb3uXP2ucOvUO3U2+XLxG/AgcxvQg 81UKDCSeJ8Owj7+k62V0z/nWit8NPER8eZSpnYQVSsFLqtdNxVsfoLW60ECqJy0tvm+Meh5BXG6x aqr4U7cVGQJ6ctk48jlRAj6xe4xaRlt1XgkElX3JO8klPoqV5tTlVIf7lc/VabwIrM3TdsTQPWg9 PH4VJRVMdVlme3lCNIkhGd3TepbpHQdvUROM5e6AXG4WekEtgeXJcL4uwpmy7e1XTe26awHf4+ul ynQ5e3Y36TOPRnW1NQm2CSFG+1J7SiQAer4P3IvpQVtPgrttebC00ylnke/kfFQ3A7Q1E3VyIV/z UcofK8QK6t+smfPblk8kI1eYFC97ZX9Lcee8HlhE58PJsjIqb87ZyDcDLxwh7KbOHe9IKH1cUxNt UkwYr2K03Zl9iroZ1GOFky5zPO27JlZ5miz/rkjaBX2iTso3q+yUA/ToEZsL8gmQQFTqtPaR/JZD T5kNdbwY+bJH6Y9giM2dmq25/aYlrfqWVCO2cK2DYDgHAzNiaJ8dvGwRvChXkIehGHBX0QLPuGHp eG2VEPjlTcrTxl9btamV0bJDNw5VFwGCI8tXHY/9acKqhl1YlKKbgRf2OwkXOvQfdQ+S3OVwgjqg p9kpiiEYjLEmSiifDkv19GjeuobKOFirkz/AERsPEdZZgb02TsDueJ4bxoo9s+Ekxa6gUXaccOzS mEThr7X1UoPCDaKZbDXHHaNpF4geU7XU5DZUi+YxeqlpydceJsdwxZU7UDwK+27FWFpB5zhxEKrn 9NqQ5iUMkXDW+hSjru71f/LUUAqUw+am4RL/Bb/7WoTIL+NqOnKx5IV6STUxIIJZVBPDuDeMV05d 0jp8r5BYDZx/fvmC2n7E62RNPYH7xKP5RzCK+Ms+PvkS77i5n+jKYB6ZpZ0MJco21w1/s2fUuVzx E2PL2sKbUe9to/BhNgiDVHV/vcjK+UkeCTxg+8KetQN6jKne/3GBb75LOsVrHfglf9dzrjjzxx8d TPjXN+BmI8wUFHxM6Xd+whvJbFb0HURbfi+OuW3mmYkFYzzWJmNtnMqyEt20RerSt41BZXQY+vRR xWy+f167hAdopCanYwy8rXYf+hDnRh9ieCOP7MjEOFR9uH9vGsyjuRjZY1ChzEAAevR38wTM5G+R TyYfgWCsb+1PxvpcrGrj43Et1yW3phXps0GvZljp91HkyA22q7MLWQlrV57apx79K8KgW30M1QlN bvRrcWiXa1V3IkEzKRLarEukG208ttXf5MkQibLDF5cuICxSpbWV0WapkQPuh4iU2qDSmKfuyiwC wW5iGaSQAD0nDsc1gX0DyFDG+r8oTYK4Z6HDegNS1yAoxMSuVi7KayfpWZ7Ys1xpY4oyF4VjRMoU CxcaMRokTZ5XOUrilg6EdXpeau5aU0SoB8Jm4UuSzIvCKcb5mDYFjC3uCGFbOcU577HRj4M5cJ1N ypWrwxHccycjt4MkyZcF2dzEYW0w/pPHT7Lu7Apr6A+dGEtXexhwsY64crn2EECVffZFdd/yvluL Blcden6j7BGBjEvyWX9JZGKdcaPeSHRldx89xoD378QMLEeHdXLqKmocc0kuLv6ZjztkJuGNocOQ 0O91yAzLyDwfa8jeiDZ35+Nb6Ruw2f3ooEGY9q6pZU5LOenjnyID9FQKhC5+sWgOzXHNMi0fyHlJ yacluK7dbVqTZ/GBlN6oKeVeEkpGG+iMve+kLb2IuPgHpxCqQZttpu+Fb4Vz3XszVbM/YzPLaJTF 4eRdy14e5dIh7qxeaeJM3HCIoeEgdVrttaSE4sqis3NprLMQC/eCb+tXVJMRAkHaQE/BRHRgA3pa 83eUefSUdxISAnJ0hVZf3iHHYcUcWTEcd/b1DM98Zmn+LmcrL6FO4QZJDD7AWp6o9DsFPeVSvoxC T/NxyB+Xwkmj0SVGo0SfuYBXgNS+e6xDws8c0uJ6DoSx11zPhVGmP09IGF4kTOYciTi1mDPYQQDH Mu9CT3sF4qmmZ/+W1AP06MQTv7bzLZ7rt8tjYegwTbBxug8LPMdxItrUNlJrDU/Dl4/60TGNnYxS e4lJ/v0qW0Day88zyXPEgf8z3uPX6GTeLqZhOyk/gv+8fcNqahFZ91y43KvpUgHrxlHJrrv3sNiA B9Jn3xkGJTRyFt0hcOPGjcXKE3sppGinHKi5WpEE6AnWSTHAb2TiYcZ2Lbt3SXgh4yD/hNY1Syvm H+mjI2eD2YDJRrpej86djMnOxcZaEuVnutYdTOCNC2tgNMCWnCbBlB/M6kMUJOxSKp02KNFhjLgu klHg5Fu+r+h4LaT2FLwjPsE3cLkGFm6edfxzJo0BLpYbEnZr2CrCsH74dMrUBOjxI3QXr4rUESLs fJ42Li+x7++zlQRuDdH7/7lO5+suDjyxcxG7NccYaS/iKcf1yc66nyAmh2bgoDnb50vZzVDOcNun pjlawtCyaJmW7gxacGv2PWEfhUCEcs5Yd60UMn1N+uf6xQQuVsUo0YXrBGNRu5sDqxMO6yq1juHJ cljK5wroWefpnkkIBCqsNvcjZKZApBhSKRYL5LpDP9asaSZ/yeRjblsuaDbcp45gm92eLGQdzgFd vFYrFxjxXyI1VwXatvaR0a5kDt450nB+5+5G3oVor6ZBlxQiLQCLqKADyyS1pdG1/diQHTHva1ZP hNykdO3uzzgj3VCXFVhw9oB0U90B9LjLbd7NKo99YP0+BGZWmTbhhOvQA22p8NnlPO6r0W1Kqse+ qjXCzH12xcqe/6MWs0UgJub+q1Zc+1Mn8Yp9mxU8s6HTswfTyBR7iKFtXVQ7MTbcHswaQ/Z6RNt2 h3Yjpz/iQYyPZh8ndPu2yCu5p2ALiA+x8ICIkI2j6/VzYeddCtDDNIq4i2rE9zbvopkMU2COjCGw YYHSnhJ5ZVL5gS19OefoM91k5RcWg9m1SMV0GbJl0l3blE4GrgkVZlnnHOOeU4bpLPBDeuAzSFMt gKaa72wGzOqWYRE/WaZ3TTgffdfQAats7REoukvU1s7BXpwyxJaopWXgXylclT6qjsqwQg3oKbR2 +IswmyIMPlFAvbgzhC5t/aDb86vBwBB2U3Lz1qN+74Un90outcmKsKkwBoxodya6E2ey/BupimaM MxctcPSX6B8TFIqs9v2dCjm1cZl1DVXbRPBIsk8xeMt2r80VxaH+AKMVLvhMLOyRGKID0AdzvsKb Fh16MhPQhqIIT6EAQM9IpWreqfLOiabKXMsk+lbmVaZWe40zRleZ5wkSyoZBDMeIHO5gZj2C7pNy p4V0z+OBpzS/Cf7LPBCefdLjIpMY88IaR1pjVV9tDcnS5mdV85ZjleNuUqdEc64gKIdVLZdSmsn2 mS3uefCvI51vpyClFluqS0IpBS2Y6T+6JzyvC4CeOmCgpdWWZh+xyex86a5/jf3dUuDTmjwa35wS wjredCTELjoT5BJkQwaG/36mtDJbZH/7uXdBDjsS5BaqvXncwIyU7gM99yl/bidYsMtfX0hzzTCj T+HbATUbfq6evBLCxOLbNSRBovEz4f9cgbqLMZNp2Z0CuyO1bcDXzz7jwVqxDfVLid1KZ3zTjmNU sT26mUBd0Nkt6tvsd2eO5D8Nyip/2/ddEvh7cDIx8o9ZqUJuOzdOFV+hKuL12BTmaK5VMb1CgXkW VRmX7Q/cSS5etMJkopXLooO7PJ1PdUH6WEL/bQsTbfKGdQH+8z2AHmfNwGQAbi0wob0zhXbfm9Tc BpJjw77lrsmJg29nWInedsc9jgm68JZs/7g2W4c3D/keR7fVwzXlZDTEcRGjw8jOiE4q1+u+BinS yj3MPKzrylhodjz+zpQvTb5HQwuSYufLrIyuiE+Swie/q2u7TDMQ9SHBf5E+Yd3IVIURjTfn3BiS Xmh0IIYJZh60kjBy4ML/miP9UOGY+Sd/iS0OespSbQ/Qk6ElABVzs3NBYwbiHJ6CtococIPMOSby uc23P7Ww3DQywuRAROxJZJfe2QXHqv0ycYxgmwNCCqyfK3UVt5WXMf1nPuiBIdnMe40ke3QEWCIV CmPGd1D+ttxAhRRLqAeseqkdfsEs3HeibCr+sWYS6E8fuwVB9LlHWufzTyySNOcmGNKQblOjwuyj mmIQI+bsLEozI8QDZb+409VIk8+DjIVmZZzZ3Ikj/KL67RDDrk3Yk4UOXZ7eEFyDGYI151knj6yN A5E8yID0qhKtSyiFLxknSRnSYRsHdsKpe63XviosysbsF14jydDN/HSdbJTuTC0STpSGdlzhSe3T Mhc3p659nILikiq+Gz45uiTwFf0roGfgSi7hgqg8wzG/lQe3Q6nqy0LeqlVNyeRxJelilK4nKDhy qfr50t3gfcMZn3CSwZt0LhSyQcURZY/MUUSrpkLaOv3Gtp6OKTtCq2MXWzsiPuZlN4i7msea8IoO BIQcGM/PzIaIkHeSfBlLyQ+8vMPh+TkhkLLM3kYAt0Bpki/BANAjxe7LsOAzbaHNYKtfGZFIIjdH ruD96Sm7Ssl+zjjIJtMiDnOfhYjiL7b3nERuiynopGlGigr7O/Otjm7TuXZ1uExga637AjffSpW0 NjwUTaiEd8Oq4nzAQt5VbYh79emrRwQEAzm+RqHm8cwkfoI6tpVu+O4ncRnL/joZnGuEAg/QQ0fS r55D8pvMeItm3kFY1O4WaZESRaLXV/i8hfujuJE4loAQYVcMF64Nzq7JxtnB+aDLTUNHWgGc5evy vevTRoMNrsJUc2TTGzaWgT+fYisThYoUjnp3/LX7J9xJUbrk+dA2r8bXkIJOe55YVTAITJbiBzQI 1zcClCJ/Y8iVDukb0DOoUe8/McO5ClcQdZ1PsaP7EhpWa1wuGqkfvPDnDQqqXPkFDxo0Y1on+uaL Niv9pVgiGU3camkhChcT5d/NB1D04Fk2A0xtUsdMaG+ENfzFmC4WmKw0anDL7zt/5z1B5j8MMELK gpZtcJqWk6ai7QuTmV/w4Z8BHbPPv7ykbTwNXgA95UTg6foGdXWI+3h/vmshx1U6lxbD4sxsyEt6 jrAjXOcsEp1PDJQzewRg3dTv/I9vbp6tJODnclaT/DIxSy5yZnyQrOcZ4LzUS96ZVJKEEbCQ4YY1 suGJpR8F/fSgl6KWFvgMffWbZ1AUfMFztNhcC1qtD0pAjq1aqoHe4Amh05YAPdIF0+T4vVNDwg4f LVDs7XfbvRJsCmj5Tv40WsW3v4ZDkZ+rehsXmWWg2i+jdqppWAgwLdnaOGcPWlFdOS5yG5pZvTS2 jU1oXxODndZdgfJwKLsydF+5j6Dvt0ikGsklSZfMy0wc/VJoRkA5DDbHltubg5VzOQQbC847Tutx gy13AT0167CUxzFC2+hAsskYdx30prdodSL5T3iN9xhsPOeD4ZD4cF77ejY443S6n5DeTEsFXUWV esieK8OleySN3oPvozCBZAqYPuUhbKKm23pygaaB/KiNOVGP3LtvC8kZcRxNp4mrPLx0ntrHTETb CYJf+UGmqQZLJ9prYzk1nPrsXIAe9o3GaqRHuqhFyiRaWirbqpSuTtFtTQOQUBOB+mJqPfHQum3J fXLXyROWCLMKetsqArc7/6u9QWa8wxDwpMA4kHTcXT+TiIjIDsXMsc0kiiIPFBsRa7zNLJz+9TsR 3Zvg9snXWaKMckWixBACt6/B+PY6WwGEBzQYDMT9Zci32f/sn8GxFRafQLulxyxSB2bdGfdoeG9X TOQfI8fpaQzXu9wTqvBTNbpaG9tnxDfpgUGL+xU+l3hst02hvh+zUU+/RHPmKCxc5PTV5VuKsrE6 IqMJeRefMyoW7pifQC5zLHPRxRLcwqSDUMO29KfLmxbI/MpBQZhmp5SYh4SkzojPqGQ2QI99jKMM TMdMC2X0qqO7anC/RLFbXGZCQ0u+t/auXdpTRTmZi9DXGCfRy5TWbpB13++1x+s/FcWaNdCYz+/J VC6j7c3LCixRf1qLF5Wikzy/WVvZBlgRKBbJ+2oENEUCMoGxmliiWF1V3Bwe5t2+50zAvch4GUkb zaFAHklp46LnSoRra0yXoJQPWNBOSb0jz9b5f4EYw6/hFiC65XctXYV2fmw0obxTkaWxUVbLN1IU 14X+cPxZ8GlhEp06jfxNqrG9Ip44Ro9CGV6C1ByoVSVgEilD5HM9R4ZNVw71vZGNx56obSxwjvUZ cSZXI1HLTjAmUGeSAQ32nGFcykOiO5QOdLosylk+uiNtOJqGSqqNuw/oOU+95opwuw2HPpuvtJry QvpHoaGImj4yN8tZ9F2N6+U5W2TAsMLf19azjVWD4/PMtwsdHp0fiMfEg8p9dBhQU+fSrdr8CMyr EHJdCXmql38FI2DOOETpD+1OWDIicnyWL2LTiujRJaZX4N4nTq8ak2dQLo0kdcjXTbAolb4PvbcA 6DEy5ZvZefXVPvUIzJ8wn2OHiVHQ5/hlDAJOFsu/tweK/Hvqyancy8kkVt5a5iFnLMpjHClOidQ3 bA+7vH14/eLgodk61r+tgt6g661HEKezxEHNrD6eAQz4pUxVJ2G0rPCyfSO9hXNNpUaLflFal3Lh 1zRvzY1qLMsgZ27FnyXoDkBPJpe/T4Ng4I4CqTTx8w3PR/K4I1JD2NwP12S7/7FbUm1P7FWAhNP4 tO5WPpf2NXQmT0ZlHsrTUI5l1oKyiqwLuDjW9ArGUeDCannjdhFyiV+c/HQY3Q6RVj4BX8bsbEtl 4/5RTtY6RCOfnfpGBDhDEh6ly+tCpPwXmNGWq17JgjWgJzzoCvr0RQotvMLAaB/r4oPFezxK+8OC 21SsMMmAZ0XUMjSyxicMRbV9YWh1jDJUDJb89aw5N9SgZu1iVasd5b3cu5EXY1W5b6HVBt1Dzk04 pCyqHZS/ArQODb3ENWk3hnexoO+n2REJ85D1YgldPiykr3c2iH74TTBTwdGdj60K0AM0HybeD+rM U8HbjNVDLL6LaK33MI+s60blMwcE4Tf2Nvak6tNJN5tJ0rG49xkDbDl0sFFDVMZ5VS1BD0nCnGlK GefK9qM0NxTfZbOSjHgZUY1q52nbQDswzH/+getXiOdgbn/OmipQbiHXEBzOiP9OcmloP9YYvg81 2uqluUpDA+iRtyt3/my32+ycgf5q4mlf3ot37EuZQap9J4WGAjfJlH9+iFImhKqhakGixMTfuMjO YXGcWYGOUFMgdL1Jx6/p3yuE2kwkbUNCeLw3nxc31rdGhorAxX3cue8LuyhXCzFIU8Ix6z7FUaLW aZyHmIqdWHvlHqapP/Xiy/nK6nvFEwL0yBIpZY9byGVlfOHh5T83RsxDpkiOIEK87rrMT/N8Kh+I NyRm/RW8LqpjMzo0SuslJ3iPvkp9XCEGtWb4oKQmN1lJUnalYLYz4vhVR7HqWCZN1JnKgHfBrCq/ xXA98+5dwDCRAe/XUi/QbmN0kBqEFTWeQ2yl7tSnO1ms8iYPDP73P/uHSK5zFGijDJvJrQX6uagG bn/GL4yfpRptWmhdm5qe9xvnGTv0O5p57vjP0kRE56rti4l+Dsg7Pi+9vMM99GxwluVfGZ0WCSPV J9/qtIdmbZMhZsojWiMTc7gdQq1I9bTkFaNtHaZQtTBVOphD7SCP1G7pajlW7ammwqQQ7OakWEDP 7uPzp02G4mt57/M7OM4Y5/ffc2OgAg5L9SXe159TBBS36SqUnBGUqen8C/vOBCMhZv8ff9abLJMt qcZTFzluZz5kYBZ2we0cy6k/C8LICec/IcG8IPoGqb+rQT1jMYsUWKQwRi63aVWPBX4me2Ssyr/+ /yxGfNIy36UZjApKvMoCevLVRCKKKQd+uajueXi+DnAEvPOm49JijHAYOhbhxoeH+2mkrv4OhMDL ZH23gCdjtJY2dglqMy8TeWr6wbI+vbyfW4ghNJo1R6BY+GRFO98fKprCWDDy07FhI77liX+VYPQS Ed3s93U3d6mY7iwP5vmFe8O+Zi2pTMCjSNp33bVTTeaXf3P2pkGit+NQx9h5HHM/ZywLTjJrNnzO rYUclkK9XsemPF0qJHSer6XyiJWydnlrqVG5IwfFP5GYCoxU7ubkyLwYRY9mFY/uRAVsRr7taL6x LkT69Eb9erS6IqVpojSJS7P1W0T74rpsmwTEXohMC7tRqGjdG2RrYTY2xMkrtOPwEhrFBsTfIXlQ XgUSBNDjmaNGlUeHbINcJLqWF/AvMZ0iv3pQ72PUSLZ/7stjoEs6Rkxg1tmeJUXLaPrlnKzr2UA0 pBLT1PUyeggVyTa8sz8JQztFaPP2J+GH727X73lZztnYwzEh/2Sq0T9Ix1vR8PVHzwkGi7+zsh4e s9PF4I+qnad/MgxaTx1dtxZOTzag51Ghh8105MDU7sVzC7+fFj8lUbdSFgs2+xQFyuOyjVZeKn1p HIo2GGOYEoeP0l/fdgNq2t3YR+FJwpX+JeBzcRi1qu+7LME7PLsKSsnUtbzXs70b/MfNkMczlS6h UuA04KSyb3BLoHvpkW+fbrr726sEq8X7fcW/ARaXJfkPtlwsoEd0xw16vSFOrcJMZha+G3pd+6/j ob8M4Unad8RneFprqfzelKM7jtaqptOgN8d3ENnXDlntoU4NAzBdL/BaDC7YbjOOyQSbEHitjsi/ 4/ikcaiq+UeFqfMdBG4T3sQBV885MFOEOINZGcfXHa++axVXYCXnjyk8RvQnR4fm/r3vX8U9yrmh 8VnhmrTn9AHssnj/DCQDrPd1z7/Rv2iEjTA7m+AaNqmWFgdXiVTkZ1MuB3jLLLNX9PPlBjlsiwvL fUx8KGVkeuqUfzeiDqIvrzRovLXPnAR+YobdPM9a3MeESSrLTJ/Nuty4cpT5U9tVPdt3+Ef4FrzJ LklVtpEUxhkVAHpqzGZw1FpefT4p3Susp9M3vO0lYyQpxna32Px1tu3RvjVz7A1xU0BEFd0Hk1aB f+fLBAIvrV1CiVaE1xHv7wsU7B7N+3zX+r+bZIbC8CAsjWjbH/N+uJsjHFPPNH957WxWM8lEeuLA jUci/K2x6CZmZY37cA/9oXGD6bL9sb6ylgP0sHYznhOCEofpzh4n7DxASOsEYsXroEvjub2xhDu7 TAfN7wk8bvHo+WACp2nu+7cdMQSXy88V1qPPaUH742HfMZvjArkOFhRBIA8KF4DW+27T6sTmGvWg 5/KgBn53whLjTwsXE7LL7rGXGZA/deiV1Wg8Bdo8veMnmk7ld2ejUkQDejo6JHioLl+SwZ1t/pVu mfKIe84vtlg1OBenRxQ/l8AWjvVqtRllyhrJHtwLJR8ukLvW0JOs2C9w02P/XQOfC6/WRhiLV9I7 8FQP2n+b4CAADahrylHnnUpBCJuSfoiMKOmyMshU6+lU5LVLkUWQnAUBWfHHAH38ngh2w448o9eg BPQM8aDx7EK1TQkGxoObesUv1lpze9C2f6Vv0+0dVP2QoF6WypQKZXRxOMkrg18AGYdfN4V5MUNn wpPI44QB6VpVE8FORCGeuURH7RWOVKR0ZZ2ftKPGPXj5hRVs6+y7w4617sgwdb/77I56kMItK905 tokSERqllDB3B3qf51Y0gwB6nk0+Qu0+g5mGCh6ykTy58cGjp0yeXd/D2PZBn4O9rX/PS5qab269 NZ5kK1sWjpqDwOhrdPkHND6mMIKxHfNrl+hbg8NM4JLhyLRqGmMlQZjt+agUaQ7scEQ6L9gOOzJa uvX0EpZb6eZ7NDWpPor61VLvAUHvvXspvCfbeP8qFpIB9EyiT9Dlt7BEps2BjFqKNv7ssRD9VjG+ 8jEnDQKmRGr0943SWdwhhjvn/zF/hople+Y9KLRSjS5tEjliEVm8Ucru99X0VXGJXk8ZAadEeZn9 NTvm4tAdL7EWapu2hUdAj4iRDO+5AtWd/byoBRmhxT4FZGVpO3Ddw6dMSf1NAjOeBeghE1FfQSjV ORVXyVyiO4nmh07uRpAjUnpCWDc/EcWwGA6JlrmIyQMV7KXnSCmoAA4L6PjTInhV4vhHC7v0/PbQ W6HDCm9IeytI8IzWNEvHAqazse2w07ieHlEvWXzThSMhdHB4goYBwnnZf5RodlRkoixiOjEOqY3s QHtwyAxCexHQo/63iOPw3BZ5NZuNZCxyMjGaEp6egfImKNAJDRS9yCkKnOvyCfqM8OrwS8mgJG8E Q00JNHKHKg0KDUfiO9o+6y5g9d8Aj8VLWOZn+ts9tnt1tDETiROIpD3B51ZsOYEjFejXX0NKc13W MnZulMa+qJOOAtmUCA5yCUi4S2QKCiwWQE/Zg2fOyPnRbgJjX7M+r4findWbIAl1UWA3U/RJr4+t aWP9+4+xLrSMPlDsUgRZnYkT682djh+dADhenc5Odg8z3mz3iSg9KqtBGwgG0CqaPTEcPpH2Vu+I fnEiDVpoTBbuHkUogjM9Lb/H1mvQIf+Ceg9FsvAIU/9yHh9YB/T+G6Bn6gA0uN66n5GFc9nNNTZz aMtlBY8dpggfT9y5TR/f9jaAfOF3uxUwRrsVNwbWLYmT0RKi3/RI1Ykl3eZXhuE3sJd6HetnJpb6 Pxa50Q+Dh5fqcvRbdquYB1KmS6tenAmOxRP8nHyaYDti63WuxqrOpHJVyjQgGR/DJYM7oEot/koH DMlR8bw08ZzXqX8I+8XW84hCRvkLlu62vTLDPDmXpzb1apuLzmNkr7vMNsj+cvjdPXMSvusgsHIu /DVtwXXH4ZnTTmhtA17FeDoUO3vLx7zN+Zorfk7zPZQpZVl095JHYpK43IytFtm9Nfe71MHp4WRP 9PTwRjLk1ju3E7HLBkec0jBYoY1Suu8QJETq1MCAHr1bf7iKP73hsgF+xdE2fo0qryWh9QUvA2IG FwgKHvE2VYjRIurgNvxDnrfu+2bvn5EsK6dav+HMgEOZXgtoxYvRJ4SJ/0eWPXbnoS0BAI5ts7Ht xnYa27Zt27Zt22hsp7FtO/d+fs8/eNYa7D0zCeumvNoa+rN5JEypeyO/tCVVwoaN488KKHVDqAxV TSp89sD25ZWJoCJ5KnGkDCXJNtCRSKardY7fswQ4AT0W+ccFZ0tjPwpimsq+S6Z+s/ACx77vu7kM AuN1V48g7/tP2gcP9wH/b7hI41jtWfL7dHNKICKU/EJwd1aB59m7SZQc65ENhyF3hcDfIxHscECK rvdUvl3VncsmovhyEw6dXZu82j/JAqpBu9U4fb/d3a79d1Q2SFR3odZmT30fywE9Ybo8OBDSWRf9 smdRZ0JU2R/pQrYKrAK/wzbBdMTM7L/oQbp2jPbm29PhQh4Dd/Nvs1vhy49I5pZNCyrrQHZy5W8l Jy64bH+rDoUaw4B+dBG8UFtqfm2zu+REITJnJT5MK8i/rP8Aywx0Ai+wmPEPM+MVL3Y+4xzTT3FE lGqDeRcBeuR/mhwlYrtWEyDJNhq72h2Zd771x50boRL2lWMHqQRrZl5a3dsVUnWAjfCU1cgVeV2m 4yq25WuqGGlBUC0SuoNMoFRzwNiirIgapnDqoLplOztlCBrgZKQtI0/1TBVZvt4yqQTKbULP938R vslUaqmLoLM8berzReuAQRGlo+sSA3oKMByPQok65QZGV5T/TdIAVcu40LXbGpgZd82nA0HEkFaX WNvXxGuYnyZLZo82ZR2CPPOwvR670R3HSQmcb7L+I2pJjTCJjhCWINS6i7+2mDgMv8r5Pho1rZe5 wXHYa+4E1nHtPsFxluxOwgLJMXb2p5+HErNNY+rJcSg8Aosx6gb0eJLsOcapcRoHKjkMRXZQd6dE 1kXBDd2pwJ2/zktfxikujS9fedJzvz7cuJ6NES+xCpUPuYMplyfmkIjlbFCbttlsSEDtzye7dSvS aC1P2Y4yNkQB8fOTR/KAil2E+G1cqOe06DVMwyimMhX2QcaKbt2goP4lrXcueeqgS/vXiRH9n/rC qlesFnvO+XXh0nF8CUkDxueyqD5j23WBIVvSzFFAkhmnQAN/LmhmtepnN1RCup0Gff7dgDVePMX/ 1ggmKvMJJHXRs4W+cL/EdAQe8hipP+bhA214V+bPnUWMtG9crXCQdohtpOD1HBzG/aWm3tLmz5RL I+hnekgqGq8MjjK3DOj5fKBreqnInEKSISYX7YMg+SYdlxWFev8zLyPMroJr6rDZgcGBnbxfHU2B 7xi1Id9A/0A0UdYqbLX65iGI6aV/Svw5gZhuZWfFShj4TAj+BpVWSEA9BcUcBZUabO8elAvv6AdW ms0sI352U+iS0SQf6QJrvni5w1B6SzRFNE9bQgPoMbZmRMhZhMxJkK8cWswB2Z6ysAwzxYzhIlV/ PF+SN5xvWObwj1aBkD85lev6VFNCdNTo6+ZXvz3FfwB1lTWYfWB2pzwAASrstpTak+nzfPa621SQ viuiNdnKOiBMoRwTVxbHHRF6yLpcZmDuc25/6ffEXcgV0OCkvSPvITMY1ZoE9Hinw6Nnmb8JMO01 QEHzgWDDfyHXfiT1wyx9JF71ipXEyjIhX6WkEUn5F9fG0UCrj/3Eu02Y1sVbM+rcU3CMdArvqU8K O8O/VGlraG2zenHkojiNjitUwzUCL9JO7NZXx5H/JWbtmwsmZRCFyCquBx8AU8PrtJM9D0YBHjzO 5EMXB/Sot9jP9D4LWHMUq05iobmah+QbN60WKwbs6UVAURlkeTUo6WhF8idUVTm4wsZ8r3/bLC5e oJA2M4hGYc/hWPsKLV79esdbyj7b394Z4lVOeWEMYaYSUZ7plckMFEIVy9PE5DVJQZVKTwmhdMpV sHDLq976Hd7M7Y7z9Sy6uM7o8gDoKS+ktWdwFXR5dEY1sYFz+isJMgbtMHPyvpAZMx8clhUOUyaf QtiqYooQIqj1W9N83gPTYLBzmlEeVxRjzuUILjwXKkrVC7xJb0xBPpD6XXVQPLUX4QWP9hTedPg8 IVIBzRbS+PH0BkyX2pU3SJz2/z+okNhQZyAF7zPuAAnk5rg0wPskjM3A66+PUep360Ah8Vy02r1B ndvd8Lk56AA4CrGsAFDyXK7w6aa/0PJhEc4jBkTj1MmUQuzwQ8yC+GKqUefy7OceKQHGWF02r1xT o5CvHUqgqFBd1FEF7v7YTchES6H2If9+ZU8zAjHjPS3EI3M8oSBfb9CNrkqqWyBbc1vqh4IDeopp 6Drps0Ge67M0Dhoy/zpciFiCHaJk7Dixa5cd89F9VcxrKk4dCE5jByMkbm2iDStSFRSUz8NRrMOu kntzCuzAJtycxBlIX1C0IMoGBHY4yP1wO8kiUeAmWsh8yclfnh1eG9sTqfnxBHGahZqYUm3x92Ss NRmu2ZVhnsj6FHoOAnqgdaw1g5ucfppdXAl3WUWc6OJmypxTpKMh8ewWJIB04IRxwGmdbMVZBa16 g5/13xX+NNyfCAeD4YL+JeNMoHBT7fhukcDc4HOYkl6t591Fes83ASbr3VAhM+oKJ+kXu89WeCCh e6nKm5j07uCb6jCevHdT+gkYJym9/YBuuI4CBwL0GJpQWxzwMkgJFYBdlVSbxe6kW8L3KGJpxlR9 fAjlDS+6MqGCSgP5P06ha5IybzGwSn3/2TbmaS6xHSpILndc9diJSYYfN1Vjv9vUJvJMoTRKjPzJ 800Nc9AXmNZIoZ1tmv9Jbxdj4VEftWdxhAUekRUeG+5DbNFZR9lNGz3hD+0G9HBMgymq6aehJdxs u98hL07cGiglNZViAa+R2ww7wKg/9dcRIkgEN18s+xZY+9jfRT7Qk+A+JHEA4fFORV/PYb1vCBW9 HsB9kIqKKshBOVrRG8NNx+2pD6DJU+eqzmZjNc6cDHpNhwh3KUrlpsJLJ61tincLppGX+ETMq0fh fElwb+5uoq2ShnkMZZ8j6ec0iXl2R75xSSmc1MmUQzQFEpYDsVSk2S2fRFDeIwHpiFzhpHe1GCFQ GHoEaPw1GS9cL/8hFrUgnd7AwFeJED1OYE+sq6qNzBpfT8WxInjPb1m6dJVJIb5Zao2bICcjb+OU YAKy0rKBaJn4SXmEL4x0t9oA9HyY3xuHC0eECkLfc3ndcF36oXoarpBTccWj/N0oHkqkVF0riSll Txzll6yGK+5euDRbJWdqxVyXm4TWRLKiuVeoeCBWJbERE7S018DS+7PnqSaWdJLfpW7HoyiR/6CG W1ssScjJyGOsxuG0gHwiLjeyLG0y1M9LU+hgqcKQpwBDCeiBpkxJzK9aBuev09xt/xTUHGZZNZMo X50a2TNrNOZT/sMNTi7mYzWEOIa9R4wDXDWCLKdJN2hfx5hH9zsuXP9CDZJjscXVWeId8hirDg1D jtz4aE76YilVXF5DahIR45RBTmVcDIKYXwb98JEin6HCibzsd4crEo3BowamdiR/cQKgJ8LFY5Fc BBaVqEHqC3x1wk/uLxltS/quSKTUhmSODlYf6JKb6jWFLkH/tSQNA/l+APIPhdekE6sVLNdA33le e9RT8ZR4MXEh7+jOqCvfDu+UFYkPO8Ny3qdyiQ/Yb1S4NdrRJO1O3kcBGSHVOYI6JBUUbVxtXSFX XW+6iyj5+/BSQI8Tu2RlLQbysh1xzTKG5dGcC6eJm6EgnIlpOXjtrG+2BY0J3fjJw5TMyvaH+MhQ WofEhBpW9+pB4/YKRRJy15WnDtPUTL6Ju+94rgcUJHGrAN09QdDh1H6FVLCJdrrShPovA8s7XZ3E n3I0kMZ1PJB78Xxd5g73PEt54pEHAQExXEDPUr0NoTZ0lvZPEwHuUZOO591fcF8sQcEnoWvgiXBT m/Do+YI/xghF27a4Bo2h+9/ha9qHjiJM7B6CMzDScmEompxGLCObp1uRkEey/CKZGkNTfmPpvErB zyLZIv1ThS+0L3l4Nmx8k7XPVZSfNGlrGp7NMkO0Ywtwqy6h8LupiN2Anrl2zxmnVIjM7Qn4QPPd begJhHkFN13qkGXSjfBhKsmOX0xk2//WJphaoQzWsPsLniJ3V0ffIo2DOmIWQu0zo/vQcjF1NqHU W84Wr7pKE7/pWZByTh/zqxXUmG40+KNsWvzqXDjZHzKzSJj0G8w6vGcetmBJQjZs8IMX8v9ac01E GuyI1BHOLQUVMM9fwK2LHHuc8OaxWhceesjt+w/3DxtMnlJxMFa4bkLDTnGSN99IIBgTc5Kf0AVH sjSdUydcwf46GriPGeMWf8oQ/iXeXNs5gGjGMlG4At1Q0MhoQ5zQfomsUUGvVZ1+CTkSsybxJRFp vCMcoAW8/mSEtLogBaUsBIzsveqlTrjFNwUN5H06B+jJy7JGZ/bpyVLdHFad0Lc3tNNZSj8xF6lO OxLOwbyZ3qgwhWRPD8MVbhF+MLyqaGDPKpMrFFlYQAN1R2vRYSWAnSwDnrit25RbUlQZ1fgKjQy6 vsOvllYuqI0OdmWTsSnO5LAmB0eZH9coS5ddRuHQ6VD9Ayr0B4kVYv2+84Vr8xLQQ80sNxP1fEPu RPKnF5ETpti/Th/dfaXg6Fr5zelGpOMe/iyZzOtDyZTLHQdmfMGsnpnKRIZxLMI2QXxTmZoNcZAa 6XZMyZHUQDIjlnFPcPRY53CwJ/xxYVEM6A1iPUWpjb0d/tarkLsZvuKpu66m5DoTQVo6L+Za7F/P oNXTLr4HoEdlxxB0w4HyNykTpW5ur84Brq5TM0Zu0T0130cwso0ee8L1D7vuo87zb79sKBd7KfXb /d8ozuBKLgYMwwNSbnr+OF4MrjjNlU91Zp8Ws0UhX+LN42rklT8e5eeLmTC9jMr+Uv516a2yfStt xmBTalWQSD/tV4fDLWTuYqaeIgjVdICey0WdX+LVAzF79CMP71Djev+IhvobUjOHcDIjz2bUW40P +cqxR1w+7Rn182qkrppYZMkp3L2BmkI1yO5efEGiYpCOwfv/kIoGQ7Sq+VyQHsfMmoYQMG89zpXO CTTcxbWiBfU0YltMbSw6vMF0Q6QJKms4YDKthAidqceNIX5tbv8D9BAr/a3IIQk6X78KXyGjyusx QGfhLTuaR9VAxhvMVgMOTeCAGcX58LpWe+vDwLhS5BRm987OCtGNhr/mQckFhT3NR5dVP/2D/yfI baJz+ljlpP4k+g3xPJmhOPoShSZjVDRUYGv079cQleuHSyXJU5TIbTNS8tI39o7XWF7z3j0sKaDH xhe3zdnman7cQGxg7ixjLZ8qmo1wgaiPhkHbiyLNCzI7EKmS0dMXX3cmHyF1Gyv5ck9/2h5VlUHT +7O/mYZ4ugTMNWud9GpMrXHHYheaScAO1seX8vOd5FR3IZKUC1eGel1qMNgimLwvaBlfpFlIZy3Y lh9oB3zzXYysNTzmkQDQkzG1CNsMbroOsubFmsAJ1184zg6Sd2aKLZcA08x/SeQbECQvBsU+fzjU npQCehY1RfzRUKBrSOHiHr2gVZNMqfwbj/YSnm5/7Zdk3F/rzPY3u8BNEJeP5cy4C7gQpM2GuxTw OIXzpfEDjpWeqDQk9MMoSOmgu/d5C7FmsFvTV354QM/2r/xD6WmaoXNzrJn67JznIasJV0b8JklT WBItKK9I/TrI3SsC4IxVwdfk7quCuTgQoL7x5RHbXVpNOESobZA4mJYY9GnJVwGrh48Oql2di9r5 x6+eCaue3StkhzC335O5k4J746/pJP59dzbfB6iakx/PSZSerD9anFW2sT/EFYCetIdtafVIftho 6RvjbE8NXrrr7G1JWjeSVqDjJPkSW/zbSLKLdMqZpftBiIb536umMpVDX9ZbA8RyVxleJGcdjJKJ xpqNg/40G6YxqU91AZb7xCQUgWyO7x1yVaLlWByDB6ph3keGWT2kkV6GR6uKNuI4gWeku2zOjFZr vliRx0OAHqLrNy/BlbaspZ5Qdw58QXAOoP3JATIwv2NzWVmW02TPN8oHsPHTDZO3tBsd3ttW558Q dZijP5SjrCJUJn6qHVA8ORlpmCfOcCuse01YIDOkpYayrp7j0Ner1++1ZkCYK4qjflHnT9BTYu9P 73P3M+SP/IviZZtm1xp6ZBrqK8u0gB6XYziDsQNaYAErSzZcmWx2PFBSbHHIYUb2KJJ+eDd7XyMa SMu3uJGai7cnLnofUkXp0kRtEf7N2VqPP2STz3iynbAxisVrT3XST8q0WQ8mpJM2uzvqh3EdB25E TgHAjIt+S+RWL5oPzgoLWA1IfdjCtwJ7uRl46mXu+VlAwvw9OICedKUr74clcwi5UO2bux4piCsq yR714uQ9LnuMlU+rGZINPP9ro3OszLY8FjA/HkzIC7zqXIS5GSWP+1Btdn5MdmbspN9jjvrqClpt ZhWdXbKyM5o5kFOpf6O2Zq/mOM+d63fwizssUM07T0jTkeV+qQJdK/ROCF91m075Le2FOn0Ceua6 qcCUOmojdZiX56GNQ24MVs3PMOdWwqzjPsd8KkD/OJdGidV58c9qH6pMqT6iSDbJK7tQ27SsIp5s YiTS3+c22yZj1siwy7kQHkL0Efa6TPCUdmfAbTJYurw5TziB7XwJiQbC4/XOuLQ2KvXmQEBscD0O SXwmw/wOzPo8AFU9BvQMjNHjv3NIWuIfRp1S40dFEFN7Z9WGqNeYOvzTwrAwg4IoSTFI9UfBhPqM 8UlMWTt90fPNYbBzJQjpquqhIArqWAUVvG2a3v0TpRR9zYmGo9udZ5crXjX7G82LnYr2WMdVZh0K CK6DG0TbAanwMFtIh+iCs/A8Jcks3wPOUVsdGxRw/w3D1dnwQ4lse8bOlz59Qii2LiV02PqOovY0 B5XQ6qIvwq2rvPiJ2D+YxG7AUnbdXy+IpUSLZ6KIiqsqHByykh+aBxvZhuF2oZNIM0LpFMkbjH9G M5rEgKypUDC4+Z6v1wFcspIRzIFshGgyH8ZU8W2MOCybHPJBFlof52dLPaszDuiRHievsI99JL44 Ownv16Ud5/9N8W/Nz9KRRRvIK9rDcJaYR9hbYG9tSFzTdWqMyKo3ba3PXP3Qi+rpD18Y+p6Hbg36 SJShmCWBI+bMYWixdQ/7lps0FeG5a84h+cvRwyS7jzzlgzUpA8FKyvVVa5yTtguPgQecb6lMhGd6 axh9pQ+gx5/xqLAaFG/YLRb5WFwuVP3291l9EY/2voV3u3nkFGekFismNsnNVlFUgIKusO7n0KsA 1cBrZU6Y1NSHY4vdm77wN8zHaQVUo0QuJuh86ha7BJ5r9tEx18JNdOQoqgqtKf64e3v/4xDkzmU1 EXqWjR1iE0t2z8F5+GR7O/7meggGoGdHGot6Co46ntc2KBUxOtT9wcAt1pRGNg64JdlGyxpYiN9b gl2PbfznQaV0i6Pv9ldmVCZa4KgLBbxzJl6ilif/a7NOlkyOvMpQuEYMKKQSSiqse3w81yQnuByR YHhrGLPhAVV9UxZrrt9ntoH5MtccqjjzpWHFpeBNkf8tpaImAaDH19oj3/vmD21j3+95GT9uiAr6 otJt3H9uWsOILumC3AaVcNijxG24koZlkHLijpRHvRktR6K1szQ74ObZu7PQZZsX4ocmv/ZugnW9 yxWYOjDOS/eRZ6JkdHEqiE/VPbKrrXiks7n/Lqts+aMziCi+nmU+M4rlE5FsY7mJl3lkZA4DenKG /PVR/epZ9tBTnF/gLxn8ENtlNKGE6dDXhvU1t1s7ha3QIXLKiSDq84zJHbOwjKYKa7kaMUBbQzF/ 9EJwupPdJ2CIk6kZ4Rcw5fQX3ziISFpK6P+ycDXNsXaGsEUp2Znr2bGIczDb2mn4L+aLociWorrv gBvM6N+zJ91kzGOjhdy+2QVlwYkcB+k9yHaWGW80OX+ZdMaEZL6QQow0qoyrnxQ2J3M1pdy64WtN bbbx7lHT+PEW6vhvBohSrc4I3CD5J8WhhMeQqkUpX3GpI+eLYapQLTe53rdvHWFm0WPkcKwSLzwy QGPVMdNmu535RhyCQ7BPNgf7NGTkucjAGkgDemLophRDpCDe30fcQIzr3JPkUUjTtujgpUVywMv2 YReQIAmvqNyP3TQ0chVDjm0bbT2eZYSj/2qCp/XpWkXHWL1EIYPszuSWFQ84mLz8XTVSS8xKDhYo Gp/NopHc5tJM4ibHHK3OPvaC5+Fn6fFFwqoRF5k0GcPuSVgLeAqbmT5KAvQ0WVO/USNMgrVq9+IT DU0g1mgWLxgP23eWUuIQ9XWy0PGZloog0yTExuxPcsIrzMzG9vqtl9PG9TsTHITDkImn3jC1W77M OSdQmZL9wckZkLvBrbofHxeSgSdkaUcO2sVUQSFnXHlE3uCeBqHeRePYRVYLx7tPGybPF3Id/sbw 3QX0JJoOMd1f0k7Lno+55iGxOuOqV1ZIAvHIP+8rRQRo1aohWGsF3s3YQKXDz1jZCGB6HEQOzu31 yWZEknUpku23Ohuox+3qBmM+CtySM74dY9wcMAu9xAQzeT0twnznH2G8Mz9gW8qsPIJfd3LZiucO 4ym0PwwRzw/kXfy6AyXh3tMD9GD+nQ8t2lQRR3ahIkOQedtg1EssSNwYYSN6EEInNNdA1GDWlAs1 KTx+AasrdjJrDvLld1lt2nCHbZHxuKXjkxM20P7ntMoNDdcm+CmPOqfNjMHZMdpRgYd+BUst2yAL tkt8M2bcL6PH/tEd+nfiK2hZZ5uR1MJyn/RWalafxiI7HtBzraMSSBelFWFsu6Q8zWIYKlLWiciG 9Sf6xnurMF2QpkPRWgq4rScnNIglgHM1p/G10a95XfuN8McsykmDHTv3+ISS2aVubrOhRC7bmZ2j D4c1qia+kzxm2UgQUn9pm+Xl0SYwAP2zHJVBkaGzeE94XxyrQaZBk39i/ZrN74G/dPM/8WqFQWh0 YiPrITk12q8Js0nuumgIRBr7xake9p7ImxeMpl1lxox3NLKO3lGSic5zZceAOraXehpm93Iagoeb +7QvjqusoPr97nTB+0t/sQTb5LZu6YRKPoxOFKr+BiPL70UjOarAqE+JXvSDvgxi5dwE68Y76uEF LxVTa0iBtjUF0BM8FGPqCntJvQodZV1+Ih1O+0driZChDxL5X1KlGjMTuUWKQ3/p+HDlPshuEoV1 E0WZp9yv+ddwUo1/Y4hlSUG7DhGzo+djz/ZDSbSNVmoNL64TkSAWEbD7Zc3Epq5F5LlIV6p1kQQl RhddC1i/QSdX4Y28YE0Cbh3+pl/B1zS7gAJ69EXyQEd8pDX8EjfoStGfqs/FxkjdmaaweftEBH0q rTk/Mz3GdmOmql5+fX5N7hZk+LY+bO3U80seH4fFVge8xpZn+kxVSRy84B/agTCUl8KW3AE5aGBs IJEMwoe+o2QJHii++SAEJLDzDRlmb8nuZHS4LeHYWNsjkhAzdwpYYzYBevZXi4mbE6GZx6ppT4Pc 3P7h1Hc06dltRDtZp/mS8pcuoCNjPRkm8HjWqDqY6+VkWXBsvWjmXHeNgK/2Xx3R5PDg95eY6jQ1 vIkLaXjkzwys6taHOEYlvMcJwPL+jZap/aXNpebCmyNXBk8kzPSJaqt/VC/hvFalBteNXuYB4r5u A+gJiqYO4iLcw2keMeBJXSJpVgc+uWLcdNOc2PQM5Vh3/tApeXLlNyK9SMnoVts8e04/UoT3N0xt 8RdvusgB5lvlYlGon/lnQrYBi4Qt+xJsE10ZRKkt3t7zPMq7WvJQXLSHRlooUM0SNCIwc9PwcYy9 yvKVjgcecxSnPOxmh/mc0AjoebdbOcKgyxCYi++JbKs1KXua/Yc77eLuNaVw/oPCP6uBfRnHGosM A6SZa5TDR8yHnNHFBtV6q+uoIyqP9ydWgwda5aJLwmzAn4NFwjgipxrWCHr3cWd1+Y+31JTLrG1f YEsgTNyqKyrOQzsvhX23FphZVDBpKIHrCnGXfY5tw3ch4D5s/6U8dJpUJ3flDa+QS9W4F27CR7H/ RO3RqX4txfswPezxmDp8LZVQFulMkBieiLCYiy5lhn8mRr1qqtuA6umXEnNJ1eMANiFeeEem9MXF KeozBlJ2VhGYXj2wCZvwDL/JH6cekQ4I1ka++u1DmOX0XqcEoFJsqgcyPHFm93j2KndAz217KkT6 VZfpW3w5oaxX90+AC92/YoEzySwcD7nvhheGtIKP09JGpXvF350EM0qOib4pUrsTpeergyPIX52H VdLJMFllPJxa5hhPcXKBNJ+2HwLUHEpuIc4OwBif/xoUi4TIkoorGLXsN0wL4k7lX8hUBdSSbcWB 5NASIGKiJFb3AT2TU5ll+ukSzjoXd4XsAddeZJRFA8CO/XDE8s+Gua47ZYN3jBaBdb8DZqTRy3Om tKsrrZsPa+VR9duxnuDkV2ZRs9weUJI0uxQt6x96DXSJw8tF1CmFtRiLwJSMsxDCoFbYvhKs2nct f9iv+2BkL7A4TX9pOvx1OQ2kVBdbaaTwFAL0nC+Aj0ni+6yLyFq7QME1OK411NKwNl65EWWpGZQs lgeKRRXVuY6xsx9TB6JvNKhZcZeLkBvD/3PUod1j31OTb5Atj6Th499TNQaPqD3Tz/qa3ouvgNtO 2hvnrkXh9SpJa1MZld/hb1cFTz+p6oTQOW8WDIFeMWxbl1FnKYvXtY8A9HCQJIFrzilueSWHeorZ bQ8GEQbBqsJ/bkmUVxolGThtZLVpQqpGpgtU33CqH3QFmen7VkA1FdJjHwTEc834AJnWysaBXXo2 wEA/7kMm6YD4EFl+lUzu67lvpqW5exEmf+PXsUmKiDI3yfVDokolZ+mxtk6GLs8YSPgPG8EG+tym AnoYJDPgIbxggyB2Rnx7X69Zf9Kj4xeVWtLbJRZo5fbBYjcK9Euv9+KVqyJh2fKVVPg0ddpPRc1t q0aBWbYYpbAtS7HaVBd2GH824a0kMnx9H5SLQYGGaXfzI+yzQpP8oRMsQvNqSEvQgHHb5+dq/gq9 uA1gmfRrc1kbNoe3GnS3NgF6dmotSorvbOQz3vEbQ7db1nI2GJUukENpFNckGoU244TG4ju6mqc6 KV6IM+iTzDED48vniAhzOyHj4dkpsDn8xuRe3o9BIaxwUmW0hUrIm/0ltqHaZgT0HwdmtQvPc6QP ZUIi+uMFcH6Z0kSSS7ys8srSlvs1swwzsxbWsP++/JsJ6OGKfEL3lyGwqENlHH2TNrfDjVRsM5Bj ImPONqi8m+iL8GQ60SwgG4unIywLRilrsLRTndDv6/+DQditRlqD0h8qo1cCMwVHawrFn4l7dP8t o5i4ubf/AqLoMUr6t7ud6NRJyybZefG30zcWN+0FhrprTGn/O0SGPHLZtNQuxC0ZC6AndSuoZ8Wo xPYL1CFc8PYmknpXr/bVlIFOn1NvdmALnUQZlHVlTkHjobiTLUSuKPX55GQbzT8I6pcZMYib34kZ lepMKytTu9AqmXpkfk7ZR5xZHxsLxBomxRZiVN0oaApXjbOrfqhQ2JvI2r3+uAGwxNZkrSxYVa5O 40qbyu1ueQGgpyLVPhb0Jk9sJthF4FBMYYIrWYEA0aC7m++Vr3XdSrEtLCw0L/qBxolXQIMM3SQs P4BIn/OEgsCHvFFwIX3sGWiFuzxoJIOnPZOMC2K0rbyAdNcmwWsUm+bakKo//Z5gVGYRFO/g3xiR wj1ReJqGHZKj5MFqHAION6hRNEuNIdwNoAdz2wAHO9medmgc/+QPmlwt8pY5oyAu35xH8DkPq5Fk T8AXcJBJHxjO3Pf4h9DQKELynpXQutZVBKPSc1CgPSFaMZIV1AAatN/tqqEl+y4X78Ba2zW0LdSc QsSY7Wrwl9bY4FxWaaResu1xR9S1+TSGCelOC3mC8Vl2Gh1yUPLIB6CHHh8h/SMcLmABxoQdWzrh qeRNcGCJAYp6XkSR2JjWavtkoS9xfyKNKRlWUauN3jaBnHhdgD2vQUUH/GmWlMWUe6rTNGGAL5aH TZ0ZZMcZbyvPDPXvecus2oFRQINidB3p+fwaCE651CkG4dDXagmFM7J0f1EXZMooU6NK3bCmfjOg J8dq1TeSvvhmVE4zjIuXHyLaL/uxNQXNh+AkTkaBRIgUJ8UMqYnoyy0oQ01Zqm8bhGczUMomr1rR 6qbCPY/F1yqoG7Oh1iT3fZzAVJcYE6+MuZeoBm6Xp+hOvIsbi11uLy7ZJwL8vgo8vIh4/NSJb8uR qKqYzs8Y9aahxxgGGB0V0CM8RfztlVv5eTljgBPt1f0tYdjB0TkM5zUEJPWAy0dprXyuxXWwOdOa OV2kGGymxQB/RByNTgNONy53Mm07lvWCkAJifyoeg/BgAzo18hAC3KSqFWYSB+05Zwn7/JfTh3eq DQK+TU9xB/HbLQqrnWo8bDEDB9rBBcgYJIRuRvzgHNDDnVsFg2HXtP1mMcrwLf80HnIwqoKQk8N/ 5c+OP3FYVo/n/M8s7nt20hx7qxh5WLoTzS5uBqQT+F663vt2vj2sqhJ/LVXqXfBvSoXrfQ5nfneU UDrDj4/TWkUd+bPrxm+vfJTx9vU6054oT/HuRz4xAvQzSi4sZtXqIgHGTktucgJAj7ij8s5SueKc tMm6lUMGEai736DxrNLrZL+t9iuuWOQ9m/KyBm2XFJXA7DN6y0JMYvuZ9DrzzZZD2T8zfPrmZeWQ kTs6M00gM8zphy+7el5XP4t+mJ5KfAWKd7Rb/Bl1FWZPE1UDO/tyLfo7OqEe7N5wNyhpNsVfz8OV B+H1CCx4gJ70LIeRcYeUNlB2F5g208RL2XgwP8cmGQhy74UkxDadb6UO5Vuncgwn99pd/oVN1iSg /RW+XI2ABg/CdnvqxgJ2oAc12gG2iO8572aob5PtdliI1JTdvZlpq4o8SBB07MhRGVIRhfc+B7+W by15Wd5DMZzkGerEmaIGRogRyehf67Zb1FqFSOgpE1axL6bKXRt+KoY2VBcTk7S/EnG7GCVsbjT7 bZReK71Wfe1EzOtORQS/poe6yA10kxPmBQnxXKOGsF9W1IhDk1IXClB9PX+jJ7z3NygKWE1usTLR gVGbw52yQLMO5uV4ABf5mZNP61MnCt5QG3sHb5Le30rc5j7+A/Rwni5A1pIpH3IlZjlii5XoiHJ3 za9Yfs0/Y3aYDLz63ebu3oF7n3dW/IvfBOHZInpblIn5S3hOO8BBPklpJlZlaScEuRDkS6Pz5nHK JwiLJOS5R6wH0baW6L2AtcItThfAmLvSuc+i8Q96OQuVZ4+lerE3Vp6M2CXDfq0+LhU4OQjQwyrO 1M2M2PDD5xRHetbaJsuGy/R9GUlRtUECJ+RLUK4awIbM50LCOSM4T0zhsSat6U2zhBHEFtOtqSpO sDg/Y7cdt0gXQKgM57GafnWV4H9Xe0PrxCasPD9D/yoimP00hd74ajXithllrDlpagI2bkp00sR3 B2RNLbh43KMCQ+sL6Dk9IIgxzmMJbiEiAjmQxkci6agrJRElwFffH2UJ7SDZ3rg9QIxOSchM3Fxf p3vBozFCsUjE4F4x2VQjnb1yoNPKDdRv6ReaGjKumO7dXZWD3N7ag2agoTGzkNdNmxHO/RTbq1Dz iibmMqcxl9QX4PYc8aYrhf3MZbrQGAEn0oY4AfRYv2BBlRDIMKjI0sLOUlMF9Vc4hfvIpPym5t5I 6Yks9s8Q/z4ehN7uGWpAaOVTYzuLTjKJ6fzIjFpD/0WYPbedLYO2zaOoPZoWozUFCtyoZp3Wj4yk RvVVgLXSe3vrPStnK1KFiai1jTPeQjFe2zEudk9KiXZY8n10E+T5eo4k9J98Xkl9fC31HLVkD5Tl VYSMAzMYq7EcpsDzwDUyCSYQbD2kcBJwdCFCp7wdaY8l276ICBnbnpiKCjKBURvXTaGayOyDCSlO OTCqHeguFG8o7lDEZus++mMqVzmjZstSrzU2h05LUhY6qm6l3tYn8CGIqVGTAMFf5MB5oXOm18jq RjsK6NHAfMF0sKbYDNXOy0YayKtl1Z2WvV+grMNIlH5azFMQHGSwiNbJQ4qUeQ4yC5DMHeSrWrJf 1mrReiubHjNr/E7y+WhfQcrEvHXhx57AQ8TxjXm0kdiFuPi2es12eJJyN+U58vLQRtYTUPfySGo8 IyDN0tZhDoknyxW/qUbUlFDwBvTorVhdy5RAXPGXxtMw/O6a2E49yLgWFtYwoEU8GRLjEZvCy/2r MXkm3NQZ86IuUMbtSGXEf/9B7CZx3+OnvyW76MLhN5KlRSRrOe9gfFr+Vccl+yXcy/KOJ2d22RIb TqKdBgxf5BC/lg/GHzK+sgXkOhuYNYQbg9ddgODMUE+ymsCu5ZZ2zBy6lbwRxBi0WnbEzI0N9Awy E/VDDt9e6CQq4EzqUZRdOmrpIXaWxLUJS55TO6HldGpqA8GILj2A7jNWfunpEtATB3GPSw8Hfy5m W6Gsfde6EnvfM6JDILCfmPM0zYzLT7qhZ29VvbvKb+YwxdZOtU5ZwBto4HpykxWh8IQ5MhFmXmXh poGAkaYDpAU4Hw9vkaFI9pCFHcna0jWc2uYZ5fPt3Un+GZdYYXIEp3MVJx35qScB4kS02MbYXOk1 D0cmleuuS8a6mggSxQqO2r5bQc+Gw7c9tEGlrafNjDLEZA5txZOE2WntYlwm/PU9EwhdIFv52zgl c4OaTWGFzQ8kFn+XlF7Hb9why0N5zB6bBtCjzFTLs42D5l+ELt/8B8lASWOA5h+OoUJNZF/24qKv Ie8dck6rEQ7Hpr6eeQl96MsrNQ2zo7m6w9IvtFeKq/66LIKEXjJ6MoKXzcZxzy2KyJ49xSfPBUTR 1VqgUNd6HKe7xXO9XLW7xz0b1PYFtrpGMPXSr0SHBNTj3VEFN3fckD+AHrFJNR8uIL+StmojJc1x 2qOqAxffMcSHhXYvFTr3jcQ/gyJJRRbiOVWxJJoQ4O6pa649L+RSo5KFiYZPMjy963nMNOftUs/g xFqElS4aHzlJP96hOBwdwSQtbhCtL9vP11/9d9pLb8Mv2DpMPWF09qsMoh2LI83OJJ9sA05xrlXI gB7FP2WI+JRuMaUUjdgjFZaDC19jRDj20oU/uyBFoE25UMaC1adqdwFNJR9mEbTZpX0QkLWd2vzj kRaEbabxOIdkVz9THNG4G24QeGCB4Y/TC6uhRBEKN6ITpJsoBVU8e/S6soylpuaEw8W9hm6zUfmn W0Wd94zYQOAWrK+zxuAfpYAeCIsy83Cev+LGMTD6IedQhvpCp1lQhVPnBizxGVnSturAgkOwWwqZ SjflBs/PPUy6L9ZW657FObUvjZPVMQwBeDCDWN1DzJtXd2oFFq0eK5Xhg4FDQk8bx2y9PKCxx49Z 5w8rWGP0jzFDBbF45dt+w2h2dsI/XQnCOCEqOI22PvCAnsFRZJt1OQKpuEuMSUFD253OCexDD91n B5oQOr61m0GHcpc84PSt5HpqEuQgubxDsnjeoLtre8raIwTdpnbf5vsIpNzAesa7Nu5XVKQ+3yKx NdOqYeCVSiCz4ZulZL9/2kdW/ZOgmfXdnM4BBEwC6ibFmb3P0U0LJ5mDURHTXBX0gJ5aYBFaXmDr KCGXZuJdHz2gEHTHfTwskACcHSf9IvvV1qfO5TWU6U96O/AzVtRHIUhdo6E+doPfLAzbfKmkv1e6 TAYo9eBMMYk5Z/2lLWavQDsoqI7Ts22qX/4astA1HWX9TPw1jhg6T3Pgc2KTiQXVlFKgIOeTWlaj phkLVsHB5QX0gLpDwumy/TNlaNJy3ZzH35MD9lPgiOfjNpP3ahs/Bpri/JZklOkFxv4hp/FwQS3A 8RwE5aD4kLS8i34TywZyJtZ2bztAIis9HiTFlaMxYETjDSOc8afRo1IGNS73jUSmPebdbun3ZzrF Drw5rLg+MlAKRHmbLaKQEaJeAnWuql8D9NQodkV3OQFHeLY+NfG1lFtCJxX5Siyo/Pa2ZXhp5Eal qcitbDkHbiZjaiVVkea6S0J5aLF5kXJ9vfpd1izX6XHMQlmPEKaGg3BFR3pXWS/f0tYV/Wrpv+SU HV0Qx7G16mk/JbcXIfIh5UhCdCCR0qeYH2ayD1IUz11OeEGzrDtrBuixJ3rKfrua0XmyXtb4E/md MKwkvIE+izeCZi+cnhUHBQ8pKrimUFuBguAyl0nmW2IqQ9zMLFP7DEdIhOjRAab43V0V+q43uvvc GpuneSBLWec9kf1AeDIb62F1rB9VJxNTsXZFqskpWvRdi1ebdJ/CzWcCZZB+2KYfeMRKjnPpmg7o Ib+Bfxa1oOsQSYIWMffci97P9g6sV/boulRyRMB3KIudUiyPs3LqFSmkHtrWn9tqhDNvUtMygpk/ +yX2+xvY2gi6v9oTiza5y5VgrwOz9UbuZXNbrnsWVxl//f7H9vZ2dWCqzFsGWglRzOZVwfF99ubN FPm5529T517XFNGbvs4ToKcXb69yvB1bGWhhzKbT76v3nKN0zYby95TLHSgHPc9ttpxmLzYL6MIA ivvYHMXcjQ5pCOEvilhjkph1fWbbgcm2sc3UP8Wtajpt4SzCwMzuPnrfdt9YS6L2cXk1HRTzO8ug N4yBfBDo+qCGkdpW5J032D/UTAxM8nDuahdIIGZo/3kvgrdWZZrshanB1lsuyiXE1LWFWbZmKZzG aXR0s2MT/gmtTW+zFmguGIUjtC56+4Lk+lnh7DHEs67M6tslcxoxtdtMXwXEL2MYL6ns9FA1q0Ff qGY2voaKXjI4NfYILldnSKPhoFoFjvZKirxVN4Ea0vsWfAD1oVeqfuAriY36xDIAegJWB9cKB3ec P2YUwG9rfcpMg/fYqklozbkENNGm0+DIUYE8eJf6/2TOcMvfjvypWSSRwKDxIXxYcpNk6GyBb+sN dc1V/meRXg13VfYkPGZyc0te1GKHds4aYG3iu8bnM4vdSqj/h2P/ZnCJLyf0ipXup3ML4+z5ulG2 NoIzdgYTDdCDrERVCHOJNsyZK4zw9LYC43QVfCdxWIUFk6wsMU784C9TavamSErspiBasppQhiBp TLBImLl12p8UIZMEjKL3+xo3I1x5z769H4gr6++e5YBZVKs7T3RFC6hGfkc0TDfZdT2yiOqXldJ2 mdkmeFrDQ/FwFnUoSSDDtv/7MRz55H/uOWii5rtGTAwMjBSaxIgRSEId9dHoU9B7OEmXgtZ0kCAU wqHVIsxndUNrqVv3QVV6XGpK8LXD4KPD/a2UiJk7SPRBL2jcaPosaL6DpH50v0a4S8W/QhpOIJjk A46J2DFCarxIosay5O13dtZ41G8w67Zo5l48U82Pft16wh3l56cpAXoiok3sQ9Q37bGSbwNQus4J ZytTTKOEMbzRe6AjFGyKWOqIjPeu2L0mDtPJbjVCwXgyj602IwjgqrlV5AVnLxTTp5JvDOOfE080 owXHR9PNfMp/18Exmlqw5DUHdSshvuVLcKcVqestXz780uBsp3wx9i1aJ7hUOyyjn0wlvqz6a/Wf /DGBtajrUeate1Ktc/ze88nRcURfZr3YkDtHaMZCJ3idifXZK+G+w38ki7HqqodCEUzr3rUQNgf+ QQwJai38fOfmwcbYedlF2MBfswx8VyAUo0e04stU9r/1cG/8rD26sOpCDgYxuFZKncIpwWxqSS8n buPxHsz6ree1W3yYn/sO6PnaZAhISMiUOS23KXIt0+IboAp6TeR/8+huETFv6/Ohj49wwJ/nHBPk NKW0HtKn/saYVYmCLoL7reuLlrb+6WsFopCXZX2R4YRnUbvQlsHdxLG74zEcFyzUy29mbf34NzDm FXtpCpzAmraFA5EK77sJ8d2kC3WZlp3+C7jx0QzFReOKeElv6+4fQ8Gg4R+sp++CHd1rUIxf1BcY gWydfH6IEcEpARkMsJHux2RK3NPorb7gEbdeiOKjD5trYQZQn0+RXU3EV2rGtKB95uxUzFb9hWIL SwycyfvWRWP0KIT46WcuGBZmvpZ+VwrxQW8fCuYINSjqTkh/oqu2KMPRSdFYAD3xXIyXbMMSomvF Eig1/2TEeqkx1+lrzsTTmKitEX3bSiB1TdrlXlbayq96I5sIMBjp/GSdwjR1Ls3dm/lnJdzV3nmt 08TisshUo5d++VB7U0O5+zAs+RWdLWVGRnNMz+z84ItkYazoBB0THEhjz6ElHErj4+G/g1PiI0RT p3qQuAB6Kv8SmXC0a4TBu8wWRcz4hwnJx/cbj/nJEom/uvI0ZppzV/MrJ15eFehgcyuceJWrrr6Q St52Nz5TTv4KqzchuxttmO3nwNk++hJbgPdV4mYTn/CSbDYpn5NdT9UD3jynfVlg7WDqrjnavewz iJWuaHjTvYsgmSOAkEHLdeMB7t8E9BRnp+eSqYVHQByH/CHAi4XFlTBblhmFQ0nLykUkLMqQVOF4 N/2Hth+3/MuY7X1k530H4v+zgZRKyJ5QBuy7GqpeU5L953bYjnGrzgNhJWrNlRxv/GHv70hGtX3C 0evs2MzorywEtwwCW82eFQ6Ow4/WxZke3Dmm0eymOs/DUc0+CUAPmyZYgzkCdnvW8ZbuLcRblUwl LG5Ok/NVdQObF68CjNS2QUZ7YI87PnVrTFC3rJYVv8qi8LbXXPOhfkwf0Jl/OwwjRhBbE3z7uR+b TYzmRzcEExMM8NngYiY1iIzH5clPDj0KdOpt3aGcczQe+nhVcrbSiI8LgYHNYlfDQXAgSASg50K5 VS0QigccWD/uBRdCcCMQZbqS6VlFdAmpJKw47DPejIs1TjYpsjUbkjXJOwUdPLgxELgFPAfHIfEN S3wzYjO1Hr8aFk+RTp4iNxfoF7FcnaR5oMZgPbAZ/jJiQjuCKil481wuvfNbnsO6LfsBC4urEiRG jeNUiE+1RbLcHLosoMd6+rzGry/mUuAWGBjVLjJQHO7L80tPZnop9gS09TnTd9ldkPqdv2t5dijA SSOwnjB56/7yewI1TRrswgATgsTJwr5xDnmjD/zd+NBFM8r691t+mN01uUikTGfavxvuMb/ySFLK 0ZciyZk5fZRBZgZW1ORGt80xGRd1kl2CrSlsO0DPDytR0iJM2ESPqFVSP2RZsLcu1SvGKDC6BrNZ RUV9w7+z6ej03makvqs7Rr55HivuvFW8f+ubvxbcc4JYi037bumVaPkpkBwgsJPMYLIZzwPeJaHe wNapa3UGs9ZaCa+FVVs2ucn5FHdmeMOzmsNQqXiqZH5rlX+Sq7rcWPfy50MDemKwI0yJE3lbpUQc MtboWTYw8QeO+k3sFR80ywJ5zeYb9d90di97bpZJbjv6WKiq/pn/voqD73eUj1ppVUi7Gb1QwGGF rQtWQudM4QnFj8vjjEidssKZ/mfnSV5KyTBIO1B3PzpK+eZeP7ZBNYd9zS45kGPFN/nzzEQHJ3jb oGUCAejJZHLNFfWCOA7C2w1tIwUWEzpcqLsot9pgv3VjvtCLCzAz2c7kWDXKGWVwfvcjO+56Scen XYtpsecOBN3oPJ9VXlYSRFC8cDz45yuls/zZyF3Fuvh6lXeys4uASP5GOzcSeSd+9yIWOyFfw3Ea h+NQS9YmzQF3Z2XBtslkw4kRwQzoob2AaSnTp4MTE+3gIGJ8tTxwzzLJKMj/5ZAZ9Cwps+tL8lbZ y+tKWyepvJduLsk291mXzB2TPwPbMtfBFgotU+fJhiY7te09iZtl5NZVf2CVF9+tn+WpEqvoCyPH s42+GiErsy4UmCF+o/Yn1Ui6LOCQYtSyMnRghwhM5jTimPo/8ZIFM9CnBlKQuSrJrBV6iuZVoz42 nIoNUu83jhPyVnSduJV/w4R1CZ9SpBvcyCz+Fx5SsZTQX6aemx6ZlEusn5w8WKQYsVIxZUUhF4JV E4OFaZzHJyhWmsVPYkJ6m7tGiX/F5wQeiJohLu1S/LPpyMWZ/s/3ISP++osKCGk67jPiCNBDxBQs LOUW9fZ2GbGaaJCEZ+YmVQ3HoG3vlyMysBZAUj/1qlr6HK55ZTlGb1GjGhrUgJUCtMTQ0z+tQNh9 x2foktbcjCLv2+gOhUYTDv8CMslDMsZtwvZoQbSQE2AJug1NmGJb0+lkEYH5KE8Z/EfWrFjXv2mM 9tGVFBGWkFIESgbQc+hgHZT2WztAH1RjAsyMWRXrValkadwF32myVdU5XnDpQoc9JFzC3375ntgp zwYH5KttJ6R98iSP/a3jXMFzPgt3O/7c4rBK/YmpY+Ck+mMtiHBsjbCOLP+fCz213EIQ8N+m6o6z zZ0jUN8uefi8fQvVFlDZVNDGjhtcmJa+OeRJQE9TYWm0agb9uVtf0f0qFqVfRZ8RmGhwNjhVPmj5 qTtnVZyIwmfHu+ERKeTy1uQPdgJrWF5bB6pgKWFpaRyr46zoc7HsD2qnPPnblACLkl/KIWEJ1zKB zv2ub+0UNznz2KSKlgSuKxjjTeWsHLnz4M0Nou/hMXTk1P26knPro1utFaAn+fg6z+Z98j4DfNgU aa8XPRsiKSFeGQOYFIY5ZPjKQW4iL/N7ej8+MnpoaOVzWqK/UV0ztZd7RxJMyobOE/ST5y9mu8HU anAVhrWLdfuJHgtoVzNa4WBndqGtvt3lIBp5EFx10xoKS+kSgiLVUsJmHCgNppL0M9dpmYbqvkWl 8D9AT+0nbv6B6qz+mZQdhpnX8qZxbrLUbm3fyBbbbEhfFv3JGEqoAn92QEJ2s0otiYIhycGYKBen Uc3q07qoYXYblVK2KlnNCeUDEnbeLRHF6sCd8r1cIH5AoYkHtFkIJZDcLKUnvuTqIxWyGhWlSrUk 64RknMOfo9xpYhOrb+e9ncsSQE9SifASSBHdR7+5IcPRh9Pw45id5a+dAGMRZIQauMSPWHwmf1g4 Avs/L+7/NPLh7Sb0FZP9n00Wo/HOSHnVar76d4ewDvHNEoZgdUhqn5RtaZDlwZMRskjrWSfgzshl pyGz8DZhfc6QRH+tPQTJpacbHrjTnQ1t51crEGMyWqvxrgJ6MCIywufTv/lC4qQICO9W64U9RHzp itWoz3vBgx3ElSkCr2bnfAnVuggKIzUwdJafWgO9fT4pxNUvMzqk97EKKCUng+3schvuUCdM1iCE 2eheWFH/1gx2eIcstnwL8CfpWTdqlnt91EWYmea9t68G+BbI8jHBMaik/1bbpIFkpI8C9Jyv91Ja 8ksnZaGn3cSGDnrcf4VBHKdzqi6fwNh15IBIcYxjmzlYhkoXTCFVTkJvCAfdF61EaCRb3ohzAeky 2WvpOgyb20g+uhrBOqUfiTaFQY09Z0r25/xITOfhJC2qRVQ4TBBwdGZjBAYUyBwJoDp0Jl80Fmmj XKLmlCAsS4U5A3oqwlqt3OzXc1p77omsIjHUul7ttQcJhGR8Y8qGCco2lRf+1XnmWP5q4SAawNPY fwkRj8jJl7z9Gm4QrmvBWO/YJBPAJ4jfF6t84wytCMcB88tucyp0rrbkGXQLn/RWzHLinaJbqwqh LkuCndj11sDFFhtUNA0OcmVwPLkQaBSQuwH0nJ5fds6kJnokTlinrVxjMeIUZffNwJrQZRVOB7nh dHhy9Trzq2Dcmpb0HGpeUBdqehGurTRJVPLgPD/qTa3KV5Ar34XfNhqRNjicusV8jGlV8/0qfgot jOW6dpimOiYlV0u7PrXBUARGOEr/+O5ky7VRY+Y0lNOS18AJ1cW3y6UF9CBa9Cu+f7OMmWqEm6a9 q8l0ZNr3vR3OsGD3EzhagM/b+bHM5NRULqAKLq3tfkKqn0bA9j8a1tQMHhLu3EDddxGj3a0JikMy d/LViZo60OY7tWKh7bBT07ojB74yTA1KsYMOLqf6fmDyag0aREGGImEHx6wIbt3EmgzNcrrkX1fa AHrAKpLX4Ky6UcKsu0Y/mTDxXmjXDJKV2iJYs/WYEmM/M1G8SUBqEQn5fKDLdPUsmjnMCpKRrU6m um4zp1RCGrpo8sih9SRL8MWDD3b4RGdnZxZ62yQVjE3UdpfIOQLf0Oh+++AdM0VOYvq0nsjdq3sY GSMYq8v5S6fjKShGucb/Pgb0ZKzHdnZVv3by8iUT1gZGS7Xnz9M3fwDLsNDv0tvl9MZC+jMRrdhd VhMlTplgBbqQyAipy9o5DHQNtNOVTUsXY4Ubui8Ue3b1eRvlChRenlqwk63NPhaOFgVPN1npYska UVFrff8zXyRA5H3alNhMTWt8PlErhe7CILbJAplcVxn9T3+OjJnVzntdQmJ4GggpteabsK8y7yR1 7J5UcKZXg83cNZFL4CmeA01UeCGi/jQ9l9kpEPR1sipD/R9Z9tQcDMOzC7S2bdu27T61bdu2bdu+ a9u2bdv69j7t+w/WXMlMJgngRJ4ZaRNUPguvtCdj20kq3DKmXVBF1Wgxp0P5Vcs6QKq4ckDj3DB4 /HqL5z3SIXamsknjxUGyylCC08q1uCVKxZovx0BqhMJfT1VUMNb1S5WuOlBe42Q44MLKx7UB5ktP wQAu66OA8tjN3cNtB7zRLosOdJcsBdS/9RKcCWN7B2xHcHA37IvUg1PWyHViEc6gyd2XpuRkkAJb aci8MkMi6nF1vfhY3DK9Bo00WKmDg3/0XdLxzo6yXob8HMr89Dcm9yZXYatImGJFfz0WBTYASbRU vh9ZZQ/ndktg55B+3Hk1ki3CxCRHWkxbAjRvYXbp984VPl9c0Ml18VCubphHgDyWnUkh4AsEycmc O6FcebSkTzFR81QIoIpzfq7GX6RrA8/pCYUXLCorYTIzUEaBsSrsgOwTIHjrs1Di/z2vJG7nrzey fI45DIkc1P79v7W4rqveCbpoOGiNMP+wrDm3obIAFKq9TASqZpuT1skYluEHaphUo7ind7Rzv9bx ImBPHycFFzOp2Vhnkbq/+bCJ0xrAVShC7QmlIKp3+GCqU2mY5UljKS80xFuVNxZSDyLttKHCYNVs UO+0U1S+X6U78lffc8IEqToliA4mE9FRQB36bPKodWrNp9jxSYYqPL+xC+z5+hngdrs7ocqScCFN 75dr/6DBF+DIF3eFzA1kIkISBQM97paK2ZxnoB66EoCzgQ8JzMx3jc2j63a9S8rvaSClG6ZwQw8D 3hgiIJv1H/DIWgkzxsU5CtF+DWOIyf6q58fH4tGBKckizKRfiGL89UT4bJmaSCxfyx8CIa/PsMZf U4tumV7U4iJoHbgcD0V5pj9HYY/4uKepL1XJj2JYTPBgvKvPTwzB/9r1NDBDNA5fv7VWaQOkFBBY FCmhhTIMwqPO9PQHux5sb0Gu+g7MWMSpPGuuvnwXmunUJFO5+6tmGICOO29kav8rIi94HXiS/+sR uURn+vZeG2oDKrJ6zHJpyS9EALW06vglOEaKBSJaKl3vnk6Etyh0LnitUX5YODWKgln1PfZu+9JK 6KLQ7EUehCXARzqdTF/jZ7SiFJ/GPNOwqbzoizs32KfdO1e0XJ6h8k1kefiiFvFshJbwGYqJhvLS DNylj691k2Gs66Ax/frrEawCzAhMxniwCgiC9XNWZRRbFDRDQkEU215mhI6xSnbo8hrWQgPvsWXA 17bJn05ZRvf5tt5+hUok+gev7F7joeA/zsxle41OnjzkAmlo//GZ3DMmvs4zfEUPzOCRixA6A7eU v2pcaz1ob/TqYI6uWtmFocsDnXor0Lu9/1ibjyjwP/1zPYaTD4vA3HT9OQI/DnExifyaAYqlvHpk AhTI9AtETr+wjXDv97s7H+Wk/e6D8NAgeOWzrkRiRtsa6o1rFcrrGszKpkapGezO3UJZWm0s5dvp lzCqn9bFmoCo6zA14BQNiUyHV6G1ngBvZJGW8aFaau6chTLwRaRZFl1FtRla+tdD7fuJ/R9cUzQB Ykrv1coLdXeXv17jWrnCC96ttQXgOdaXSk2uNbosGt89CHgFv/vWcJjR5ESvT6rADGTucRu65+08 Jp+/pmuwB3H2TcAYEMNNFGWAY7bsoGoWOcKgfGPtG0UZgzDEM2zDBmloWICcrRWdylH92Kljg1XE xAWzxtVfTwekiFMvx0wsfOBRLLWhOHXBbN5mSBi/byxS2E522heP3KcFgeQoc1TIdRO46AlfZ33s U1aWrgvDsj6qBnkXUQ4ECuDB/Vjif90nxreJCNPH4tsRZnB9MeXhGqOf1UDhho94iKdJRswOJaz3 37rX2L2+l9FCvdvcccmcmlvsnHSFfz08Uoeq/sPyjvmRgaqCU6IQ8iErYpjSl7on5S3sOCEUKj1O 3Gqo8ZXgNkCLA2f5Cf+EFWECS5Tnq3DVWAN8q9OUv9iFbFZC0A/WXwa1rykzmNJfQYpJKDPdkYUM 5AEwPPJdfK1g1elMYgSYpOfa6/y9r8TcJ3WOcplfvwU/IRbQ+/96JjL3FpnLjM39Ns3izs8084AR Jy89Z2EQS7yooNC1cdRzX8dbpjTzX+DYmBN2MafSB8xG2JJXj1EIP24jJ8jU9zPjqG8yMXgaR87r 8ZbesmjjBSYGonf0nvTBaLkDqxq15ee+Q+i9Wka0mFuDBoVouJNem0rBPS70Vru3haTsQ8z+es4W PJXjJeZRAs7SFcpxegN3kjcMbTY7xhOo6SFPyTaRQ1RQr/MJJ3rP4U3VJi57WCWplwp4qNgiPfuZ MPJibbeTj/PyFxS+5AYSZpw4Rucc4vG6xEqSArJgNFB8acZUQMzOodn7QnR89Rfvp5QskurDVLAr jICBInc1PM/yBBgm/3pSAR1Z0w6K9WxnUG9nQKDwuBBkZt2XM1qs3bO6FuM/7nfCzxOcsgquruee YwKRvbnkbYr6ps4DEqK842YSmfhsZcycRNMbG7QTr4w/0Eo68UxiVKVA8IMDOUhHKj+plMX7sQjT kBGn4a1w6qjyC22ppr/1axAsloZyn7+l5W8Tvf96zEztDOXakwKzM1MWYTfq5IR1rzgIS6s5DnNi Rw4kM/EHfPHA2UBDLnhv57496kZ6y1U8OQg1+zp2WfrBH6C3gOE41CIYCe4uMod1E3XtQeazahOj LOmgqoLXTXG8ZkeC9mFmQbvMFsBFfxkoxe7L3Siwqopibej5ycNPddrkTS3U6QxrUvXJjUieu7Fx lzIBaR/nQK/42LuaWMP7n2yoQw1Xr2JXGnPgPpwf3T+kSVnrMh5ZAD6U6Zk7lvYvZ8i6nIfHhlbQ NKKmWAvfxAORWMSfrHz2+TrZW57W2Un7Jk37yP+1oya2O4xDkqaChscN5X33f0S/P36q46aSaaNS x+DVbuXsOeucQVufvA9Z3HX99dTVbd8Vhk9xdAsUrbrkOgD/VyZuWocEHH8bz8Rfr7e33c9P9c0A Unehc3EbLzp+fFDMeqyH6cmfAlZPsOKHLxWu9audlC3IGJP43vWWy+Xdh98mPcAMJlcEtJO9uGdl l0JM3Iy2h12q0wxp2yCOmw5sggMHGpiWWlt+xaHuFdaArwvkzd0qntpxOpC36RnGz01tQhljt/rm ML3Zhmu3L01tNX9tSEAm+469vAoY5NGCiY7zL934BhzcsgWmvz8AUQYtqTqd2Dmj0CMYENfgPYNN ZTwyJJoNFKeWUgebkeGder8PCL9zTCVa8mX7+QLjRpoA10+I94tPemahCMgibeDYA8+k3KNIpBYB 35JGlbTp8K8HO0Opc7JrgohlSDpWCm07dkd5ay10pem/oPqAZ94PJZU6CsG6ow8VQ0kKdQiUXBKy f5G4532Hcc7bm+ZouLhuG0iEHA+Am1uEkq0BbQ7JszQ/mBKhOPktJwnCxyljArP9Pw8sCopWYePU bzNsFKp3JvlliGqGbVBmX15Bxh5dN/5/PacWV7n9GXlLYSSbhRsy39547FRDl3Lz3iC01Am74IWh 9Odl5rbVRFDw6kJu4Q8bHsqom7H7HIVQehBcVZ/lWhgVSY1nEH23pQOaxALdS+l9IYt+AKZFO679 4BPStQ+cMeGq9ehddt/uK+kmhlnuKPSCyulndiWoag0zTeRAQoHhfz0boLVEtP+gvH4YkSgzuW9B +T6204qoMLMlbJVsXT8iHQCjZbwdiSMbLynFjx0bxJq5xNaH2qG5pFF27vd+rfFL9ZX1dTphVXRO gtwTS1HdfQv8gtrvVsq7q5j51RTLWNzeoIkiN8EUGElkYQDYJyHZJT6hnCYAiK2vXCd43mfk3f56 7rgM4q8BE4s+cWRI3JtB96xzHqMlgrqPGpMf1Armn4wAcSVB4l5frDV8AhAojIU71GyDBvNGe1ky smPpCdRapTIXSfR46+5EI7kAzPb49Bhd7dc3K/Y98zLYOqvITeg/oj0HsNknPCXGfK/seAYnTowW 1tnjuoaHlVuBVe78zOr+emZtLBb2k2qlPxqT1Sd+t9x6dg//XTrKC3XBlwPsD0U1hz3LFR4zaSHz sZSMPoj74R5RUzibBrzoKxLmOxMwkZWszGUDqkftbjb/5xO8C5AiCgaK4ZIbFCw/bZL5nG4xUL4y A/w45O4ywv0eGllkzNFyz+e3LJHHsqq+5n2Nx5s28tczhZj9UvUdUxN6W2/KS4jEuXfuLNFR6zVa 8QKkLSGud0jd73fSDrHiNe2/fyqgzgYnaV84XmOirCBufIo631nQ2Sa3NQCaoic4hpyM4vAxa7D9 lmCqxv8AH2vAuRSAry52CbI7E0007vbeRLwjsou4xIjKdtpIs58l2BeJ4g8hQ/XX8zN6yur304rY OWc+11/WrE+ih88gSCYbYJlleqjWErObpDV4hdPEjugiS10H7j7RO+e4pm5nhirkoEGhqyhyaUZk YA4J/sZdlzi+QFHzusg/ypB9eI0edkQBbt9VIWFkALLAEraAaa5O+/xD1fFdNQpW69rxjys6UFEk fRpijrv1r6e1PQEBKzFWTbVkcVW7oH9ll+du6UUyBFYjFlNO/CDGDOWw9nXawAsudI47kasSLI88 1MXaJ1hYoYcTlhoC68YROLUBGvUjOPVd1AkvEC0zy3ZhGKUQzawTGKUuKhS/gwPZiaItFfDfq8oS 6l0i+uZ/tTWSdmla0fZlyrKcQlohvn89qzVjkRcSmufWH8JhJSVSF8ti3ctmIie1XfRt+Aa5GZoY DBEFVxZUvP6KLpT8tDkpTEc0aV3LTy7eAgTR0EZR6JOiUHJ4zNqdJvDoinDTLC7v6xJ93hmiI7iI nW1v9Io3h7Myhesuti9gcpGzu/7ucUKdLmRn0G97gRBCvXCWkzp/91HX5CRlS7NitBxTSm2E5EHd 4JlBsHdQ59BBRu/IfhkYVTk6uvCgf9sYrBS4319oKHFjs9aiEGQwBT5MtPOR7ns0TwDlV7Pkh8Si kxSphL/QqwBza5DonQ8ag4cpiud9+Pll1FkyORylGDAQFRq1ucyxlGOjjppyubvT82PHrvrtZn89 UCC5pVeuT76rpNmwn2iwV754yZz5K5Os3QMBNqCjEBBWTdYIcC6TzuTdF6LOtgs+oaAEiWHIS2Wb kPTAiExPkla2x/hoUc1jNl5vWKyYJqqpteuv+CZE6GGdEsQG/nSI161hRbjiqoonuggJ7y0cPY5j RSaMn3K/SEnmM1TpP5d/Pa3UzOeUT4aETVV9DJ6nKjUJoBqIarXk2hw0s0l0VUZJQzEe3rWXyNtA vOGwKXKnMbKpm8fWBl95xrKeEipyS4q7YyXl3KhRyRm3bi9d7O8ESadBaWRDgxrgPtTkX0q2wQiC TOxUSQKYe6ljqG1UoAzphy/3dhee+9qRlY3HYneofz3ykrcNC2km1pdmqupDzbQYURGmObSSCQ3O xq23jf+dcf6DCKm9ixZmavoipWiWkuT9HcSnw4gYP+uSmhM8TIfpiiYMytOpvNOLSnzzOjAiAvH7 ApTqsR7PngHvy+0EmIB8WveuN3xl1IAkSDCV/mdpk5Qyz2839J4sfGznxs2JjgLg2yZgclWdM2qH zxD9+8mHRspVQoxK6xLE2rFAHRPIro1VAx8iEjUN6ULG9YXuZ+GAusKpX/0sia+uBdnl0fThvJvF EwwS6inUgn0pOggYDyVxYjTXW94BPLCRmCRsLy0VYqRj8J94GfwkGPOHuYqbGagcMM7vIl1ftfKj pm0L0km7NACGvTtO1LRNZRE4MCX6LdQRv2s6K8UmiP3N/suK5j8CwYjB/LdQVntXAe6OQ+GkWZ60 0KBkSSJy4yuDBplcy8KZ4maF0wiByJJgQLIesLYkNQZemsexvNwANHzzwVsCbwrigVNc/ff/cF8h 6mh+T6XMDVYKz+O2yWfaggs4+jbusO1otCqTG02wKJdTef96YJz/2Yp4X/W9Y2HTUiptuO3U7bza ANAsIohOBBwm6eMW1GEy6GQApNFtlHe8MCxRfNgkAb5UFxGc1zV0XC2XpooMt2LCeh5aVF6JEbMX gk5b5Q55tk8s82pKiv1M+A01OQa1rBUfzd942XydrYJATYIx6V0/bfS/RhtLBevtw/b/9ahXmZLa zxBlXoZhwQ5ADC8Iv62L4YMo0HXa+ZXTQ3shVvdpkDHT0fudWFXVdQN0D+4CH7Q/da6IqHuIn+IZ PuGAEauuKksidFnF7iHngyN2JAxgCc1CRNeugTd5hPV/+Khu9Bj3IgIolxyNXDWHZRBlxZbwACme SFM0ocfRDfqY/PWE2cTPlx8OvCCzyl8hjo1dMDj44gIDlSU4kA3EvGzEAiMVctHBr+BVlq4AtUpe RPq9ujh1PAQWZ+MhYphWwIlyKCpnfoowbLvpnvImhZhpa49BS84yEpf/UBwRnxaW6w4n94zRAfGj 6u5Mj/XhwhNPGLmRlsXJ74IQDQpz5h+drvz1DJd84DWYuXKXX51IGczF0eHtwrGnCh0kHoHaUXuk Uv4qOWRW9QVPC+Fyq5v+erFiWgwL5q0DQ20Jg9e1meS64OwrRVqTW7MZH1UL1fEK+KH4GFtidNtn b8hAzfyHPoUi132Gr26GfWudMLakPXPTsdsFWH8WLwDBJzdMKTHMTc/014OIkAh9EMkpetychm/M p42ndP/lTWnd7SI8TgPq9VjfbkE2VKgMOJNRD8UuI2ZPqmMFpfijjNoQYniHx3UkdmBQ04edbfFw 9ib/EaHr+F9K+Sn0KHLpgu7ogl3cxCj05oVSwGkV+lhggJ47x8/lC5isOP31BTMDWW/TLp09toYd 618PlGirsqtQilhRA3rHW3zeQf4hFBhgsH7BTi6a3RdEi4NcHvQ2OT6hvEd5VRwfoVzp6u7agX0b YXy8zXODM5RhSLr0+Fb8F5xB/bgnsc1W+PkjVcOeDCJI9EefEJ9pdEc06cTCxZuFAKa/4GWvIWUw 7LOTUJwyA0jR9M1JL7en++hfj4s95+fgWCQPZ3OGNIRi9GQmotdrrhbmbgFaugzomVuTcfpRqNq9 rb6l9Gu+tcF+rExBEeeunxNs/WGSE3wvI58K0YLzoIL71SU35uVS4xY8H2YF0Pq4ujnulHuXHX71 bcoDBGsQX9Ieg/xiYqMVu0R6M6spDezk4MCwwCOq+57KXw8V5EwCTeDwJloFCAl0m3eG4hR3cIdL 6CONxSKT53OO972OONgO3OY9bq8Iy/tUS+MtHhFalTAcbwwKVfpxAoTjYE4MZmy7voa01S7RXsOJ wX7nMd4UOwaVbseRFzyrSagAfhkndyiIb98/Q2Gn8Ke1Bsxa2Ma+7lUcqPDol5nlKP2cCTEzSJb1 HEqrcydvJFTkvAtDUH5ZkB2+wy9pdf5CfLzS+6c5hS/VjvqRiMsjxvJQg2iuR6sBH4PkSYvBag4C 2hZIzhglKDSbSbKEfi0yzUgD6uEEXcV501dvP5skYuIxfQpG8j1dfC1x1Zwf7qywE/dSceM7JbHo VeRaph/+t0FaNems2Npvcw+aT/Aqpb8emm+lLX9/ZSiqfhdPmmuShW6uesTVwsc5SyV2JM5p/28H Y9SfCjzoGcHw0Z+RVCYLROFN6OTxCEGDjX0TfBJ97MoCsYbpSjU+vxmnrrXUXJSiAUtWvL7hlHrd XJM6Mu/C5Pyax2TvnXEMY238K+oFAx82yF0BxWwwHTz2QxLwcpS/nnp07b2uW7bHIgSkUTp7F9CO r2c91phU5SeVbpYMO4g6AFMQ26wmyyCz6uZFF3/oiGUM5J6Oi8I85g5mK5ft7fNO/dBYJcReWepv xGsFhjRvTmDm1nIPggNwAAZtv5w0vcA+vC51R9NtrtyLGes2Hg0AqNt73jVD6fcYyOpPfAezv57U ytyzXmqampKGhPFEdF5wy807H/OOaYjLc/yPzWMkwWv1MHIm769v+YfvZOYRWq3cE6md0gdaF4zF q5ByPpfVKQ8IKVpLDbVEVeRUlsF2apLisej0zlrABfGap2PRica4chhElOt0G/weATCKgJ0B1nOt r2DK6MXo0Q+6Ps3R/8knLOCQzsyFsEbLojYTaY0/f6QqJBMK9buBfTbYswIqALErknS99UNk0xMQ WK0Hb2FhK1fmjurB6hSrKVijGIBN1EPouSuLmlU9oobQKB0ecBTZh4ulcnAbnhzhTLGOHwTxiHGY AvsadtWb831pabOs1y7SM0lKFSNxNASqH/vww+mvZxdmaRiPUeT9zu+Dgctk0RVgRPR3KYEee4ZU 2GWbyda0y34Ft6QW2rTUvAe9EL5Tw3gO8NmUDYUoYuZBXv3g3ekOSJ6AiG18413/5YZyEI1ml0sD uqySrlQR2vYU+Xabw+hQZIVb/BV6PIkGFXftbNSLTa+M0fLeQDtlwBVRkOfxr+dJLAbOylWCV/Of 8K81eM3Uugn1ophuMFcreALz5zOUwnh+E6CJ7hh5uKpHSuVLlmHPbdKvTfqRRGu09mHcad/0qjLD xKx4H7AZbHqMH3bD8Pj6WyzyukODiYDXghs7FhZ91OLGVSBX3e1OzlDHuvDKUSwOEwraMoorENEc M1Jx51/POdLs6JELwngXNeFWhjXlohl7CHZ5+aHkEBlp7VenDhd3oLCSxsMje2dQp4BGL7zOKXZf vkAj44D1qbWv3oJDhiIHEiO/vx/FxKwS6uDikERINbaEsyrLaIjes0bNzduLdWfOiEWgcbHRTvkq kNvHROEPCW83lKQzK7yGmGTQ9f/Uq0oNkNwG4TbQiGDFubIRk+SSkr1eUjK7LhJoCo3HMPfMTvYw 7HdhTl2EZJP/YByREYeja0QjECZc2li1DSvJlEdtnSvW5QyzG0BaIAH9FYz4Y5otobsyprt7QG88 t0dAJow1ywl0JWgQjTyY3eH003/LIBAC5xqDur8xVr2dXHv0vHmcxm84CN9YVH9GM9piG+LazsPp 9ao3HEVVjtpbF2cDcS5tSUTuLFnBNcg7lCsQATWifIm3MYDoQyBBwyc1gQevzuNSmFR63JdkA2Mf fAwqIBMzkd9JwHTI/TnZk0fEvkixezf5OvMF8w6D+j+uJ60NvVnC4OUG2c0FEuUbRdufM0MbMWJs rwFV5KlyzQKhvx5PG8LYTRXYd5h4qgAiA4ai1S7clAFlksd8l0gvS8OJcxhUprBKShU7UP7Iq+t0 oC5si432u93yxe+mkgEJQQjtzh0l+TOnSkY8h18WrWPkB8K2Pk9pY/awJGQ9NABiy/2MB9yj5G0i yekHfiFojdt5kqSPylmx9JLL3ZsK8nB8v78eF4iEis3PY1FgW7RWgDtJ36T0KZ4FTwm+cfQrp71T NE3sQawr3uFg1Skdp51PTHa4uenFLirbUzMHLhLkLBvOcTB0jbXUV8urYhn0Zh2OXcflxy5R8GH3 yvDrppNiiORxC/LTB7q4NWw4148Pj4OTtdgHj/Q+wlAYtSLPHkstlqy/notJPIa7NC7dqnC58+jn G9/Dbp1RiMSZDlpXlfIpyhYIZCcDdactMsJS9ebjAxgvQSp5sWi11Fpz3wacADGN4fBfvsTq+JHw oJ7uElxC3egO+mpnieJwEw9OzbICb0auHV7YpkDKXWaNAMH87EzbHGMOsk6MvR7ca6V/lqbV4N/c fz1NbUtyBtXwGzkl4VAZH9v/9Z3pLZRxCnWYXng3LhHbKTueFmQz0+ZdFTH2bvmNC+ZeR8wcRo1X 8lQGXV/52SZ0sd4ufcyUhVlFQ/VJVGe9w8w12kGAM7OQzImVWyD7ItVFRDuaEshDXmvVtydiECCD vHFO+15jpsdMwljA+1YNUPz12MFYqG+8gNFgkMy95EwCWfxzA1R14ExSpb5Xpd558nqtplEl9773 lM4UeznwJElhPrKq0RXKoZaDBhlO5CaNAalqSkjvmgozXZZfKxMyKz5yDhDXqlmiMHZJGb/xB71f uM+0tX9lAmagYbQOxwUAAjq7M7W+ahNqHn53Mx6E5VD961FHP90Tx+vJllHflm7i9Yp1lhSw/Uix d71qk/BfCNZ7JntbfwZzP5Uv2HYzlsuFgdaX7z59fNJnQ5icXlUQdCKVf/61Jgx8YKPmejJYvsu3 D8x0i89CNMDm5NPmmG0RaE53XAMe/h2CbNPJRG/O+UINFmpZIBS49AJ7SEAdNeLg/eu5n1cH25VU T8rB8JQWfq5LSoSJxr2QH3dQ6oobYQMNKJb+V5tG4tgeJaZH8/LcHT+cl54oEwjU4qLvsBTt420L V+p0IeKr/jynX/YKf/uYp4Uj8a1Y+Cpo1HyzYY+42L/cxL6QWjlXc7Fq9ZXOd8kzVRcm43oe5ext QLROaCv89PTXkyLqWqY/pji9JMVDqOmKaEuJX+Ubd0XuZ5pLt6TSHoKyQWwUBxc9Rdzf2Ovx+eA8 IjiShPwiZso24yAXoaZefEk56Gen1b166hIL9BzZNtZWWdY1Z9yEpKa7j21j9GaOmo/QgioS5vQT 6fiP0ITVcplqJ/nVTEFAI0tjULrRWBjor6eH8Eq14YL6vOr0AML6gnYseAaXtkN2th7w2GRfgb9I uumdOLU/jTRv8YN/11JWpuGBunrOFfdYatDEyycMBa7BSk0VWZ8l+dwfhVCTaUh9BRgA/+ai3KMv ThCVCAdg8eRhVRMC4jMpb/sVs5lmLSrGbkn/89ouM2/t26FTz0Yghp2wUOxndZEQRvlsPKwZyW7k TQJ/Egd+xU+7AzPa97V2wXGl9V8821GI+/vVfXF3Q7OOjcaUJXFE3cf0OqaTMREmNIGPLo4QxK46 JEAmFfIP9++Ijgn1awONPLR8AvNXsE4Vw0I8l9BsulvcIB2shcBGQ/bNSkThwtvV6nUnpGnj/3h4 Cp1LNimpPViT4uS38DPF37kQzOcKxh66ZjGMcyFz7sutE86f3fBCHwLp62i57R/8+Prkp4KT0ZIZ Ae+nNV0+c6IbA6kKo3whbDxzXIScR6w8Cm5iOPFW5aHWw3z1cLzFAPQ+zEEHpT75NJ3Vr6x1ACga 6pnX2zdApe6+SbYl7r35Vf0qqhzxqVgsbblwTn+QHToRYsFjX80oqhHE/EeL8+/MOFB3kCSNFh+c TzkcEp5u8eMhFviWhaBXjmlVSmAsKWXeHJWpoMazc2nziTjG/03W4ltdZtAcnqlf3z9uiaK/oSK5 3V2QHBtlW+C4A/8HC1sFEC3Rg0W9Ty6Rg1m+eu4CLUc6D15LAQR9sfnC/9fj2SUxkBXP/QBd0beH kwOEROsTxypYbexlFzjR4105eCe2YkCiQijuauAX1NRJ295wS43zOIh+3GoKZNkJU39Roln9lCcD QJzOE8VVNfjf8hDUSJEC6ijDrsOQj/q3E1jPByldFOxXIdJTcJos/q6ULgbob5zBCCjQkdHdx1pY 8eNfTx4LBS+4RZVywfyQg9Upl3sN6Vwkw2cXAU6RB4jEZwiX05II50q5+dJSiwdiTHG6g/RM/m9G LebLpdy0YVn0vNEX3JTU40fdCJSm8DEGTAsfbNkpUmAMsZN9EUqFn4YZa+PYg3uqXKpwL0aLFHq6 TwHhvwkqjPokaAR+if7Lw9P8vx4FKNOiLEewfQM0vckKFDV51JfWcTHQV7bL0x4Wn0EDa/ctytMN D1sO3yPUeHzWFGIaDN4lcWBahVTokYjd510I/HAGuOH+2ePB5au8CYdTRB2DudTdVkiOAsHpYMzA E6qShdVDwS1zV6QG985kQtAVOY+cCCmHNQlE294WwOCDrr8eyNL8+qF4Efuon//iBL/FqmDlItGE vbZbe3LaJCkjPmGYlBi03vGl8Y0TNfbMCXEEyCyoV19TWYBxp//zp5Cal18iBVhZk1dub+RdDsMG iS1ODWrtlvA6G9Fh1U8vJ1b2Dm5YenY5DM88U3da5v3lIdnwwbIJ3AHn4GKREYz0+qH764m7GzPR GDeaE+CZ4eWpa/j6tYF/RY0bBdoPBmRxWJjhy2VaVE7C1EQbLChqQIYT0RlwROiPN/94aDAr16dU I8UtP4gxlFRrwRRyCnqb43/hr8ohud0ygq2EF/1SI4NCtWD6kZDY50W/fqqHF00GwuoelC6P/uz8 VgYMIDuDQvZ2/+sh+iSzfIyMYhyutWhgaa6R/sGauZWCsD0P1hBRClsBHENGoEsJc8JKhHCVdit7 Eg0uaZzlcLHN+hTNJ1VAKo7jGHAK9S43Ha2MLX6YoRsZ6H3+5qmXhsG+izg14Zy9GRuC+4jgLiu+ vxtCuDYcqFPQbiQ+pyWjostwQg73l3VOQZeRlAYVG7sQiAWRjxUkbUWgFXM0pN5ZEsRfDdOMpm+6 mz1eub2RVKrDkxUBLX+KXfGoXlsQd4F7+CiptvnVX7ByIWZaK3sJNURcgvs3+3Y/kn8AFW0dhUig ZGhPgOd+k1APlUZy/O4IahFcPpamIq1TsaI461WM6Yvjyc6L8BZ2IDNivu/7XJUdoApMMiS9Q/7X AwfVw310qI7teBlLtr4QEnxCeseGHZaH6dHnDgIWixXfEPiinrDQC6VUEuY5ebayJpBnepsJLT0y WEpsz7hTdjepRBfmGC1RToDAu6E/cv4FZClNSblABqovnH8xERZ0fs6uYhI3l4zEpgEYB1o2u2dq Lyk0xZOw8nzz+0CCopH810PcFYtNxWg3GBqzBaMv/nQ7akle4UMruRPJFCMKKVsvOsmCQHEYtLev 3YUh7bhFHAvSbkz9VukSMlt6W9sf3jTrNR07vdIhMfnGfTMqFQxEhfokMFtDBFqt8oAGTVsTcXHt BBPRvb81wTPSzUlrGK/PwlkUWb2JC1LavWc4blsHknBLYK4NploK7LVGVgxh74oW+9asmiZza0Zo VZlDU3puBW9bxSuFqKD6C9Hk+QO6aRkjkzvV7eaFU24MPet6IxgmUoBGhaxeiSKgzw5CwBY6eoaB 5/T9nvqs0EJ0LNCap4UsSdEw66oxP/LVAW7wuBFC883rEqLFQNhsbwGtZGaf4G/y8ZC4jS/hu1GP ETURpgWwXyBUQPuoNTqjhHkZUhdLoO/9/JQbVQzamf/qViqugcSCYvCvPvAWRI108wGHDmZSkmGP rqsJNJm6b3qemJ+jze2Cdvy/uPRln+s9nRH9BjN/uy9Hg7UYKpztiIlIF/qIK/x818zEB+m8a0GN C03ZgEif5SxK468HRuXZrVOJhtpPCuV9Eryl5VmqYneo4CzWsZp28eKIFk5SQFN/yMg1Gdhe2/3S xcgKKsH2qN4CZdNUg6v1zgcEkAbkJsaZkWEi/w/Rie2suWT7rENIeb/IboO62wUEDIt3h8TYYxtq 8PkZJf0Tmch8l42BYzdnbMGAFHSjmtcYXBGExGr6CWM9fxAVBhuiRpaAzhq6EwUhipgyqKmFkMMM iOrziv4ir78eicnWsSpvd+0CN3AR8ChAkMwM7u9VZXGbUIbCBf5peA4fXxGjij1whtFXtW0avlPz rhWdds3gy4xT1T54r+ghvmYB7VlVcP6AsShRSJBKlbkfOvpWpKHGw7QavRBPsNTDDc4M31VKFGze knKEhgRmzX9NcnIxHIu0GFqW+sVuDUp/PSn+3k7gOVDyNQNJADGobWR69Pgm8NS0WCo9u2e+/9XK 2U/q9Us7aNrRq6/XAqjwymAPdQkESvWrHdu4uKT8opMcIqjFh2dBZVo7mDVw353oUZtLos7SX397 073z0SDDtksyNrucrVaE7rgvqiRSxCijP+3htlpIUgrI0BfoSXxckTe4NbKxRtSFMulfAk4aRAt+ zbiAFSuzFRXMYT/HwoAF+KL4aLhtcYKgh3JxZrwYbAyyTcAFhojncV+oZO7muGdBz/t40i3khpjm /AJlzPMkEVMvztBZm6d5oRCc+sJgHMGRK1XpGT8WGjwW2E5rL5vl4M5KKKzdIah+H5f/l8koDYS+ Yep7NtNyDDTxmZw8XY9klNnXFOVkqc7YeReGR93hbSXtyt8DPp3+0QSBlunTscQwN5+htfxOnxHD nF3bw43obOCdKUSqVhgk+mHYiKCfzDnJCeB4cPBdQ7TEEIJF9SLbATcpSY+OFcNaaqTOw6LsrRIT c605QEP3oiu5xaBikoxjrNdSwVgHhDsIMxlTwOYMItqu492X8m6R5xXW4JsKSDNV1tvm/PJf88Zt E/fC1xg7C7BhBjJ82OLltx5jnjVfD0FNwpjdwj3oLpRePL9acKrOPdRWkR6lr+LvJa5vxK9GGXTP qBq7G0Pdjh1yit96rmMWKR3QoRP2Xqh//luRtYGaFnLsbRq6yzD4uNx3Rl1DI5IYX1mQOyqHoV94 uBDMQdVhqFSu4Kzbl+XdP6QUrHxUqq2HoYgmCUfWGAowqyORRL3T/wxuutBRizc9fKSqQLhG9vMw k8tXdQXc+cSmpkmuUFdtOyty2bXsoaSgrS165BlJ9Kn7/xlvsDMlxFz3vQK8VEoaWcL77lCvuJls p/7KiKkkNZ/wene7uhjwKJVmqRlkHyCq0WOMjbu6BMCGuWSKXM2OWJxVVSo0B//1oFuPm0jy80nQ UETSvbYZXBEJYB6zftEYNgkr3/xLrkbF8t+acGZ1QxG6mQ/5UX8Loqf0qHApRx66DcpG6z2uopiU 79Zi4tXzcTtGShk0WKivxaSts5DpbqR5pquH9YybfjscH7BOTn/wdr+BwOcBDLx/VghC90Z0gQrp sKTAHFT960ENYjVGChEJuB17SFYVuwKcWlwt1lNlEMc70fZSvufk/BSXMuBxpX4GIDltkIQa8ITH ulNbiI899zlQv854RaZD/bI+E6rTd6wZW9RwI0F5tBoIl0FuO5yhmK9UHLx6wNm1KIFpWeQmbo/L h05F5l/46a2yBM4F+keoFBF2U2RI9NfjI+fhmSQnSV2D2+DMy5mzfcyz5hakYVr1XN3amlv7dM35 QEEstJItlpc0KF0lsd5XbvAh3l1VJBNKsDxc2P3hS4gjmIAOvz1d15YDml1JclTL8pBtfl/NktGZ pL7tQux+WDxKqHnUuCWWI7SftL6ANx2LKrkCib5INujJUNNpAf7Xw1iptn3DS1wBv2dFWTiakMFc GiC5w08057BLCdJit3WueczYOwjPjbW6D8yZGs9Q1vaeDQlN+hkP1VPbr1aEg2bn5ZIA1BgafaDu jdGtUpJweMYKyvYSlPe9lKY4kCY70g8LjXt65gX8XjoC3gHtWIwD6uYOtcXBx+Ftu2eKckHw1yOi gJZK2wLB40haSLEqYFW1Iw3pOZIe5+jrRLxZFSjqJbl2USXtSRYy/eanW5gG+V0KM8GjsKONr+9g OYZtV7XYtX+MlcShTDSyrNYcGdQXzUC49BOGcL0Ai3081b+luIqqnVSgbGOfU8xxN2hwFw4RJx3s jlw5E6qxNG+gA/NA89cjvfgbjKxEQybrmNWBU8HiBOQX+SVT/Z9DZrNjNha83zDbIP6h/kyAzTYs amJIfHfAv7b35VJmXdoYi1zx4JOpzNQTT3iPIxaA1wKEICQRCKZ8Tp9VPLn+KoyVoYydGY3chrMQ XmL3Otfr4IwcRZ6U16lU1y+E0zCBzROIAiAHtdS/HsgzRc27X1oldcoC+o/yxKnCMZ02PBKeq1JR c7gdkTt+I1A8YU1idkF0w/CWJx6vUvA62LD39tq+2SIG3TEREQiHq8lUvkV+sA4t4KkhaOwLfm8w qmBqjlZRVZCckrl4zETHozpUzfXgVrdr5peP8OFW++J1tBSge9G8TsVCHTugNCw8g6LLXymQNrNl r2O5diLM5b6gPQmjI2JYqpqD34tlzONHsEoy8tclHTKpGaJ+hVsVHeZF55gA2SFuJ3MhXrmTka47 tPw3LgiX3qD/yJF6KGizJWMfFS+58FwwBU2UoQSeBUOXQx80RvSFjrSO7KHPzjVr1p0Wo0BoJ2SR KxjhYDkmTuGsPl63JtNvULUAFbZdec+qf/mP5Y6+VAx0zZyXjun2ZgOwNtBDrolEgH/raW6hHFSl 1SWMDqucQkVlnFFNQ0iziSFwKwjCKg0LintJMndE9VDd+kmT8Iw9FN5r8frSuZtN8w5KbzmHx7vr 1swz33J6jt5wfu8xG+FhSqQ+JjAV0xJL4mmoi+p2pCz/eiJWPhGdw7FbLlkc1xQ/kiGq8E88PrtQ zwffKs2FHsR7KNW0A7HqZTkfIUfzWjR+mPrGW5g+hdFSo1xQitSXb41ZD+NL2xnJ4vlENFAZlyWb rCtIu33g0987Q9YX5J+FBI9Pzh4BTJe5AKmuaTqKyrfrC6ONOsudRH8SOIfvFFgy/nq+zzcMBBAK XM+1cdwKQD+Yi5lCPgJyIXvAJanrNjzvO6g5//l6n8xV27vRE+bh5NFwGAKbTc/3Y86QJWUIT9qy 478gp/neKMrCpkZXPhiOieKBHHkhSW3dnDRCcj81wUYubge23Vmzm4jqqrTQRVq4Gt4PIYEMP9th 133tKzqyu9/bphh674g1O02zoHgDiXaR0xDZZnpOb5W0aiBXeI05900ui3OL/LBTy8HnqygxrTBm X5Uuw2Dt0LRFou+HaVSO2Xj9h6189O0s+CC8s3odFw9Lt7U1A0nBscVlkqgqI53bdi5WFb45eegX EgKevkxruF1U4JKGQMSka2qHD6Hp26b+dpxbFGKTUDCsfL3+8aqtWlqaIYjBavSqlgX95Ow/+SwK 570hJeiXRaFpvxJ/FvbVGllW4a8nbUIY54N/a42om8qquxLKR/QZml12gBqvDWRi9bR8X8G3hyoN 9EwuES+lsCwgPfTwO4yENjoSrAN+huWvuOyFjuPZfo0DDwVWlnlLtbNAWY8XDj3tZIcdIVMl5MBG agDVdxzI+MLDLaI7lkAghJ++r5vNrT8jyOVtalifgY8fE9SC7q/SwHb8sb4Kt8AkuWYQVTb1Xx9t Cz/mYkK1drJ5Sty/GBHG868GoSybJe4lpC5kBIH2Ys4gc538yhXLJO83SI3fFRpgtWTlzytOSdGs A4JIXZqqxc7LuZCZhmz1uHIYjJY5VHFcRW5aVxeqX0wmOXO0+USr/Kq/ntMWUVwex+rzI1+BnnD5 D1uAYEDhyykvo/9/hT0BVdBl1g5rXqkz/yLgj3nHN4u1lcbs3G4mT4Kxam5BPc/AKLjKHN6iSU8b vm8w/OE1vhOXDh7iOjfJFHawCjV0+SBeRTPJl8TN8yjkyi5ayHmovpnquOarL4qPlE+gdHnBEuRs iAk1/EGnBU8DVozz/Wq4GlSckvTtoRmuHuQhX+J/yzDfl3YJRy7ceS1rDk+eSbKkoMT7feNOvaBh BIE0r97jQZEcEfukBkuEFpsTWaHm6RLlq/6yU5zzw7SXhkxrEv4clL1kv1FzBXQjxAfOhpz2seaN JspT6X9NSMDpeC/FK171NtPKIUdbJ6DJm1E54NvnK4P5qMX2lrO3LtkwvIrf2MQXjjN6ZqKB6pEg nVIxRRL1dL1iWT25BCtIbjrxQWkwqv+0KBKNQHymagthSKj7kGEo/efshcigPn+HxRf4DJNHhecX KE5miKOYSKM8XljYde/d/SRr4EAR1k5AY2Jti2NUuz9lzClMOQzg7dorJ8/P7LzyHuUvE9BfAfWF 2Xv+1xOcAj0peBPfAzkCNiRHcBK5ck+QxrZ1erYEueOnlyu3mEK87CTEv4iGlxAICDb2GJCZl0D7 guKw8KMFjFuLRsCfK/gQ5xXLS1m4qiymd1kph22NUxoj9jPICeY5JcxgUqBea7eq36zdFfRLtLJc h/pG/DwIDTdiN+xCFAH5CBnL/9ezDswIJEGv1FTfzL4R4yoIPH8QdJATjaVtiNNP9+iCNgTkJ6Ez Tw+/UwrRBbPAOtchKs35uCrqvdreQeTttH3Iw53eWcDbiUN5uilrSaRc148+VazvL8RJ78tV4QQi 5CwGD7akntbLkTbBUZekw7bAikMBSGwGwbgkKgJpha5VJ/fXE5TQTkNUKy/L07W97S1iIOovnsFu Cg+ynuMc/3jMwHfpEdgNBfi1NFqQ3r4+A956YsM4JCetoF7Av8VdFDyQWAhERtKDn10IG7YrPM6V JHcVMqg54QqKMKXhNZlGHzyoxQBC10DeDgEaeF3MPIAZHHNMG1jem7NCtIx2YHKTSd7WchW6N59F 3cc2pZjFCFwMWK6Cade7VkEZ2gVCYc4X8nUZl9/q0j/cbjmgaz9Ruq4xz/7AMaJe1Nw+LHSPt1Ti /85a8hPv25M0rkELFwZ9wemiPMvAlULdpFPi0gsErhKzAUIphJ4qwhMNnlxLlxIh1etTp/DeDlV3 vNXSqIEKC5Tuk/yo4gBT36EoKFjgMo7615MPfxNCcFsYHPWvqFLUqpiuMBqZ2jmv4BHaNLeOgsWs BHfadIM6dxmlwwR9UzpQuM6HtrHHsh0LBQc/KlNSMxI3r6GpILQFYqW4f2GwXTrd5k7/jowez6Vj jUPlPYw/IpgiuRv9CidP0FwJHhWd6PqbrCDvnpteI6QvErySiLNdRX0hBDPvHkE1/kpw8x+tkyCO QzUrK75POpCwxJ7Cexve0QzeT6I9cPilapLCrdLjuS/VvizfekrCUar0hsv4FcOIKR5u8K+BP4kw QMmJCzSMALaLHYEXn6xHB21sA0dqbYw/3QdWX8G95yGT/sXyWX9GxIe3Ygs1roockryArwIV+b// clwRzeqPAxrNTeZ2Kv56IAPQyPkTe2B5mR3kY0iwUgnKwMftnyBfMq2TR4793EZ0+kcf1iqgklDh tj8IlwOYu8j1dFOl82CR5SbV2732ncZOs28ZXuPid2mUs9H0nokCh58dWLtP6TYvrASFamghlmTi slHzutGlsu3o8rk52AaIyli+napLUYNYQIDQ/iv962nCXHDH/WYniZOrKtI+92PvpjIQ3Wjw1F/E ylce0AGMAaTiohnz+mV/5CcT72zaYrdM/71hFbFq8eqo0HXRJX3IF+a4mo2n3kMSXYtFADRNDcj6 3Db+F0gsUt7bX2HlNdL0FQ12BdKAyfzpUrEibJhCw4LMMzV0ekhFMxr/LFzc/T/5eBx98TvRe/Dn 3+oCzI19DzZ8G82WAhD4wLv4nUVz6XZmNRDtsOifRnVc+OjFiwwcW9od5gYwZrPTfkdG0grBtfwq WGyv0ufW5Jyy/HPKXxR88j901UytVrk7RTQ+S+J1f5VhVG/yFfZKHCodBvyKJHjGir4pPn33Kyte 0hHeUv/rGTRYehtSIB8D4nKcsl7O8ZRsJprbL0J3mtY4GbwVXJMiNdt+oz3Ls1Bkl0Y1HN2dyVFw SDYTsOBPmpSJoobuhfaBqyJIlQgGluP3JYvu09DIqinkbmC7Vj6bqiQhjfEVcmTYbHLfsOK9s4Lc hYdQ9Yx35VosKoVj1vmIWzeUl2j660H61/uGhIHNR2GGEREVSUTgwT33WJnck7+aLH+YX+/R4bch O48buJ1CAQGTED0KAiBSKm8VKjCMWJNXwlgV9MhiVTghUt1JPno8VhiIa/09Ch6/lcQ0NQBogIZ8 LyEA7DG4qx9RUKujZjlQqpYL+GNpow5G8fZBFDLdbdN63LOc9ddDfvLTRm8P6nUyGFa9mu+VKE4F 4pkMO1T7VgpuY5Napkj3n9vqFVVSMz3S/YKgZttgF3rnRxPhI/gSoS1BYoaIMgTWvO04XXUAqonM 9b7cU6aO5lPm+uS+tWNivGMDVZGt2BU2Boiap5ywff/wwn2zbr5UnJPEFwPUKq2Jglabe8BfDx5Z dQJxhu77vohBfkTgWy+pf7CCL1MNlyDsr+NAwJQcO23IfSaJtXDbrBn5BwXM53pD8/PpbaBnEiy0 Uk/rAMCZK7v+VVUsdGoiziVVNYwkvkQ/CDNeXT+SaiIGPAO9IjXf7jsqlrtKM9SXR6fkknmwvmXM 9ixHSwajXGWFehtVfHTyK2IiQDCrp3+dd+UOtQQudoZd2KUhmA9cCUJw//+bFYpvvj7WqUOc0ZCP hnNjCM4sipijQn0yrhS8Bgs2qXi50EbD+2c4LD+z7RDhOmPcrLeRdpIlgFzGh9prGm7V0nWtKgxG lZOoMnBqWuYK/j8pkdFFS048HQUFtpbbNbBblk7OwXWaU8PtMYiC6CEEAq+qtv92TGJwogAgU0Iy vL7WJXovhEWbQ0a0R+BsmgfCpmE4OS//Zc7/29CwCrDFgX+aTBRAtrfqUboVhZjTfjsf2M96sPQc dqUnsNnEMA7QIIRdZesmIcvfpK6kXP7Pov829d7rEKXNUyDXMP7Z4LnWTzy5m6K7fvU/qNcnkk8B vQPloGokhqsAMOQevtfL678eICuopy0G1/MUrXXUR+ItgUwMPbhjbPo9y5xmlLr7D70wVnSNJ23Q xn6SJUP36QjKC544sFtKGtvP36IKj61rBG0bMlNQl1a2ZtrI7IFzjygpMNbH2TvvmIkr4fwQwI6r 4tvudJAw2qywoxueme7iA0bJIEzA44IcstSsL8bTS92//9tS8FjofJpTB3JC34qIQsnVNX95fiH5 i2jS+bGFAkeQbjC3LwatGWSlplWgZUciFQZINlygec9JWlQECkLZyXdyqadaMIHUeOhduIdPn6a0 OZUTOxjjhBoc8PUx+89LYAHxbgLsQJ3VN3dj7Yr2LxU/0MCJNm70wKhBgwZ1rlm3ob+e3ASYcYnY JORl8gdTMPbNvbINN62so32vE3aOGIkNVQ4f6yNbGsWZdHSL1nk86vBp4Jvj4s1bM3lPIsIiNF3Y Qk48YEYuZlC77QadC54fnEAjddUTu2xDIJs6rOAhevA7BQrrqp6pQ0CMJ3eaKRV027zDAD/SzbaB AJoggBZKFIO/nq1omOvunWNMCw5JCmu33t409C5fuuv/5KyPZTGXHrI0qchWlsTWsoC6DrictTMM 6WUqZJmz0bLqzQctye/smA5A+9wZ4WFRnLAk5hwUEoeCTWbht5mXPeHuDMMvkJZ0wbK3co8pG9OO qThj4xmxbDey2tSCEYPay3irRpdsiaj3hmRDR4SV4sWBUjiQD7hF99lr6rtHFr0OpuRdzEHAMZ8R aWXaOe2cjB2RokFHquS7tpJo6tp1KVj5iz1v20f6Q4fWBKVjscv8K9JRz5QjXOuzHX1NFF5fJXiz iLOUQeATKGBmpUL0rgU3rcdcow+KjEoAyVBOr+pg99GXXHVSFLf+enTq2OocdUJ9VDN6OUesymDK 8S8uKoNv0L+RGVDZ0bfSXXPSYy4w5XBTnEFRq2PZo092926QPcSDz4nURCbLH30kmxbSuZKg7+7W ZuYZmH58NRxsPQZBmhm+owPypGZW7obPQ/bn/B3Opi59LKsQcoMy2jps9dxRsE1RGMWEZaiS/3p4 v2rrb6gIjqz8//Py4B//lkaVUwpIBueN0wzJTe7XWkYxIbvkmSFCjj3T+XSbff4513zPZ5K4eQrx awIUvZqbdANRxzXDeFe6OJ6XicinFns/wPIJ77mZeJa+KQhIVp63hUraWm7LJuVeW1f1J+C1qM9u 97M96LtB0hT93l84gv7rSRZyxwdRvlnJL+2YZEdJdfBiMRd8IXYVJQKDMz6eqxCc0Hmxe9uzN0Yh /EGSm3ITyCtMd9U19vfI+JnrUBnNNm84ryHMdYIZ/4c+tctAlt0chuV65Aidh0B8sWoICg5icQD6 zvAhEnXLHPvO2E38smXUiVogNG4V62/XXs1tM9rw19Mxgnwn+OnT6ujfDGZgauRXWCpSkccaZJ95 WMvI1FPHZa2esrAUoZmYc7cryE7iA0HrRx8W/mAWhQPymx7u5sP0sOA6ET7438mA/JtSHoiFD61U +68wgNZNujIlUI5Cp+x85jM4wjfWOtwyb2QQqR3/SP+SSvKSZT9S05R7CIY/pRWa6iRxS/D4R1xG Ug4H9VkwIke4vOhrCOpcTkWgtlU6xFw/W+ixq7xyl0k0xiImZjeylawPxChc1LsYq8rutD41dyj+ yUVVDreSN1r4tLgVP48GIWc7ohdDsfkeX72O1JL7Brv0a/Z09BkmcwD9hrwxfMy4NLCBtb0v7EUf 9d06md9/0GW6dWYbLdk58QLMqDWpKIKstntuPOdXfEzSR7SIZhLWsHcQbEip0gk+DkSkrpEBOJZw Z4mLR2aMRfhj2Cqt4O987qcYv4v3Qltu7GztbXFVNuCcXRjJoZ6+12FudoOJVf9Hlj1sh6JoWQCN bZzYtm3btm3btm3bdm5s27bt1Gun/mA21tjcKFUN5J+OKGRkUVxWRMlmExqGAGmjLGbdROMF7Yfe 8Cybsf8t/Cl3QuRxL7jL1PIaam7bCdUfEKQ1iKjCufzwzoHKWSxvd6UrHThKLXi49gBEXkHmBX0i 5gFlmyIkhB8EJgpoSEyytB0MrbWanTVJltQui9seXZUhO4hQUG1FrTrUR2DBRcpu8IE7JZoEvTHy OazktzNd9WZhS/bZdgrg8xFgdlkXImUlwgFnDSy8M2lYGPW848EPOVDLEvNiKPGICcNE+nCtWGHx COH76/EqKPTKz0g4oJjcGR1NdcaarIDAi1ODA9QwIkMc7Mv+Lxb4HfkVn4u93Nhiv1YUHhW7EDPS PdpebkkzwMphuKrh2Xo0IDRLsafgx4p2ZpBTDktUYzxTwrwk/UPRfwr0JxTy+NWY4zn0YxV5nqM2 0uJVEmQUSIrLRyPb1LbC2eSh9K+nvsjCZWY014mGbkejIauyB8azTmXgKh9Ccg78zrIk4D8I3X7p 4gaZ4/7xtBdLtglFRbpjZIGAq+S8sc0ZzX8IAtlQAi22Oia9KSiEkU3g6anjHNrw5HP2D0FclbK3 oTtRv6Xr+PX154Cwoh9Y1WXepTeDyaF6LJw69rZjKoWxIGl/PayrwS4d2lcWvWh2zlGXPo3MQVUm KMDOUKyRvvkvJFm8aDc0aNYDAyhqhlKjoAx3UkzxnK9E6+UzYVl697jfMD0zc4P96r+67pogrUsA kPUsjwEC8IlLy0EzP7kNJ1tS8YDDMP8alEpEvnDzQjzGf3fEu6HXls/OSn67cPeXg+Lg/no+zSkH E+6s9zKEm/CizSXR5gDocZdI4JaY29M1ivQ/G2YbXy7Lj+kXeTUn2DxI5lS3PTeTnZXP7ZabkWlB 0+ILHUlbv5h8gji7Wq46a1HNXYXdqq0LoKiCYYXb5ddndXLtioLuJfrEvetD5WOT4Y0mDiUrb7v1 sZHOpQ1ufejiPv96ML1KN3rv6+bF5fwgqYAeHyr9NgCQccgdY1pcGzhWVIaVfEyMiIncCM0Sug/u LpoWu20GJ328L6PqAlN7mehFfruR8Y9iBMCJ5TZWF/2/RmH2gGJuVt37yBCEgvxW66e9Zt3GbCC+ 6g858w1vwMFxQQQIp1LmF0rL8OuMc5kQzdf+ek6MFJZhD32ZIpwARZHk3h7pYuJIRTI45EEWUPsl 6OsJtyUTZzhcoDY6AWbbZB7zc1RBX6j5saWdPtOqjJz0wp1pJPSrjI6DL8SX0JEFn4YIfIAGDKh3 X8hkfkx9XqeHXWFu8jW8twuttj4ir+7ZUzQthLjws1MM3xO9m7uduuuY/npcYNcVp/nVaDO9yBoB sWqXy8E2qAz8Yy5NH4AscGJgA1Uw/CoovOm8zE+q44i6RYvZGHgjMCIRQn3S/KxLvtxHv2BvD7sB A9+BhLpsLnwIo5+TIAoNdA1rJasV/UwUufaWkm3gs7tOmF0OORThlMrqF85rEeFPaN0Bzj/UBlO3 Qia3gxRDKrOQGmxJzIhClQ1Nl8nL3qQ0UjWo+O0Z4EltAGhzrAwMfdPZDlZ0+RoXNfDYF0PRSaBW y+nI5dj+V10XmSX8qW3Huc4yIP5DOQxTezaFzmgYMYtcKfRW7lEo9lBcvCrAYu+JoyFYIsmJwVmr SeaB4gtruj/CShK/H+E62Dxo6GfneaHaXYNXlf3H/v3X00VUVbRrXP/F0A3Z+MFiQQhYTwzG5SgU xg/QQSC1hVfnHCCXKtBprj31SOTeyF8BqmfYVVVHW1NIK0s1oIPn7qFeiLgZi94/unOzx72K0tce FbvcPRJpX+vsqpGb+RuZFqfEGHelqsyZOznSX3Pulhe5onJyOn3Q9YKbgVntFBT410Njm4wKrUHZ 6pkoYdB0Y9S8H629g+zqGidjslU/bI39iYVlIjPJP1xyc5IhWo7StukbxLrqoO4Z25MxmNddkl7P wulxwCo+8oNiCGOGI1J5oMGbmzzKWMm1y/DiFn2eYkrU95Qug7ZPaqC/qHRTfibpMdDFp5KgpkiN 05UsMt4T9tcThK9m0/0oFuIFFKIvZdFGOuJYuRqh6pm6ApWwwGQi08fim8MlV40r+KDFadfVK2eo kZvGeU7pwefTvyz3JRAUzUBPonu3lCwNA7/7c0ebgmx1+cw3VQ+cC5sTnDYAA8bMN+XFIHnGciNF gyDRA9tofVpG/cCk251xOeVaKDXHQfPXY3dk8rkkoDwZrxFs7fZbTL1ZiDYLSkpt65IehRxv1fx2 JElVgeIc/MgydXQH/ziAZfwmCpyslUz9DnmQ5dUx+JQDTell8IwRslUW69YaKwtxcNySkTKGQD0l gLdnmZ6DFdl5ZJzLwdMjyuRlbnMsB3RHtDNMSp1ryVPzGbZBN8Lw15NXq4Xu3I2vzuiBFeez38Rv NkGdpZv8C4trdE3iDN8vJG/DvjHP/j4JQqeT2wTjzdVmt7ZBO4+XkGtXELTcJDnMopQqNGcfFupK ypud7VCLc0K88nJsnar3gPS8JBlI70rB0j5Z3Ts7a8qglPWa3nwo7d0PzSxbVAH2JAadcv10+deT nNumUsv50YOn4BKfJhFd0ic/eyihd5Or4HlhLCfquKZgIbFQC1EYGQJAUmSsockNZU/vXuVmVlSo p7fqIUcf7A7mSg7UeZuLT5IX5VLmyDaSyqyJJB5EJ1UgH7iwMwhTZ5dInQLCefRtkw8UCe/etoqA Zq+0xkWIqze/KO3es//XA2I+OExDDXTd8RSkTdN81SamRbfE0V+R2vrIPFlBEd40xcsEloAB7kc8 FgkGwy9zrqwtSXqTEA/BhQZQtSkqSTuL+70G/VEF2mpnMmKIEOMvDDcv+HzWNkV88QRDcwA97xvo BeVSj1GyK+0R4Uo9oCg3rE/5KiCi0e/+OWunzIbx1+NYdtPx5LKDljwqWFd9Iigzm2Pt7qfrjkIc r+sBuJ6zg9tWN8X0ZNVZ9Vvw7uk5pkZqrwA792nphsxgVBHKEVWIWSD4hsc+9B8kk1d4cmUzWzGw 4V6p+oQjpjkDeiMT/zgyNjXg1QfGVx7klWd8dT5Ldf+oYsCVR0U9hnQwMYbW9t9/oBkSp2GSVSv5 RqEKcfYjFYhW38SX0IOWfDTpANkUe8Z+o5sXdcrET2hBobhU56UoGBc9hxzJjuoY1sJmP1K55jty r26WbR336OkkfADvXTQfLa0OaLGxkGqG82VqMeQu2zw+bbODstOP+jAua8nLf2tjZLCHOwXUTEij TjXjVieRfz0kEozyCWe3HN73MJVQwo7IItzwGJfQNE/M6tFkcSOrU8ClrQnrmviYMw0IygBFYJTM Hx1gg6D202Ao+QQx5/KuLpaE2WZL5wBPSpG2jNrfEkzCGvCVpp4Ai+sU5TCmFF+cKKdaynQfCtbs BbL8U6EBirZCxU7cqDUj+idJ2mW+Rn89k4sfprbrJ/ggsbybjCHYS5V1VnQju9WtL2IMTItz869B H5T3H8+6CfHteNaEbQFD4zRkler+/eesw2hGGdATZn3mi4Y7ulu6EsvIc7W7Iru1gKOMrQxY5wBY iWDA44PxM6mERDcvMdR5MOtv6F3+bjcBgBoxT16/r70d7E+cBoZ/PezVrJU90qYHUv4Xwb1i8fjK x97CsE0vJvtQrFfdlu5FGryIRjXyda0NrrdcGRcXnB9ZFu73PwKTuwmIXvxpSfha9wUgl7gV8Y+E w1Cg5WTO5dCO9qCqKC4X9QxFCkuKK0NEIh8bz9cxd6BdQuMv/8rqNydxmfxZ6/l7/PaUx5z+X34q YIdgQa5UiTTUm04pbfnZ1rhFbs47TdSbi150hsYhgQfknaxRg0jViyIs5k6Qt2/47qdFxeVi118J F99j4uJTwXKcTMstF8Vqe5krmxukzThbKuxtK5uXz8g7YxGF7UeIZ1RN838eTciVsNUjKSbcNzBP AVC2KVD5xxBkdTRUDFXR/U7nurrLylAI7q+sUbQvoJAwGvpcJ2r6bEx9t8iZxg/sbsoJSpxOdCYh fN7g49V0DgleLYAtSDwhdey1rNTg0pOZcN0IFia1y6R3NBtJmQsr104L9ekEJCVsQiGH+Y4AzPWa mzM+QMP+IwsMRBbwjN1Wnvt4QWBtJ6ow4ngk/+tR1hdOwHomicBMaEhWuldRnHyrqRgdjRv8hq9p XA4kQ8XitwVczNxOqxyTzbYrDeEBQBOTEcZUsyxMGszotfuELfzoG4OrsVLg/mx000UzVmUOlghT IcQzq2Vx3P0pjKtYQMobYewYmHFIHfzUG9It8hN7oGNgIKbzcPlPmL27Wu+vp87YtO3FW1tBjbxo PIaHOQpRLIZbm41zvhBvy0Z79yjp8yeSAdFZ9G61j/zBRb+p6FSEb6ApOD4olsvFAJRA66SUgp8R YxOjuAuILvGwFLu2Rau+LLEvoPf4BMZErlDsxy78sRjTwbtJvWcFKVJIaEwBb28+ylxgzKybH0Yw pKzur8dm1Gowl87yZKdSEntfXt3JHilWoANBQth1yK6jklYM7+jlGT5dDqkBM7pmlSqU4Kn0J/10 bvNEE43xCgQUJeqLwFgcvMVa50axAW8ELigpXOM/iAlB0Xv2UvKAHSyWHdvulv1mFWQY6Ds9mXUU 5jqNWMzWN1vOYRiha+3fVfihlb8e3UZEk3D79bfQAUEJ3IRdEMWfxldu3nObrg6kjXWuX+ZUBfaO EpoHvM76V11StI4MeMXlWnh6FBYbm8aJQxeZaduxbp1+58Yf/4EnGv9A2JtjeokTc+aJlkgIH/iQ Y1SmEWeXQDDsN5ehEOfXKRYlv70yJaRj9nyEKHH1ZN8JJt6/ntWatMI10JbLKlGYlpDqHgNGhxkE g0lozTF0Xp/3GkqEpMzsGpxfd99a+yvXzgmtOJ2AzbxQGb10SaEqoOUUiCg6madVBPy64sAI+HcZ dwJbFZRxFTvLp+a7wMb06QdraYMNOnvm+OuI6eOEWc1TiyOqmP9tuusTwCscjLVESDMdfz1Hx+Bv XJ4FKuGWjiWSZlj8SoZ0ouLKmww7AdE9CZgW/HfJHizVCAjx+ZpIqi5DEZqDptXyUxHIdWg3Xu2T /IWx3RkdpT7N2zF2Loy8HyF+0vmw/CwQEyfxcTZ8gSm7Hyn0RFJHvZD6BeaugFf9g67YRoHSwNdW 2nszDwfPDKkxaCX83MJCfu4lc2PpZMr1u1vDpq7vP68xEyRknmoYUn40w+2dGdiSaWu8oasQ8n0V 5IFZIRX/ye5CZ6dnmfuVVCYDkiYuanuC34Llf2okri38Ou7Mvh/D54BZs2T8gP6LTdkNpWuXWJKy Jfi6stkyLT/GqjhkEmsHaIBpCC1vYv2g7NLsvABS7eOnyvuvoVfhoOGvBwZNgwhdT88dvmT6HUm1 UrrxhNUnogViKD76onabLXSzlNhwmv9lawM41g6uP0VhcwyhyXLT5o5wLMg1KCImMyZUFQIBoq/w +4SelqOg37eNfbJUpYZDGsqguEjhHT3SX36pmKx4fYe1FsJbpcQyagl+1p/ewJumZ+rKPMC5K1Pr ryfTaZyfcPF4Wb8SUoSsSKxumtZuS/S6EmJFyDzaWdDCrXSPy7Jv0NPppjKtArj3dbjAiSLHe9GD 4rp5eCe2D4BtQl3/2D1tMSHhrjs7nfyVcgafTg+4VlSLe4fhViijL6QEwZ8SK6GSoLKorsam7RHW 3ppTpJc3JyWKDIeptndS6a+H5Ijd63gnW1F0TXWusZGQsEba3CGh3ay0m3nxv5ieIjC3rTDfb6EN dyxBo5V9fAyi5Tv1MlluEH71Y0voOPG1nkFGsWXC4kB+ddQtsKmw42eMgllpZkxqvhYQM/KvfbzB 9KdrH1Q5OUbZu1dME54NGjFBGH8HJgrFnnKCXn8RIYW/HjwaLHDDrbmCB/8WcPO+OATXEeCcDtQz cTTPAaal22e8C0bmUwOn3S+Y1uQRttLA1vWg76iCMLsHeFVpcTlxqzHUwko958SRbFCE/w17kMzb SZXnFLA4BzInfeyaNVGd+bGDdv+tasaHFJpQvKTPYtzzdARUjk1jOvlcbcxkQkOU//XIr7UskB1q neFSJqvoP5f0MJy88kfYq+EUthUXIib1yB7BUzzkJwwyGcb3HZNz+KmcNnbAeS+SsQP9w+3pUlK7 /ghHp4WHnzFtZxfNtqA84X98XQx/ITTUjwxs9rAkM0D9vDLCWyYBGCUMhZ0H1pq+koViFQbezwwk JkAsMG7KMP7r4bjGg4IuETXcMAY9EADLVNIf8VZbNkLcKiomueri8YNrqM3U5PSjMbdm1UPwRznf oZ7pcr4Hw6x31Kj7Vo0J0ZhcVi03E4OytaBOQBojmRuo3z53xH+lwRj9NTH3Li26PdLl7U2a4/Ab vLN1mjeZaVcmwicWS6xlvSstNHTfR5j56wE4xgtwC9wnzRWjsIleO/QAwCjCYxGJH07msXSMf5T8 9dyO3JwJsBv0T8j00L2+d9hTpI8+7UOvrhmX6y7AZ0wJSxfj5DSRLM+uKXPTYBa0LEuJPpxqE1LU SR0UTQVW+Zc2/jwwXEBO+IC0nPBdZG1OyvtBO6OkOxdJXjqapYMzsshH3XOEZIo/ukWEFA3dZOI4 utm3j5COenk0WafXfNlsx/W8k99niRlYpe+cedr52GZ+z8ynOsqSeQPuCLy6mPg0Jbf25KI+JPmm PfL9kmMEVhV/edgxktRsFQfoOWtEC3inum4wx3Bi/6r5n4ZoHs9QInphg+QnfbKs92LAfJU1sv87 WBE5Sy0dDatpAtViFmIUFFTdoAkJgSQDi9qzIep/GwNn53migEPYrAP26k7gLYj5DspzAB4impx0 GajXsCVk7NJcgJaqCArf2ep3FmFSOU8SLSD9a26GrFdZXsH+DndbCJoEX9pQZ6eeZSWGNdgeTtZn tJ+UhP9e+Id4dQ/TrRzDTR8rrzg3/TfeMOx9DkBOQ9yiOr+I+fSoYwYCif/e4w9VohuQTOrvHG5I jQghDMS/tiUKD7lZRDIA8/9pwM9jLvDWpbpthhWSt+mFvXRrirZmwO3uWlYFB3x/2shHZi5+buGR Y3oBOxioxsS2qFHPycbNZoBttGZYgNDDo2AHQ6BGPW3X65tbsF53bkewpF8FRPPt6Y+KXqp/+LwY 02OT//XgBOz/IxFeBCJ3Q53sBnJm1tbKZyemRhCVjT97srnpYnrJ6caLLiQ37fhVyf15o3F8ASFV O8zR7sTdfA7IkHuhe/e3c6V1KYoi/Ae3XJ8y25qwJD/JvVyMgOYFwndCClxtw4V7fjD1Lj9cipkM Dh/WqzooiIGUOhzlYZ1j2TfQdvzXw2BE+LrhuqHlk30J9+IfZGMBAZ1UjhfDHcq5iitDylkkk9x5 FchRqxnBseL3xXMel2X6ZvMqp9yN07JjLbX4WU3Xa3SsyYhy9uDgty6yMJsQeaTb07VKAzQ4Xtl6 w3HpuYICcMeld9ZYtxodoZOG+c8wl0qX6DtCe7GIgV/HzVHwryeNjR/mefluwAfNhZyXgRgY7Plk LlMGKlGvG26oyFqph8wCcwrsTo/9bIqkIyCG3SayVAkLElpSx5kTFakJP0OBpC4orFVXRP/QA1Ze 6s5ok8bt9F0+lcIF6XAR2DTQDLRnjkW6eCoZTWq0L6K0+jZcD+WWyJ+iR6NZj9kL15nd769H1lb4 GMJVlDeuFecf22nBTjJRWAXcTFPtR3U/GmvCCbZacTn85LpVqnZlRPloA5CEVofLbPMhPe1q5X+k YjV9HQyDKpvnrrA8vpZDomzBAYK7RIS7524hai0N9Hk++y6vXxJPsmzG+fSJhZR3r9LyskLwJ+2O KuZOKhYDzV9s8Ud/PYKj4kLHLQ4j/6UY8D9yYMEIBp4TPcmNoDmECjbFKGy1IB/HFgDHs11wvRvo eIKOxteDvo4W9vXRe1cnEhN6gIWhOHV5xM6ueT6lv7GnSNoM0Oet3Dutn7592WG+4NJ0lXeCPUq9 usBGwu0ZyBZgDlEIvUG2kIiMHijAaP0Y37b6//W0HGYA9ytM+I6Jxdw+oCEgvIbNhZm88d5dOO3r 9R0nCYVut9zJ6GCEOAvgSH1McJ1WEpFylD46+XJ4YHU3mG36I1/CB3DVkrYZsDmAJzsyIhjb0ESY EgEAB1ngKnZJwpD9MHOJzpnlsEeTPKlR4YDwoVkB2O/hgLdEGO6S1/XeIf71lEgcjNy/4hhLisbP XoTDNxs96LFUdQY+lXhqV0aOjKtV3dVIjzDUc9AVLPc2f/KiTpSivENQMpnoP7R9HMK+Q+eqseTP w4HtQpmeSGswcsIBb7UTSeD/Li7SpLcsrKuiFzKeuOQEx/uts4zWLHEG8cv2Xb+GkmzSsd7r6exR 1af+9dz/r+VFq6Rm6jJP0yqH8JPEHuWYqHgyCCLLXn0eYk+eyh5cOLmlBD/8ok80K80GVnMFY2rM CITXtQU5wAFqKzR9rlgq4LwFcV22pVp6RtzquHvcrI6ENs/kI4ZEXcesUs035U1mw0OQk2ouSCL5 6qSXakSb7m+KTvc749vwmhv/v/yQTD1d0JI3b71MfJDIwfBivnmCMwOoOIYkIS62xTrxrdAPBPyI wPeBUifZMb6cGppYtPBpccLVo2qII7rwLtj524JQFWypyxf+St2hs28HgW5FAT+rL0k9k79A0Bxj Gqlr5N/jFeyuzi4laBvHp+2R+nqOuaL08EzVY4dhe2vYcf31gLsNXJth6gu/Q3lX0njidubYEudj UTdAwZMlKm/omSMoJaKOCNYO/CdxmKpbeUk2qgVigJqd9pi9QWMIwra2wOi5tDeWlSKPuw+mhBPu AyWQ7NlyCkAujFde+++H0JU6HUctuamhcw0xPnWw3VuAIrgF90M0xSOBW/7tM37ujOm/WBnGZ083 xN70XRFWgwBomP6vxsz9xpfYJrmxkkQiqMT7HUzPAO1yIXW6q2QrvDXUD49JJpqrUseyDeg8ByFm 9Q0OVvZgtnbwbOQE3WK55bYQPr+NKc98uDr6o6kejGvhHQtUNNE+jZGYRzC6fwiKCIuP5Dbx3tkX rm6zwLQkIz5/PX2b4dEipq+Nffr2qWPyXlJktxF0r+tzif3jcc14DxX5PXpbN0L7NqgsMpfjLHfE VOOGkKMuX8CetiL4qhBkcKZr+ycXFMUodm0SBfcl+b1e+Wq64s06Q9vtKa1VkSUZhjHdSqk9CpXz V+yYrUjDwSoMTJVskEAtlbu9vPHg8Rz8fz30jIM1+tS99roEH4BrCJJXMBd8YgJaoku5P+JxN0sJ 39ZWZ6q6omO4oow9DfGpl17ACr8xguwi5LYh5+0dHwQxUg7VLlE3o730VGypGMvzQwzYCRrO/BvT JLmKTYNd9OQn99USFk2l2MUV08Vevz664CAtUfaEoSkyHm4bqpSPAn89w1hXiAXrSffaiSMwVbAt WJD1P7BtFKiMW42ldssDF8vgju/c2az1PMTRl27KKZBVzzqjzK5b8xBiWATa4d/HZzv8nZbxrXuv n19CoCn+la4tQJcf3Ldp4k1Hjsk4m81tQIzSUTvae5ARaywsedC5IpVcbbMhUCtavHgUZOdy8v1/ PdjaK7HFxyyeEFdDHDY5jzbhjppE7Nq6WpplHrfpoLq4re8/LB3u5zRSSbD/DeeoOJ9iDIvgfU9k lorF+Nd7o/+zlc72sP0poFS8gUB8hvzEToKrr6f8hBIdzPrPP3vbuN8nOutUkCFew6OxOIpkkA1D PX3mC85kBuTVM+qqXYMt/a9H4dzRxCQMV0mxg7Rb1mIF03gajzCaOW5InAepbJqpT3QogL+3cvwq 9uakdHaL10mwMXec7rmgtbAaj+xcJgghRYNiLaXpCO5WUvP2MJF3qhsXJTsv3/b0vevCOXneQMjc aWule7g4NExDSouzN6GFnyWdqNfe9SN2K7SexDF10OavB5GvnrVI0akm/rX15j3prWPdnMObrJIF ble0utD7nZfGB/vgv1o2PFQ+z92nXYaj72NQwvUB0Q7DU8URP7xOYuGPF+w9Sr1crkGT823P7wbh QAXtBA8gCmHJ1kwOiuRDvndYV4owHsCz2Gix8VMRQtrFUOFEmgtwFzSNlqP+NLbCv56CxUsxhnpH 7fxxkzh5XPrlS3TMztL7u4EReNkX354QPezUa/iuLst3+E3uLc79o2qzXOXsjZeFT6B/pn75nDYJ bj/UxRSEnccaqI5YNuQQeumq9Lgw6KNd/qqYZ25jlP/QRIA9NNmWUU3HYqDmFLoxnoRYxQAVRrgK rmQ836u9kv96LqonO/nYsIzAQw4vJ5kcJ8vLm0/ekCsEGSpg2D6QyMi6qTIGd/RQEk0lhAXcU+p8 MOC1GGUr+nzQXxsTFWzSLWMc8hjHWqTgCsjx2oggajq0+b1N6RPcEKazJa2Qnh14aF3fhQuKDAsV AbFO7zdgD0xLcHyWJZeZ0UhgORrXZpQz4iy7hcXYqZEvZ/xknsQMF5E4igS2zzP4uXiUuZ1w3evg dc8WOH8wXDLvsBbuRKQW4WvcM8SocZ38mCPAxMM3jXYVHUnr98ycV6TRPY8hldpo+R9pQ6kahHot +TRWMpVA7TLUiO8SjZmOjpJcTJpv07VkFSwK3mbornk8f7OfWFTiNCopWrZZc35+RH/301n+eujK cW+CIF2mdF3GIaUwWWW/XOYTijFd2O9uoHYOUu1b96QmGn3V4wT9zgfnyGCTgm7Cwa/dntDw2xe1 KCeGGh8HHGMpMjnK8XGWgUBPVFfuZwcMqcOeT2SgXLNWH7yctEJ7TAVKgOSc9jYZQ/3KOq3WsbZz T7OG5rKaPPIHJ64z/nq6xDDCFo3jnHicpQlrD5GmPDBprr/6Lkn2EPMA0ynzI6UPZzXaCaVHVDNx T7xKDHKLYTAnyIj1yX3hM16KuSx8+0rQrkRhtOmlIK5wUFof9gsbITchmuajPqrVTx9DigZoL/MZ U9S1ve1e4isCIL61DMq0OshZGAypMe59q5ywA/963uJ4AnTJERq/dkUSthdk9h+uO21bZzsFzraf 61m4Q29SuyZCyXIXeClNupPxlKKm3CFSHnooeLE9Y3NJbxksVkYhPHYjT77WxJJ/a7eQbRq9/qHX 15ZTiKe3Lg+ScYb1RLSWQAJsOnidb7TOVQFCzc9w2wgGjgIs4XGvqfojaPb/9SwD6R/1tdLTzrEa iCF+BMj8gxuMoMW36qZhQ4Rq0Cv2XbVFw/edvj7VeebAOjn+BqFb0b+rLDl9kbBvkiOaS0MmKTkD 7CtDiszEQaVnTL2V6AE+dLoaxeW9+RdxFp66mdksAyud/VAldSTOmi0uHmvC9ZhnsuoEqbup0mTE qwcG+tcD9HNE7MVm0vGPkqwZkzwiutiaKH3o2HqDs91AOd6Skr3/60lIDGWUP9b2kGa5qQfy4lZ8 JiUCdCQJVFclKfpwYDJgz+9NjfBsVm21CTOo+hTpDac79afQHi04PLWhBqfH113LB9+HeiQIfExe XS5LvzMFYMY9uveFiL5xcbh+J/yvZ6oB2zb4eL+huaoY1h0RGSqvf4gZBvjp/NKPCptWSv1IohUZ 7xY6Q+MhEZ+w8t5vRY8/uJjNzlEs9lvS9l35Jc/NEccnbwAXmpIJkCLtXX2ob5EI9+uQGPKf0Ek3 qVER8E8aXa8w4ALjDswDzBJAgxudAzorv+I4qU0jtjANL0Krnyxakvzn9MR246VRpvymvd5GwsPu LSp9U589vGKrHqOKqKSBka6+bOQ7V+sRN+WLQwU5sbjoLDqHN9fp6O5GkBLTC36CrRu89sKHp/H8 lQiC8jYTXCnhdCkQrDd0p2Bue2JPsrxp6ZRVHTUXM70BAkWqE/rMRVQDI3AAfHKv9XPh7h5BGHro aSo7Prp+Of/FNajwYWzGrfSOvW3sjn7xZZSIUAam9vc28M/wzVdoQc06XaldSeJphG4Nkf/IvdkN EDqLOPfGbbl9tjVr/CjFRCB6tLvcPOSDYHHItqnbUMtQIw9mU2ec6/4IIsVMf6g2T1gBikWzVZoc j+FYtkDIyzQk2O6SxjpX01TtqfiJut/nBvylR2YG0D+HJxxCuZVTXa/q6ZaeBPwRON62MI9Ohuw5 fOcfBY9GnYiJFU0kXBQDk9mruED13PwKfP4zzq25HIfWUWEHEuTQpvuGz6YX0uxreqtIaVxZ0uut m0MYfrOtgRbjTBS0ilVAbLIwNeuDmDcTJjiwrE7U/uPo24QXOLOtl4wIa+mgO5xOwrdXNjgt4o9k X32He8kicv73nrGIJb70qxl88N4nuALV0haKsjkWesXpd1GjQo3zujjvWTbT7NVQg6RUqjSokiHy pdYicXRxJNw8JLED1SrZGH4BS+zPV5sHXE8wK4qproSs1hQzQzjDXYlNp/PGXuN3M+wtRNd/bxt+ L+69K6bdNkhFxYBGPP2IeawNcVHngdqhItfUIpm3h490SqpZSO0Ru0cNfz3PJKWHvNE6xnGaA33i DEpj4jiHxS0VjdXElCy4WzIMx6jVaANB7pa8LStaZ4FV0YA+5AzCLHfw1vFmoSneHJPNpKZu1rc/ 1lrJYT4EzPx5d3Gi6r20x0iDpgfhnhQH9jEA6bK3K8GKpSP83mLCwc9l8r0ht3kG/6ExJZNY72u3 Q/z1UCH4coucq51+Sd9zyK3/h0LKJJQZpSIZQC7ryfwy+67RVUXzPg6pYhD26oeJEngyrOkL59er kY/bar6TF7r4hJMTwJoKE9ISipBmRzSVijREj8rmTgiZq3hliV7gKuxqmIX10wfVBZXb4wqMSfam +quMyEPtqmhLNyvD1726imbz11O5vUpIJMwkWbkBcXA+HhpmRjtro/bEfGyZD0jI8roFDI5umQBb 1fyMvZlne/YMzqeF806LrgUost4emgKeCHj4cRnYIdVreHrfDrB3WxVCxsQeFTlyQUMgKn787lXB cG/S/xaelhxpGyIUUGcE2aE5Fhoq9OnDNM9Oj6hHmeyE9NcjeYf7Y+3fRSV84fX1wZHrPwTb2ta2 oABVSB5voIULfkBhwBywMzJpUb46Qxrb2N4LPFnp7G2Z01kGe/FUdcAvzyZDHe1K6+3JX7giL+6M PftMexPDTabk/0MNfac5rYlo6JeCGphVr7RFMW9aPQZg9Izl6UYs5w58oKd3o4GAofnX02JyZaxN 6dkWt4Vc3eaGjEHCSin0WqcLT9TwobzX6bCfoyLMeOoUnlVQzNIV3EYUOPtIuOtGanjVH8ps9nKE fRrUO9v6uPzlMl1eOpzuz8BCx3D/VpaLeMX+81X7OrkiuniwetlaMO8rKXH/FI0w57WF03h4ajP5 qHgJH2bVTOL517N0AjGkku1ROq3xZik5ocG69Ij6GGn3CxSkj4+wbNzO3O3JpQbf1abk88lYTyVh k38W74Q5SxOS83xubhVlzTtDTJkhbn+Z9eItPTKUUgnzLmhQCnq0igcU3CtNdmbadX5y/2zEAMxH a5Foq58goGdzLKXnPkAmkb27vVeDdUur9NeTDfV+/LSxKd8q0q7AXVKDgzwBJipCNjv5bYkaQZBH 3L4bLDUjPpz3U6WtW+WZSMK4xWG2J6JZXv8Txmlm8YzxH06KLTTtWMimVPDYRy9iQdFrG38f/0X7 Wv9xXj5Ngo3ZgAagidGNKZkjk7n8aHAAmR8XtmDUit4xqLrl6e+d0Nbf/9t0JZEI7+N3qBhdwb6k 8JGcMcT8wCEDKJOtu79WtR31bzO8s3vVU6+XbegadA4snooa3BCxL26lQXekueTQ0hYlr8cDI143 l1sFpGPiHBhNd1aWa3XNe/hc/Ds9dNuh81qH96A72lFn/37QcXIde6+ovOyEQYkT3q/ysMbLeRle wV8PLa6VXq3emmOl/Fc2SJGe6TS6CYkY6mc6XNIQJC4v+Q5niKf6VMQUuBU8iw1j/4Lf50NL1243 vXPDJppRX8cVS/67ghZLUQ9Fap0AWJTv12B+qLx1jWInVsKT42xUdBMOOkuPeHB0QHVsowFMpRfM XqjhBVb5S2oyRjsJZhbrusYW2n7VJuzCKE2y9Z0yvWM5ATgBgBaIkmukW44dHMnZFbPUwe3PXGq2 vhPHEBzhNDS+L4WmmJz61SueWXLWU2b8aQLk3tolatDDVTTw3t6c+ulsyNvIx9JcxUNaXYcAOQBH fbsM1h1fWLTxbVWvukcawFAqFQMD5XU0TX/f9AzrLygCySihqFxsdR/UbEOFiV1yQQ+zw+C80kPK 7ddeycXBxm13YNoQjfvP1IArqcL9ghywVPsiCTOvn8eLvSbWSpz3dU3lCkD0KYGtmQXwDjmuaJ8L Vwi93+7eYqDjsZSRhFqHHKmOKIovFBFa/dWyQaSvUrFaxzr8pNees2Np0N7q9wsTjjGNAHBAbVYQ ozlJ8F+PY9FDZMRnjAtGD+Ed0VRVZS3mRhJvb+88oEiBVRyDjbMp/wPWRReUHBZ80iV2WN1Yn4Zx BzdTWM4lZVxe3nWcfUUKjthXAXwcRtXYGvcGX+s7967Vf9+Qp+HDUQgv6jYm8/496Ea5omg1yZ6h TAXz5laYYyQuQk46/+zBd/pOCsbeDz8iv/KK197MnbM8WnoChNLjtr6XTNjl/XOMdm5vafnd6YLX iPNqYB8UqWOAlvODyughhSkZ9wAQK3buimSzoKlEqlrYZ7Wto0df7HXERJ5ZQEhJIRhmD12WtPdB rb6AB8ixSVGv9B3bIeTFKD2CRW89fDUg3Np7TwdzRjkxgnvf499bI76b8xLJYwd+czMLM3vh0Ztp eQf5nv1aQjWEwRhJ0qgxzkVNwS0e7wsCMHcMUGGmRvjcmDD8HbjhMKCiBphTnofYj3OqQU3FWO+Y jVZXOIF//GzH8zF+x88YhJwwLiqMfJe5HskT8st8WNqc2BUTHoy1WBEiEPDKc2YBmP1nK2GShDxh xTXk8DczyfXyQT0AKxA8cylCiCoHQLT/er78QtawZnF2LCk54CmHIj2kZcTJpZfA1ompcgRm9jg8 bn2C3/Tikr4Tw5Q08TGt9d2cEpl8Ik41jgczkj9sszgLiKEsuNm7tlwDuCvjrXW/vXZnEP6NEKjm dU1HVO85Vcdf8p0b9Lr4Jh4d3oedkmZTzZPye87w+qCzwjW6GGGNgo0K5VrXHWhH9/YxXALdnqT6 Zg8fVwTeE5MeT2SrdxSKvYRSu1qS7xcXkEruVS1/cDn+lG6gGNzcOquu49CXybVlN13LxYHwWnmP crpE2x4XWQpMrX9rF35tIUWl9PRMirBXpck8fiR3YM9cCH0EVF26Ll9HK2mXkryWq8vYiZtYdrYQ 1HajaJvMPCrNzlsv15YfhQzH/hu/0aVilitOg/FiARnCMGE+0/MpFT/d27l5hTdbcUN22lVlLbnH iw1YMa7cIG0wkWSqu6UUrpwo+xBr3PftzsgEDZZIrTad7jfDHKJe0U9iHmoe0y7zRRC2n7EwV1G5 BYMZJJgPmOd1Od+rLcR6rZs1ZJgg/LCzwyEsXcE07Zb73oPbStZKiEJ1fHgSihsCXsmOTh5IUkYT dYm2+CeyWTz6NMaQUy3ZD9BjkEYRFuZry8DpooeRZEUIe1f02Nb/pRCgl/KfjawlgyOo8cq8hP8h ppXlm75t3kyAWHEEEpf0SrHpMTpAz4Upx1BxHIL2Vf423q9G8tjNR4ILPER68QkNa8C+V6n33rJ7 Icb26y7wXNP8BnZbReMc3l+PrSGRZEhZHqs1XTlPqlgJEOJ3Q5pGQ29YxPnNlQBjxsKUapWfDRtD kEzW4+3N4j/cwj1oOxoh1DGYdWAqRGwg3CF1mbovYSrWHw1gWH0iT7+MPRY/d0x0G/n7sWVPd0qt NycvW5oX8kjxL2TzVs/ith9msVYXSqocLNdoflyChl8DUnzVKYLwwW/+j9qgM9PtrjQbaAgopsxD JorUuRo13142w0heMx2xzG0qDG0+qjBmHk5CJOnfW0FGx8AK1LQNTQNeM9reUsYdKDfTbk7L3q2R mEUK8z6R4R0FCsUwtFLf1vl8qXmIXHaPsrg6rAiiYhH04dUVXBAT/B5WIA/o7wuFiEuEIUiUx4cL 86zzgsa/nvRjT1qWwzka8DRvOq95l3vMUzKgn2CvmzKjECqJiIBm0t+4FzsoY+ZUbGWhdJRf2HkC tEQsL5277K5jKtBgcwxPbp8qzhfdNZ2+oc9SptElw8oHBuPG25vcHVvwEBVcId2uAZiztTBWx0FX fWd5o2bOvFS7elf66nJTh4lbMD+Jvx4zuh0uxPF5hnY9gBpdfUA/wYGVCQWlKzTVhuUT/AGBKUij 3Li6AA+SS2WoXYEHZrGmz4VXdkSD5njGboUjVsE91seVi9mBxfwkoS3EgCXAQEqlc2VgTQ53h2TE 2QNNBktzJH2gS3mDobM6npAing9ticH99Il6PDrUF6KhMcaPfvM9J1uL7eHP6Y4DRvCS8fKrAaaH 5Rdm8Jyq4Xe2LFSzz2OCeR5EprKVyckxZQtErITk07NbxhsU26MHSGakzBzP2sKp7BPoAw1atAG4 ZDHN/00IXNwEjqSniOoZ4c8T4tS8CICPFoJmQJGCGlStOFZGcUGfa0bqTqmayv4B7LYitjmwWZ+V 8I8eapS3Z0k8M389IVbPhdH+QTIsIdH6lkd4l/3Vk2JVPCxr38QEtUtJGnZGF6jX0vj77kd32fDx XxEbxaNk7Ygyzys0jTmdlnnVgHJjO0X7wAnXZOUoV9/k0HV8VnfurY1hClLsLozkBOyfV0L/jPuQ eSJBFD7Hs8GNjEoXWAJfYihvOOEMazNrwDT/esJ3qEqzFFEHQfPQ17I5e4uC/nWGUjMGUkcOi0cv 1hddDhVTOSykv/KEDtE7iDoFRwpCoSGmLDlnXGR+u1FQqjwZnSYhAWGYgV2ucKJIQ8sa0UcCSufq NWs8wcW8KTyuJksUpO6pSRh4+3aQkJtOZMFJePgqwTan3asUwWc2ap2IC5aSp7gky8fBm7uZC7S0 SdSr34O+gBSDd6oSZviPfwiqt3mMJYDpp9h1ktCgvcIKfhzeyQeLBCnUPe/6r3lDwr+cS1tEVBSv EcOVMvmtvzq4XScqcrk7eRhDbmVNfpt9dwBWDqxaXABmm1CQn5txizEF9st2kF6LDaTtd+bxPRQl uxA4RfPXjrIdmSBrExKVHjWqqihOCrbMp6QX6n9PrqncZGikqNJZmdUedB1cG+1POTGO2wB8zYTE 9mskpNbgsEywjg7ZX2nsrJNccxGDp7/qD6qNYxQY+swWBBhnxuw/F8InSfRESvasHY0fgnW6zcQ7 A7JZ6+6PTD9Z5rC0KbT2ZLiMFhHXSZYZ2qUBw25+BWO9TVOuqtUd7eiB+5Hg/vXM3/ToseoIi05P keJcgGdKJsJC+w0YwuTj8zQGGdp/Dr4+bpqi2s/5Fx6uBmSiyfTgZduThD5e+KJHWm4XtsNgcCZn 0Y3Q//O41qlITtMXOyeiZVw+vQcu/lhVS8bHM+QAc0fDDcj7KOk7RPmPIL1pq76HPYwGWxyY7mJd 9MzPW/6vx+tNvD3BqnAMiTu8+Beybd/cb0LrU6KOP6xEcjB71QR39/ibcwGG20MFNAsuKrUtj62n pfJYx8f1nFXodcyp6dsXRbqtNkT2EYy8IZvTU799M21VKF66R0Pf2xg8C7VXXS/ZUaz+uqPYjkGG npjZ05LclvHMY0ldtXR2BxdnSbvrr+dk4Vs8XRx94N9QQbznZhX0DoYD4+gvPWAi/A+HS6cac8Wz nOPmKgZuWU6qq3FotEH43eg+rpGfCsLa/arccaSGtyTezvSnSStI8Yfz9ShG+Lo3QEFpbV3k8uo6 lrhUbLHMx6nEsQBXbYuzhgLvaG34S9w9/QZj9fcg7xd3Ku/8zl9PVxKUw1ZgBtswoVrtIbtLcLf5 Ojh/aiBY4U7hat0PJ9Y8QQpQx7irjXA6FgtMyPUezfaC4ofAdU7uN2+hYOrOVuSkc0WqPUp9XSvs wTTVNepxUqzXP5VPo2bG5UeEAgXu8iWLZ+57M1vmzPWApNVGqOryE/psVwOCkgKHNUea3PC/HjzE vUJ1VkVcf4CbZYKWQyvryvzDL/AcxdywcypLyfVntzKhWvT8yyPcIWxdcuKODnad2ADHFmx35JHs 4IDYNDDOjHPE5hzT3IIRJDTRNoZNmr7dFrUDtqwGK8d+D7GHvfdjg20G5JXJki5m1hR+3SJ6r6yE vLuYa8USnREcdkzWvx7y7m9RClflU90JjPBNwU+G8S0+SKD3VxaezH0KhZlqvgYAIjKYzJLOVeF1 KchCKlEXvTXgfqgkO8nEM0sKUbGw/KrdeF0sqYI6hnajlmZKYKuG+MQkCCR2rFQ0aaBQSi2xH98T Oh71wGDrXTKi73SrE7HV7J6Y2AA0ysLGxz4Iz7+eJILJh1M5L2ily/6H/QdIou1+CBkTO7rHfwnM jNVIIVRLK/OJJkyDPMwLM8FV/YH6PnfnW/kgpsANAVb/uopGBuLsfT+WAtfuwdGDLifE+TYcDGeA B+XUKT5atvMREvkMT0xfWaYpegJJXBRfLbTJiWgWr+hMttN2gkIujryiHwUVoWbF1M3vdGvKX+N+ MjioBL2P5Q9SaY+BCfDyoVfqo1IR2XBzxBnyl8b+S7qj5mSkzF8pq4PxIMQsBXXDaxw3FBkMWeui KZzDCZXqbtcSbTwDH8MMSueiAzv0Usr6RKEEltqb0nQ7AzfPp+huq4wPg6Y5CgABx6+iirvWxskW DvoaiOsDcHEdy0z+Otwefif86zGBc01PJA6XQh90/Swfal9HpOW1sWy7iDSQiALy+ZcmqdBMTuel d+gEa5UfLvbBI95m7gfftmf0ujY/4O2vawM6Mw0z/YoqRP0vMwapAFslRM7o5nqEpQMJlKZhCb4z TPbnK1oWPkktYnmNdR93PuUFXo+62JdHClj3oSBL8vMJ4K8HrViyJZ9emxHOvv0cz7hJm5shx1z6 xYr7AHfBow7CrLBQeVWMsTflQ9vDip0qXKKJYdRR3pVtCKK0hVdXOnd2lStnXbZog15iMRCOMEWV Dfcsvv1JtJDqdbnMqQ+o3VFPlICSgPK4HgRMpLsfUs8kMIjO6qcJUFuf1ouFDvkrhIaXHZtJe9vs 4TksC7AsApYYNQHReKxPuJ9TXgiFdX9TIkPANeXFajjmAOk+LJPOI8Y6SHgk8TDK11WtThdSdqSZ 3EmJE0tARL48M7KblnyfoBNh/COEhGBv02hIbjDDEAGcBiZNw/vR9/ELVAllWmZgOvWoROEGlnBX QCdeLWBg9q8HjXTjh6Uf4p0VTrEQGrGm28Tasw+3AAndNFbOtboOnurov88EVplx/egW+ov+68ax X2jADJh/VWiNfpQJVrmpCYpUdJ9fme8959zme1XIgmi9oz6Npxx6s58pu259D2IDn8zQZ/2ojCfo xAUge58vuGH+YrrQgPTGiWnWL/EDVad/PbsU6QfJNLOQsldMQoPTcuu524xuL6MN2j5p0gMCatL/ 2voz6UNfY7YIiil7KGeGbEUZC0KpD6Sz5H9Lhj2yeefp4FmltEUOawAuM1sQPzmxhhfN+Lq8G+z4 Xk0DXnxKWyU8jR+d6k06Gz7QyhY8VIXpw+/8pzppptcFthbBkArs/np4RNkvDck52yoiCb1EIywC /frE19JbM8bW7tKD10J2Ja1amTxxeEFGUaDVkOsjf4dKW7J+BgySnKcLXOyB6aNmAnsCgvZVkvNq pqXsu3fFki4CIGPgpTE26tkHofG5nhQPlwNGi2BeKMpex10WKEayJDMSxJhPR4GcuDo5IPHEIP56 TrD6lYz6KlxwldFExo7tuK1AgkGhNMzQ494QNT+zzB1OJMhIhc+zD0UbUepVG5olYySArXy+QKOo jVrDeI3RM4Lo88TV8rzYDAynsT4j46s5aH+t4croicxKAG2pOL+6jcBKVgyqDzT9WHFq7sfDz7I7 5NYaFLJXG+ia4TJhkWP/emzhQ7GXMuhskfHWovrTJss+xKVVTwRf72WzhASzaRZN6a6SOBDAutpv 7u1AdMfNaqMyqchzey2gmR81L/nsbSOlKwLTSB7gRlJ+l9JA5L2ycp/uW+QwB+Hlpv9zLmG4d1ty qs6IBExDLbGIIOkAmEtjNahIrMZ2888GbYbGNWTW/uuBoULjsaIIbFM6XGqW9ykgk+mfUckW44be L0DgGR/Z46PFp6ecAfYGETOh5erDt9pST/VM/SJOi9jzTM+wtb8Bbyd60+QesJs72c4qGAS1bYZs SjoRJR+Qwd0xs7JfiD1QmwGHjPYlSQ65D0AnMnhnYsmTy4cuuyNBOO0YLkmLfbpj3LorbvvWjlcu +o2p7ATRpIVReRl69PkdRORETwLJ5K4xO98Kw7Ig43P8MPEDCak4Y6+MVojGhfziZLPDuN+jTfS/ CE3cejHsP9/atmtTGc16Cv/91Ue2cYwes0xo0HM4JRexW9k0NvoiVveC33bgJrOBqVY0j7tbLBPe djs64/kVEoZvj+GeO8Y5kpQre4coIo5HBIs6PnaRzAobjrPxNOrYvbmv0y0/9VBnt9YK1aOaBrd+ v+rbVBLNswp8h8mHKHwgX3Jz4QG/VT96Pxi2igbpFc2qPWsAJdzWJv8eIBcyR90/VR0c+1007iRR u1452apdplSRl8Z1ZLn2JbT39CmISaRuLENfWlBirLces3AICgLXRu8nwhBQAqhTE5pvy7uf7fkY b0xgAfBDRaU1FEg//AQthTML3gNdnShPOB+bP22tkoX3JM9+gLaKCNeMcDbehOxfEHUPbwIvY+uH AetSCC9km9zfwXfE8/Fril5FrNdb/0f9H4QUbqJ0/0/HAYyV/3HX65w7Qs5R1YRBKjzmAdCOGFQg F66G0o1uT1IFRFp+JKKyM9tDQ06C7zIsK1ViskJIrr5CbCT6FHPcuDvcTV4LRdY8ITL9Rc+6xs0H XfTre2ufBH2P6lWISY9Cg41ldRO/UU2SiECxEuoV0YKRKEFsjl8J3dhcEfq8cR6tV5+NEsz2+J3p mumyCyVGug2Nn2DMpYfiwnW9WxldqzkPPbkgxxwsATj9dE4giQxVj4aEwQeD9tcGiI5mpIbDdlSq 8OzAfyimLpIUoXxCATuUQQ8PzpcMHGnaT6QvEFYXx/qKiZwmg/KGVkuy4ITbCWkijsJFBaWfa20t 9F61t8PWi37amac/AWn8ezqh9YHgolOUZESzkDVzGCuFoF11rG5ojhQaNF6M2VIKIaTNJDBXAcH7 DDaYq+2Zrsqs0vJpEVBnHnyANUR27Vmqib5/PS8GNXGz/2lRy6BqpxgjlpRWsluY2uysFnzEqhgt Jt1JH6hX5YDIVuLKcXI9VfNu+W2l9dzLCUwptPlCg5VO8Vc6j9kBoQzO5HN6NERLFZqQQBRtlh92 EXSoXYBiEqolh9aWDYa9/VNArMGMAjujsxk0H1RJNT1CRJJpQVjVvifJ1fvyZMdRqVrsk7MVj2SQ 4GS7r8kF+Y++ZpxwPIVX4EjvSpwyeSkGzHc2RHD/PBRtA3cYXgsau+zZL2itsio+Te2pPl4Dy+pI l+Z87PPVrizPGeaTbFWaR5I25jJSCtdFtpXA7Lt1NVRNLMGQHd1xtyFZawgKcXPAW+sSzBMjWM7E 9oHvrqBNtO5AohcmEnkS+q8HSnYLGAZX/Ul2RjCJprKnzHLt3YxzLMRPvKVhzfJfRpkhAnVeuxsb QrljYn+LD8jY2DEjbGrmRYqJzqz7FB5VT9RI5PyQYnz2N210cwoO6xFeDQ6hljpVzeeo3txTt47z rnCtNelUZWueuS25tWyOL8H391qbJJzwfOGdgwd4nPhfT2Dld6IlDH076ZXO07FO2hlpHFH9PYkx g+SJxKpS8D1yaZUOWAN9mCURwk1/3ekRfLtvl22Gjf8PUM645qPp6fIq5/tFBxbgTIf0Pp2NhYdw go7JIKkju0tzMonUM2Cd00/3AwojiQoFuMjz3FG7uXGXtUhCND6mhM9JgJ3Z4eNJQix27Zc++NKC z1NkHnc4fmIB9/srQFLyt0P58JKllY3ybtPoKO+ewjCMxwPMC8sW/MvgMS/knXmHAtI8pDEivwy0 +f+RaY/NgTVLAIBjc2Pbtm1tbNu2nY1t27Zt27Zt3vs57w84NU+dqp7GNEucN38mo7Ag20SgyIy3 QzUJKxszezmSagPjwMuJj/tMhp1ry+xIAghDvSGcioOc5kVJRbGDmfnGgRcRczFhCCSJ6p5LWLnb wE4dObr2bw9qDWWbWphguTfg0g4uKj2ydvICHMd9wq1Vj2D95Tc2wdq/6uLxVWSxb2kL7JHVWT9E Wjf0tIuV13eaRYLM+wjtitWl2Y6ARIdKnI4DK6rY8PYqiEGfEv4srIiPUDxOkrxmkExsM6TFbaMU lTQ2MdSMKLaE+4+mSDsC3XVmCO8oi9+eJJFNc/JwWO0y6gXn7XpKMi/0kLSkzkIUA0h4as/5vzh9 +ZKjKQs2/scvs8fFYcSGOeLDIlGi8LqfhbBYk4ERsTyLmeYABLzO5Ihj6OgKGIN5gmmxCHTQyFyY DkslAeU96LbBHbwHdn/IoOh1XN2TqUM+TCZMGjYv87grECRXZf7+9gQ93NwUTt2VTpnkwp2YD1p8 6X/fijrXQuvcaY4HxZyTXgCyynL4bXY6Cs6yY9AxBsR8S5KqnRc0yZ/laPCWrZ3N78f0BZf3BmAH 1/FwGoBuO32gGUKkEQkDa+MzB9TLauV70mMfQsHQ/0QXRoOOOYu8h+5i/aP/p3IEtHM4UylP89sD ap2KxnDrakfyYuxTB79ggdSt3Lz1UGpT23jUUt/6EUY5jbbTb5VF2NkBwIsLhb8+yw1EJ0R3KTjd fkTvpg5AYTY2DL/LWwxak1OGJLF0SEF8EVmdv/g886DUya4iruje2dwHt44YvPtyUjV2cVKHJ867 ZJIkPrT+11eYa5oHWui3J/LIYQmMnyuFr/zYCbizgQkxUDXfgrV8szPqkXdQUwvkSiSmhAGfb9MI dmUDURKtoUE2cj9ZXmpi7k/3xGsbBNWEVpVY59AJzZVZuHPMOreVtKlHEsKY++MwBFUh6TCe/H6N sJc3msvqektrf6y6W+2iUJZkIn3rJB2bZehl1zLRb4/RzZEwU6xvImPE4jV08tcyEhZiLY+UNzqC tAFGoOKEihIHYhR2Gfd0eXeCiH2GD72/eL7qomTRxlTXh7mpvUEaiZ5SLNJLxaDxhSldnk6q05+V vB4KdwbB6C48N6EovCkJD/ZjtNNgxvn0Cax5bi9WOiiYhIbvAd284R6YYYh7rd+eTShWovwUELex 6NHTs6tiqW8tPynLGBaURHc4f89KAD8ey2rJEPReGERkBWVAajtHwI7ipMoh+JsK7Ksz7c5RZpS0 b8NLVXPwBJxCgQwMVvISJxDuYRkjU4t0Ff/lqm0S683vpKLTENLS8+206j/jjiqYoILTcct3YZzf FKFJXzJn1Kf1CWBRrtCTlTEuIBeA/MAdBa19XqlRLhkzjQoy1m0Tyzof5C+6tdxv3mz1QHRx6Ya0 1wCZ1TSsdSvsmAEdmGBNr+2m/DKSfp8cz8wOh+6eT4W2W3HYMjAspezF5JGLT6rPay2UCPckses6 eP6sYMlluTbbuM0ZHRvitH7iGaCwig7k8oGS4mrwYd8LCxS/PVm6siJLdYoj2fyezevsuDlN0lhz XhSWlAuFHl4Gj2esbtfT/mmyhMCWuYQO6G156/HeuU9WWfW23ma05a+fyS6pqlcawtiRaQ/nioXu CQ0mJcmpJA6rOvVB9mTXGYRI4cq+z2R1tQdpFFD2h9FAspuq59fspEOwuQQoA/AaE3ZbUDc0iiuI WAQkhMXpvY8mbRoN7NPg1xwPCj/mjukJapkf1mHxeHCTaZ1qJk+xwF/8B3LzU4plCrYWxsCJNj6N iauCB+zZWtA9nF6pGjfVfCDI2TgThw9dh4aZhVFOnLPycO9+/hOVf9DyPxDNj05HuI3+1Gxf7hZU MSaaSYGprx7od69H8XDH/JyT0m3YeIzG/PbYoeCt5p50sdwC6EtIXglrtvLqP7NRXLRXkbbZcyZ6 xDlMsbvjO8G8i5sywGbbxA/60MzWT5vXxkXO2oYG1iGxC7RTKi67cqr8qRbAK1eQOcdlyjCN5Dwa oNhL0pN6w1SppD8137AHmocm6CcRVDW0Fs5gE6BIOlNZ3wkKaTWGUCagAzYWk7cXKtkX5jePEbMd x3d1aI8k4ohDYuzx6yIUnjqXaJaEgEOawcsEE/u68ZkErN0C/oFze0Z2f1zCsJfdrTnjMW9JUB3E fwlV/RKzbCiO7GbUzRhEDmcjJiaaBPnKsDflyIdB+N7zcBzIEqO087TdkDIAU+JhCLzE5WR9DqUy i0yuiu3w6/gbu4nB1VhpVD3IOq2OKWc3e8u5xPeiXSFl1/ViO3Pg32Wwy89524lP5Z0mG13tSqZx zgsuKT2XVUsHWjHqXzJQ91BLUzZrHbQITIM2qkSuoczPL7WuE9sgb2W3xpLyLcQHJSq+x8GP4hhs Wj8H4KVg/G1hH5/eBOjH7BVzeV2ld+9ZjSqa/9tDtglY2EaC0Pdcmtws8yiNV3iMZnIuN5FpDatE XESnn7k14ei/HmPmDCHm+xjf0FGqkkLL4CL2EYhQnBIKxdSBcb0w/+XvqiGbFhu2yNgCLIa6vT4f zbnlVbnKbjqBBQhABh/u6h+H8/8sRidD8Dg6oBHTqz/rSxaW/VLxsITmI/sfz8GQ9J0nHGgNgffx nJMr5zHwuM6RZJgUmFm5LJLunYQlsZsD5g0aAuJpV0BafkWEiaVyPtAkRjD2sIyTn7zbZGw5ino2 M1zZK6Li/VOb3eDE+zI9bLTUe1SiLbkEQeipTRRvBVv2IvqTVWt82keIK1QpdZok4ivQVf+GfWw+ 3tZvj/Blbg6oFt94oaOlT2PFhyFQLIGUttHHPr2SxRLhBjTiyHl1zZeFen3Loh8WC4cdUFCJFtND yDeEvI/MDlAvuo21B+cbNGj9F3M5P1votbnHysKGfGdwtR8UBe/FS604i3hjXccl8c/yM/agntxL lXU2OUpE7yMAGtbgkKEYnZbDbw+bqV7fQi3rN9JHhywqt7mMiUY6pdwuNCjuQFNtS3x0oGciWs8i eN9wNfQxYh8X3Q3l3ov5vJl5r3JSLT7ZSQGM8UwH5d/MdyXxn3tgVll0LOuG44i+jnTDMX44xHJ5 zvdi3TZ6JsqFnDqxVkl38Jnj9KGbER2ij5XAj9hvo5Cght8e9bbd653GN2zx8AKtCXuSUt/LwQZ5 kBx9DfXzZWLNqo7xcGQkGOnHq57QO8vlKot1fr5dRL/b1lqQtzFFnnR04+eLuskYmERjKN5uTzuG xBF6ulRfGuLvysPVbBuaM5uigoYYLNHpp9gWunjjw+QXDvmgi9SpQytK9DF+UCDr4d7fnuMmrhsV j+TmacZnCRqp0kgZvyF3c+jMmBmf9bolsRSmhS4nLtQvk2qyp4zczUWKUge5If9QM8VMhXCoObnJ MKMqpe9oKCH3F5eVq1hGYcXpZn7GKZlMSInuuFOqju9Wwyab4JsAgFFP/395oD6mCaSmnESjTqS+ za03MidHWtQVvz2QII3cR/JyDcVK1feTyKmaecYdqKsad+pkvbOWIB75yufoBOEOuReNPFTz+Y9z UnJXeO3+zCkWrOjVYrZw4+34xXPKmwF9A0pxk2vuefsKSrJVmVcNDMe0jNiY+3b4cUkChccd+heU b65aVz2JlwFnKOtjKvftAcsk+Z3A43eOmL89rpHD4TGdl8g00jCPK1kLHDNb4FIQfxzwhZSRw//V sjJBhIorjtLpgfYquKrZJt3Re5rrJTeusEGQyG5XHxNZri1GZDWoGB/GQS6HxGCL1i4MKSgUYUAR 2GuyLI7KHA+xIzYKZelVl2VmRgwg1dLPEzGGsvqznI7ehuZmLv7/m+3fHoO/IduIdv3VbxIIs3BY ylKXrWfFlBwBBnvGFPJtr+g+WxZ7Lvui+XMJCoxCA7mGa3QpTbwgDY5/cvbkiablAAZ9VXzmvnb/ yaKT9Nm9eEqbWeNgSH4w8TwKOOnTSHfdjsRW1A17RJOEMw5ZbzIt0eXWpUeo1bNT20qbu2czGq58 /sfzicXwrMyQcqZpBAeiHHkvOYVAzpGFlpXlhPKqNDBQDsZJlfkPsjSJzdI7wZ1gOf4WVGXN3ZCu PX190Qkx1UzlDHN6uBTkH6l86d4K+kUbpCZmWtlZcopkU1HYeAqEs6QZiM+8i6ivx3sRPu5gNCK0 pTy8QCrh12v36Xt2cUmUyG8P2Fsg5ppinqCeAmooq5ibX8iP+AeJzTXzqha9YPaI7URp+bTZ5cmF Rny2taUAYfCFX2iSdOtpkSlKxebWa650v3MK4BHkD+P9HmUgra0VZhLODtSdzSudIy8c6LCTBXor g/GnOnK6JubwRUt31PilyENZ/tu7u/rRUppBJvS/5PHfnlMSoRsvbKblhIpwneKqGqyg9IRqT9Sm Dx2YvxkRmjLIuEITQduqxpTlfXp770a8AxK5VTwHlQUDPI8I4uVwphU0GomdkoUXybKUayZ+4Y5/ HKQqNK/VQ+30hPZH6/8+wXuN+Lz/aZ3WwSh3rGQXNB+QIpCn71MqBnzYSiCWRbix+O3Jn8TpymK4 iWOMK7DWFG6ZWgahpFdJ/zg6SDA3uIRE5dP9ugMeEeKuQG/Xs0YZBs1bMVLNUk1vzK7taQuG5dHg 2TPp3QGjIKPRou/HtuEzSt7T1jruloPeJl0wFx8UF4kRsAKfjaT3AAeBBrn+5kiias8tekKzhxq2 EeJsi4azrf/tUdlL5sATgl0pWa/woIMd9o4V6Tvrwa5laN0f4dof6T4GtKwuiOYnB8z128oZMKr0 YKo00jVb4Dt36FG0VAYkzYXsa8wjJHCXUnY1ghP1GFwMEf7nltOo9y/FjoMhCZIB4pSUoJqXgOmV p2U5zMWw5jFMlVCJmvc6Wxe7Gmhpxfc/+b2mrcV8lAYYyxQ42a73aNmWNlO6X++CrZ6Uz587kSK4 491NJIu61c59OOm4bKXMO7ZCv5D9Rq61viCL5AeXUZi+6eRmg/cN2jI6epr1ehscO2krUMDdj0W5 MOcgQw0xZqv3hUbrZ2N5BhaPS5nj9Qx3NEMnSHwozyBsp01w4IKH6LdnXkrOK16LWBaaGUkzy3zn mo9O4zvAxl2h8qZ3Tt6MgNP4r4Rd9SdOQagL7g8ck6TFzh1nlRCyiCqfOXK3Cot1tErDpJ2E2GXL KeFP9aVncbsZa7/O3Lb/j2Zb6Un+m5WmyqLgrXtiYXvvjSPPCaxX7DiCiAu/QWX9ZuRAEOVfBqrf nrOSoo2hq49ItUVce8BzoPfjyICIjKdI+DlpXIxPU+H+v0UavArbwUSQIXEXlrK9qMox8MgF8nS2 W0h387Lv1/VCQ7xlmv6xXG1E/zrBBCY0yfzaOAd7R4mLEsGYuv4EdK29YEwsuwQ3Z5yEUuzB+eh6 twC1at6gmhCuiartHMkb/fbsTMb4m6ZATuOFc83/PXXOECzuRiZ+b9SHlCeyqeWupfljq5B/7S75 bElBq+h2AJYLq/6zl/TDIkBFlBZhq6THclyTWEIIJp5N6L38Dku/BeK59VhKWQgcvvXkjNeXzuaF DGbf3Z8hYDiJIIMxDmzD6yE04LpjuU15lam6dqN8XvPboxltykE0AqwjZTHN/7PVanBdrQjqjQZK RGnve+zbP4l3ssg1IlmKbxDaxSnz3hCG2XdagnFtKw33QnoSjCrOEwEUsTnUNitEoWXOika0lpfC Lg6Mi5Z8Ww0UJo0RVy4bJkJkjWKVX/yZJFaGufJu2KI7yoFtRkSxVdUMZeQ/R2b627OU5suWScc7 f8GKi2xq/y1kG3OcHvwkgtSV3RwUw9x+5gA4CVnsgO6GdM1Z7M3yUlpF2E2vfjL09a9ofrbh0vQK cG5aGrq2TRJuOwqSSGiaowZC8qgiLedHykMMi9ho0vqTkWlyzcDsCnGAxc0W6/GwatYfHaY//8EH C83GAqQg6beHNDtWVU2+/WRp0V6FHplks7jPiq37kHnbmUwy8vqCBOphVedjZFrVsAjGCGavnrn1 ckCezVgbAcrXYozkNLVZN4Hh8KxOAg8Xh62FkzDXoBAp9GNZEtFPrSn9Ehe5odL1ePUmSXyrr/dK L+qr9sUdcyLKdZBagUBH+Gmr5i79vP+3R01AdKfoQP04tk/4Z74mtC+fEQEf2ytSHz0qJdS07rU9 e4MVvbxxksuX8iymPuzOeGLMdCdEEz26H/5gfP+aLu3SnqgY9m9u5jNXvhqDwf1fjUwHHeutSMUh ai9PN6ESm5BSWOCzV7QCGQaMh/sV7gWhC4nVoHr+0yTa+SPma8gJKTY+0KpHIWRts8/Opz5I+8se 0BQiQ/EyIk3ab8Nw0YtmGnQhY90ruAWN7QiPRMl6v9SBT6B+KPddMagg84mqSA4cke8CcXaidOE7 Xk91wcg/lKCEJKQUaWIOFYdcMzQBsWU7eMMwkD/okN8PvLk9kjHCX8iZuaM+4cBZ4qk4d3bSz3BZ DOOejWH06BPPvYRmqy1StNdDu4qxPaURQuqhpdlXLMkJosU7ClNy+65htQPi3PaWbLJWcjgT/zgH XkEZtR1/rgyR32u8e0VHuDbL5B7EFsL0alIyM4DbRmMULds+i9xvZlyJqmZQclwd6D1WRZ5top0z oSphr+dczJrz2syfQRioUcaVDD3c1RGZl7BevHfYYghHVEosGMDuIjR1N7uT2nU6kMvYzhK162uX n05f5Nhhhky3DSWBbGHG2zNPkDrK8tvAVpPS8mLq4/7kOZ9JjrI+o0xwSXTYRo/24Bf25oB6cYrA QCdsxK+oPSE2UuKjmbTa592TWv1pSUdOZ9d0RnxgFeKf3oK6lGOmVa+8Ojv50cshOdWRA4v0m7FY pRBUlMRwKAUs4SSLNSzz++0xXwPitwvNGnK3qFQoAVOp0aC4CC5JdJJzZ4oWAzlkuQgJgYiXMkaj qR2rXkz+yHvYiE9I+7LrMtd1OWKe2+0nNMKsO3lEo2mLSpx/QjNSyvormnkrcLmTwDein98lPyc3 PzHmKbS2/k07/yxaPAev4ajZTsUUP2Kw7mA5Rt58V2cBc4YaldSX2BoHtJsBkBU8fIg5aLV1VRiK wgWqBc7FUKsaoOY+/I7kkhELhrlfirLt2JwLcbXQ7VKhJzWpbAchNgG5LAeZiSpPYLYSeDwzHK4v S/0v63A74EadHL5eKBDgzJbwqGFRIHN8VocJJkvPzM2ajXBOFgeDRxw/idSeD1eHhBH3p0A4CAUW LNFWaZY5lBN8Cj3Cpf6KorrBODJ16h1bAzJv23pB9rYOanwan7GlxKspi+tSnXkTP4e7STFJFB9v 6Gm8p90N1NqV4tEqJjcToinYyOBZE0zgmUD4MBCHO8/fgHAP+bnVJKtwLiaQrB/TzHJid5bDpzS6 5jUYK4OoUbn2x4F7EmLTLOt6/Xd8F7oZHGv5qch0eq1TlP4ZSv24HZlvhQNbxKv32hw6/rBlhN3q rha630idoJ3M09utstr0vPajDprWlSnyfb0T6uNJuWeoOKOqdCEGUs3SmUf6Q9iSaJpZ60ZTaWrz ou7e7AmjtDtM7ThjSVmE+p1vi7wODPAZJf36PT04Q9n594nutweIXJ2bjwlGl1Nl0bhKbADRMcf5 tXlMbVmLAeWx3ORgX8dYCFHsmga2tIA1UlhHV2xjj9v86DqZ6jmFtELbEywdqrSexZiVjZajNrJu 6Z8RoxqmAInIJbBo6Nq+0ZUOQIib1PvgjotRFSNocG8g6Cwe2TODzABsbaoBieC+/Hqp029PO7PS twuq0Q178IpSMxWtn1nSAnH7PXfkSs/dkaPbBggtIQYBWBJJ7TxiCVTB9LrK4fcBOi9r85XtS+4K /Lu0cc6ko407mVQp9WYwDPcotPZ5uN9lfpJ+hWYqnS7p20f+hzgC3RoaDNyIS0t9AeUUhLuweklb PBs57zNImF9kIc5vz98yeWIQ/Td5938Anhh59QtdSGtYI0CjKM4Ylx2iqbTgCVII52yFeiTrHEYT IN2O6wg+qGRU0r4edEPVzy/gFipDk7Qun/JTigf0ostSCOxzlHg2yIIx8KFgCXbLYFfcTiyirOIY cACmiRxeTcrtLcLhILeWZwrxXB++7u5jLWzqvz1KkyyRQ8NNAismxs2OyVgPcDY572IzP77r9Zpe VfXyEi1csx1pRX4AliuFGV/ew++ju2cdwPWj446CKeqVCyRLnCmJKjN84/Ey/ulU8+iCJhEW0JCE arZwjdUp5VUr6odYLgbFfi71kxOvQBogpNnJATConstM9uj5ur2Uikd6wL89bdV4LEopn/gD/45M O1MQx3JjW79H2BYWpWLbYqQKYdmNTF4H9qTKytJbuRDd0kn8lc6fk63UBoHYbj0mRgh08096zcSJ mfQPBKfa8LFr5E0xeBJjp7x3B+/b47pRuL0ObFUlpmgrnQV1H20BUF9qOpxWohMWJdPEQYBD5kyy cZR+ezrjlTPd3Ts4cRHeQ0Ku3tTFxZTXT+DhHvGS7cND4dzoAEhk5XAFTrLqLeShdLUp7VnbIcJ4 sLgNMs75Katod61pwKwF0yfqAMui7+nxZbbNQ0DQlRc8/ReObndSTi5KVvIlY5F3ty7LKGtZ6Om0 LnEA+12iMWdQVkYgdVhn9RDCf3s6PjsxJLhRHZb8Ci+rPEiq1v7m7lE26A7lscVuzfPunSkjfmDV I3Nka1oRab7xnLygeLm6uOu2XfWNoxYlNWCAxvV7l1VhKO0Jn0nPAJUDhF1LrfY1sPwotzrLkZ8w y4Z5y2yDxGpyw5cCgQ0KhcWi1PWOhRVmBqqDVCyMW02ZQ/z21EI1EbU/OgZBmgrv1C3SWolCjkSb w8ggpLOMwHqz4TPsvlUq53j4Bbm34S3iE3nyIX82/z0mwzLiZVqD6+tXxWCeW9TvSbnD2vHNR5Fr UY+qRRTV/mEQklUIhMT2dX8gomwY0MJyCDDViml+nizkLmyAFLQnwAQBJ9QUmBxpBPjPPFX9gmZ4 pkfh1kYgXLLAtWzgDQEuiADWUXxRDf3ioPAQ8sTJdDkRlK7SXwKoDhpKAByT84JbEKKiYswH/uut vuMuKBUl2ld6637vr6HXMbj1WZJ+a6/kTG6vjQTIUz/uaVw8YGxBW55Iw1spZHfWuhum4F/E8uoO ZkJc2DaXYjjjvN8e1nuo/XfYBFDs2oPPOhm0bWNWkA/8Jd501CDDc4hrio6Q8bUHAq3igB5XEcVK sFYmllTBf5Nbxg0YbAwYZoH69VaQXUDbIE/Q2QAVjMKHFbpYQdST1cgO0W/SsWQe3/b8FmeEs2WG p2bfDcb9dkdCU40WxRBn4x/N3vawpFXUJ//xwNxZlLFNYsqMZ+dPuepr1aI9XnIYrz7vlbMXc8pf XSr13dPDNTza2F9sFJy87U74pn9S9QN+BQr+3eQKxvRYxiGSqpgzs5fQKXNWmyvfYbhYkf6aFw06 RS4oEsJ8RaClK0PoGfKUaQe1K7g7np/7PNV5XASGCfVz7dOlvFAhmk/57Rkc49t+f1QaJbj0mUID hfLq4nyUCrs4GwGiNGAU5mCTNvEuAs9682JsMh8d4mND8ynVqXyIK5DUwo8rEj5ldwsf4uwo6JLt eKn6sNjR8pMzmWcuCYeHOn8cyykjg9SVKZQ424weq/6LtzhC+K/43btG4HIlM1zZzlHImCNemPlS 87fnnk4EQpHWD8QgSuQWdHC8DW0uUkMHqXGB9PstVedSjXQjvqewsYlxJzU8oIKxcZ9pPpqr0Rh7 ijTvS6QFH180rOHeL/HlXjQW7YO2E+1K5l6f5aFsK1OeDmufsdZZJ0aa8en2jl2909K/g0+SKoSF sSPeYtq3C4t5LOOqz12JpvC3ZwCtkNwH/4PSfHUC3irpNHCuNyCpcgQYohGPdYInD+rAzIUYwHoh td/GSzdeAzyn3WmoyYF7JZyhXvVawy2wLGssTfKFcgpnIVFw+6HGHbs+MMqGHw6Y3GEHmi948nrd hgdc0Bb4PH+jI639QMLywlVT/9CJmkT6y8ygw3DY/yztt8ci7gGpipOZHXoty3kSTkSBDZQ++0s2 znILJVUaZd1satf4/xE/sXolGBIzRxhhSi13QZRu+egL9wFxpfZzcdjgotJ8Nu/0HBy5zCpvHHYO LQRF4bO1RHZmNchZYPvyZbz0PsGwZmtyV/ZMXt8Lig/WMcwsU5GoilTH+dlGoypp+9tTcm/V+4oJ /GIs0Hujp3l+JpqDipJKDP5cQAAdM2+pq4ALsTP0wcZ+yZJiYNAjEHmvHQdKKZd+UDFmShaRStjX FAOViqp6m2vvBTuO1DIx0ivGvPQv2f05ngzyreiJ3lJOWbBoH3ypXxczlf5fqnBltFuePDXsDVy/ 0hM9tVGJ9X/mT1rG8l0PsQPaxfY7ekt1cIs7+PhDZAhK4DU+ynLcwmHZfZWA72r1/kIbK7dkM8nX 6KReP1TooMgAawX2UI9RYP/+2FaKNPmWCVM8GRBTfJMe+QMzu8gdrE1Vzxq99+pXNCEEnlSZngmi Y4iOC3dC6esi0mlj6CwKo+f6TIHFEcTF/PaYOlfVKzYL/tN/EEaSI6RwE+b8YbWUhtMh/ETLQS2/ +dy7JtpuuKYcCMS2Q1I21bY0JjutxfYbmKVAk7R+CSbsWWHDquQDORPvBOUzuqKmMtia7VnZsY2H gxuq9iNzPaEQqCLV+pDLFuWEZhadxORB20SWr1vEswgedAIqZAXJKv/t6Wpl6pUPdUmvGv/W0m4o sJnyCwQ+22gJb3yowzFoTh+eO1CWGJ3TCrhwKO221V4MfItBz7pX7MrtSROWJQgW/vKCn+gwSzyz fhTgM3aliV6LS81gywX/HI7fr5EyXtEc19MtKwj/9KtqvDxMIg2T5IWWoCfCB3x6PdiqWfQAu97/ 7dm8wqNiNTzXZMfA0RvlPxhFqObiXM8+gTcOXeY9HWjGFkNWhSMtRf1I/jzrwZ+4h+WkbBXy2nil gz8O9StdTCOBj2EoQkUggv0G5XrsBYKC2t6HMRqsOfA0vu8lJISGdABYi7j/ZJAKtj396qNeVtf/ znTb4RTCzMQmf8ss16/+z3yXpt9llAIJh5J7dT2V9silQLrPhskflH5AZxI7MnNK1YyQgxF0/NEj oY0hfcvpfEw/Uqh47msjlqvW42GU3tPUFlbHZfCB1oDVcQ33mxkQQdnu25QGK55yX8R7krGQRUtZ ls8IUIa7j4CbS+6AkYNTPxCmP2yhW8N8Mh8HheKcaPe3x57ufaha1s85UZxLFr2PVIac9+6K3SVr 9sJbluR0FJmsvri+/eRGwN6Q1sv7fplPeJAt9EJCgJG/FlvADs/5x3ii7NAc/MNM9d9tNHgmcRGB vr2FBICYP4tapjqbx2PShO29e02yzs3GJ6AXkAfU3WBkV2MJ7o9DSpA1ZHr6PxqC3x5ZNrKTGXJ4 p4EwyEWSjs1ekxrn58HIBNl78szo/ydiryT7l0q6/UHHFLgKsjogNmY7gG7hU9J7whLXhGNdcMBU iwd7oO1lv9xDJ25wbL82KNPTDNTDRGx5rZMmf2rPNIFZh0caywJK8nZfCFgp8GBbtyL3QDH2MIMM VEI1xOnZsN+evhww/Epu7zM+k5WnsRja0sVYauNLuQDNEN0cjuBKaGMuTSePfHLw+FMv+VtXJ9Bj D7fumxM40RoKvXLWZ/LpsFR1yidAAYWbPW01nhfbx9g7AXwkR0KXPf8pEYMnhLABBgaAEKgHCQ66 z4Xcv9jI6KTIvqI6Wf21U5Gq6G8m7XC/PdwdCFYMuPkx4FPge3XxDs4YN7lVP8CrixxBm9g/UI1S wnzZa1iNZrrl7VqDf4e9ewsSrQhIoAQogmfH7aIhKQuymw/vxOmXNMdOOz2/s2XmjqLrcQu9rnl1 0RmxgY/1/9/xHskdQzNuYWZSn3B0vO3XZgeRtyUYy+V4JOS2QNr6/vbgJ9q1a8mGfMyVW0CLKtjS LML7ZhYgOsQ/+lIkmmKVEeAUM4Nsx07ovL32VXBeyuQKjRu6NTmqU6g6j6WogZPwbWk0R1icdS3j o4Tae+DB3L+AHf6MOQT+wUrcQq957Ju2Ey5WMVDMrCUrT9aP3jp/t7tJxrnfM9Bceh27yTmx+U98 9Qk6YSwI7xdGV7k3uwgYBmdFAyQ/MZQ9KI9onbt96Q+qiNHLC0cEoKkQl0s6WXKEgAFHrUJehSVw UU6oqjDs7mpmU/0creg2w+/99feE4t4+G9rWxAG4sfDe63zSpJNrPFTsQwUtJWGwH7Q7gT7Et/t9 /knvd6OIuBCiIbF9b55o1/AZPjvxlWAqqcUnoqqvJu3zH5Om3hsfw1SDdyrPOd3QhHNpbB2s46t+ nUfrY4WddMo//f09go43HZcdfj94cTkP+pEI9AidCo9xtze3ydXVa869MjnH1k9Djylgrqj6HRIM CF7CO0B/K93TC/hoBGpIfnsWnFwgGWAbNrWBGhJRC9s41b5FVIzGf2bJvQ0nNaoKs8E+CaI7xTLw EeZeQhhSKCqpSI7++ZI4WCfVa+MY9adii6WX71XeEU0jX3M12GFKtp4ER+JYJgsZH1oIbC5mR9CR UYIrLBrs0sTr69ksJIgSa/pzeUDFkGZb/r14M7suwP3tyV5SdVK3E9y+9ODvnV987iaTXIlU61U5 miEq72Fa2kWi4NuOu6EGNKQ4fCSiuz7LH429Z6C13DLjMaGs4C4DI43XwB7MJLaAWPlLcZC0wOKK krOIrduhDlCCfP9jZgbp0XK1AkwFgXMtQ7l3xNzRPANmi55Qlr5yBfeXp8p2aPXwt2fFEhMefIag z6qOqgJT7s3m0p3A03JbgMLkOsK4sgT+e3kG9Mn1UoHFrxbnfJSmRT4daRxFn0N8Pw0qLYNu4EgO RN/XYsmRv5amt0xgdujyJ9MVqPW6+aL0gNcXNfjrjLNp36FcgBN5h30z3mmbzIqDLeAG4IiUkacN 7/rgaO374LdnlWfQqVFAh0YUZmNvH6TMiWmy4pxEQkNGWtgaZovpL4EoR5LKrreXx4pqhJ7LRCdK tL8MiGbCjLIA/kGZc3/mPulGZt5IzGnnoXYmBuSI9ScHvpXcnYv/xEVVfwtQd6SFHr2Tu6Z68avJ xEG0wo4z2zhGHrW09/e+B9b7cZgu5/t/6p3F4XQEQU5BBmaGOxH2q1N0kSFI3qL9S+wGECGdtzlb G+si8HhVCkJUg/CjjV3G8SlKr4tIqBoKcl+lZBN77eEeXvtWZPgyLyHeSHllnfugffPaCvhLEzm4 L5r5DN6eGE/AOa74d8seHResggMirfuEPWsUd7y7DRiDwVUfmwjF3549ex/Pv7QVBgT2g+3alXjT rI/TeOFqQwfeWIDWdquWw4dZXEHfFXccpD8H8uHvncwRgrGE4MtTfcIq16TYuunUZIUQrOSzSf0X YfnUmNVKwd2t/xIY9t8SR3xtV06l4uhDo4aaRTDMcugrJHRRMYzxc4GOG2cpRt8ItuuuwtChLH97 dPYmkOLbDV5nwYUXIJdUVV9GL26k8RnyBy5Tc7emop0C9dqsGw+TdWl40dhwmHOR4WNuniDxXHB9 eB2c/GxJSGjPe3c3wDb6nta3e+mdYYcbgUPr8e8WmXovPPraCIp8w20X/bqz/1RfF3jDkzDz4yBW JBZbnfRgzgp4QzUoT3j99ojcevJW9e/Em6uxsgRDnXldFokmbuI8347C6ehuq+LnmIp4taBp4wOM GFKHKDml2/NOe1gQ/dneWDE4dPqb+DY+h88+1jX08gSlfXV6tFT1pCk8NX5roSDZV1S4CnXuzlDk ah1BhVVNWSFXo7CLqufv7wKXxeEZfUXn48V+Ur/1n/mBwvzr7rkhC7Kmz1kgSwYjpl2FgadoVDY7 5YAIE3+/8gCv8IzM8IyJyYEsa2V9mVsZEw0loyhOkbc//4yIPfpCzB1sQ3mNFR4OlkYspZFnuFb5 62J4UNO35mpp7PkcuoCVPvSg2bZW4SvfGDBqi6wp7FW/xhDsSTt46IsUBRF/sPZ/9o2s2/UgJBYv 1LAzIWbLv0TzCWHZSqMgC2tIQvgNkOZsccgsITwtBpTu0ITsuE5Dk/6YORJ6GHsRFVI6Cvs15jfk SwHZbytWK0HMNP0V2wwnCiLz0/krmRlYdofk4EC5gEWgzRRSxwD/wX/Ar0Rimy9X8fEWQD1dxnlo NsWmTOyq89tDzgqjCvCEGNJ3Zt/7brVnMWKW49bCtwgmXykyfYVdPCYSzixZ4jSvvmlEBw/9LXAg 6I0X5LoQeYPD477BMDUWoRA6G/nOJlEnzI73anaJ+vFR91Y73XjcAm9sTTPgUT0A+gjLo2ygTPHH s8+mlec1aq+U/ohuedq+1McI3Qr5BOi3xyHptiNj4VUGFfrhcS2EU0seEx6B/QO/M6ZqmhewWw40 wm1lrw88FQBQXl7Yh5/r5fyV6Z0F+crGZ9nfMnnyzkekewKJ/lUpGYShnMolzliXtzpseQnE+20D FuH0AucRmM9XzVKeBApbM/6iEsaW8QPA/98lPPQY/8VEuBCE4CHZb0+0QonOST5MTKCnifajeomj tAqBAvnfi2FgqiW5AA5SVIu6q3XwxcWM6eAbiwxYXI/vTVPfBJNXQ/fOIqtzEbYaRV/NdB+aEHhh n0pjXxEGQIn+gHeNnFgO6Ultvcbxs1F+Kas9JIsPAIrVZdY53FLlNYNc9EFeymSyrf156mP0oN8e ZleY92JTBxCXR8h/lGF0YGYfgtK0c8643UNyA8QLxpXIslN22a3bgNWaXtLu2Nd/XxWJlYQmA5WS BtIn9HL9RCuCd7XBlZ5dHd3DsmuU3f+K71suGenh7T5tvOrclOEh+pTVIUdhoCPrTPJ6IFmEIDqH 6HiStUdASaHcYsffRwT+9rRYUidjoFtZfhuvl7bcSSXkx27unaJCpz/rtW3kvffw98TK7PKJf1+/ mMd1Ua1trAilMQ1CS4l8YEhvzKaA4CmKhpkKYawguj23FM8o7S06DGh0dQepe8TcfzB4EsH8W2Nl 5U8CwS454z8qnKezjjGqJ5z1PayJjntfKnrc2eaX/u0pUGtU7Jj0tLB82pHFFgvor7QsGl4pRXCa 086Lpdk84yAb9uecohxQnhCCSoq4oP6cbZ9g7z37OHkQOq289MoodeyM/Gixwu+36KuTFKI33ANg moG6C+Nwma8/EfeNZwlLFS93lTlSS5KIOsgQsoooUdEKABdCwjYwhnyKb1blOv/tod4JhE+oxt1X toLQ8aqjYkPZBspvxN8QawrfYtip/mJL6G9AVEDCzkNR4s9b+Fc9i4Xo2r1EoyvPuAh6CLomesCc 9fFIWHgn8T3ooP6O6G1EQD6xXjwhpN0ReqcAWGVLktG5GLsXtdm+pPXd3SypeLgr8lkAjxGBzlQ7 obC/7Cnz22NjSgnwfJWch5ch6FdEN2WWdb6RLuJgncvLOjKX4mDQCGiQkNpQv/yPNBZta6VRfk6p Ys0dnB2XrD2AQkkg1AEV34jBEa8HvM/c5hLny6Izs/OVDgJ2VaPyC2S3AQUdnTTR2Zdcddt1Diar snCjvwj8+0u2s0rF1kBl9UEfA9Oq+j/1sstFKFiMEA56qUmV1OPpH9Bt0mWj1B4OcHvrsgHtcrWb 7VcrBH8qTm48kUk6b0CPzskFcwUVD8Zzul0hCJXXc/LjZXAkaeRA+srcrh9eQ0hywy6zOjhYaO5v KfCAskaGeD/gj6FkOzbLKJ1J7aoN2eQ/A7NzcEVGS8IDLVJyiqm/PaAXIzULlyjRp6jVTZCpQYK2 y0s+AKFJ4HLHgOEiLcqnBQ6Ob2AGY7jcwIcwWg8KFbad29NMvG3N0M8+UIo+OQxKjIXAL65hT2pB JlEM/LNzzHYo91HM+HBSUfWsjZQ99ZFk4Ma76s3VaGKmQte1xQ1/iKRxSKm8/FYD/HQe/XOHf3vW wPvmUxm2ypLfuHPWC0OKU/cTV5R+NoMfsxonedoN/xbRYTyCLL220LfJ2AiyQNhXfOoV3rsmGn/Z jnX4mZYQxt+bRCtAK18uhhLR4L0JHp3nX8kkJWhruZWi3//xEzTZmdtpqVnUSyyiWsqJgCz3LlZZ rjfHiFDx+nyBYqVXQ/vtga7qwzPKsCr7qRkTsis26fZrurgYVZMcNbCdmRZQyCKOlou6Cczpyu0Z u9CN8y1x1X/y/llYBwtEEnunKX9uzkBBflvzoi3/Z78aIqIn+M/R22fj3AAqpp0I8EO+1H9r3upD X3F0rcvzSU9GOXc9GQF//WQvSU2Y4HXekpInja/gP/NUu07i6uh2y+8eb99U9OYVXU1qUmZAruGg fycqEditsedB+ojV4hffE3q8EmEEw/zJX/vdcTIhxE+EAX38q7upbaQ2XZijOgtY2d8YQdYnevr7 PONq2kzul3bakp/BO8MxRN0F/2bbTdpCbAUaRNQm+V0GPZH2p636sehSfOeVBf9TzzN6PiJakEkL ucWKYEBC3xz+CTuFH6s5YhJXdbT3EdLvvruW8VQ2H7HGJZL9GK1nkRXr3U7Z6xLJPIO+mQOT8DGv VDwGzsUTKVN5+wfYp2mHBwysdKpi/vNvcfXVC7nE1VeRHK7dJxMMr3lEGNl5yKFegkuUlCEZHgMA Dn9shAz8t0dw9woWyLwMCXWaO6TDH77N1D0JZNfIzQ/mo2hq8KgSNTgayo/bYOQMmTU/aWl4huCf IOpIDB8+vcX5CqS7e7pACFUpGixiNs3dQVKRejwpR1P3s08AmzHQJw3mCU1vCc2UCbddROITWx8v ff64hjJlPwaoMH6NpXLh2s6YOWPGf/Z7ek77T/idY47YOCUd9NxdPG7+9IaW3+uaz7Rw45RWOyYl eg+1G5HSl1b8ESduB7r9jGjX3j1Wk+zwKS9l9lFO9peh+Mwz9dSqKw8xDu1qQsUPzuyhUMiKe77t nk1SezJWGAQxwQfeg+KCAq2xxV1YTMiaeSSCj7UbMkGlRAc39/r47TlcGhwz8PWszzH/GUy1TeC0 DLTvNoxYNTevLgRSsvrQqpuIA3apc8d4aplKZzlcgRS7U0C5kNZGXzN94CN+HB1AZ550Zr8lPwGn pOTx61aym0BV65/iIPrsIZWQ5uVd5cZMwM5UcSionNtDESCTb8SwjX7uFljVzV5Vd65r10PbFb2O FvGXrpstPrJVeOw1G+vFnep7VxYCmE0gjJVrYNGeRDTfcuH1uRLCJl6aIjLc/7PfLxvV7UtMBf7k twNlTxrkBZQF6solqE0z24D4IQ0Ykiu+rVi3gxeSoA5uReEL0smUaZGfnAKMWUO7N+xeMZLW69tI mIdckAiCqjgDqp/9+39YrqCC6zH2V9FGqyPf4rCQJPCOugAWTcE6bkk/BaHTH9p+hO+gmwJV6zUr PWLbPqeN+EMDwQEj/8SH0nuhB/chVMxvDCEmJqfOp9r8TOaVJj/xuW+d86hGTqXrwwrBjtgxQYV8 KrP7IfdHz5riiUDwMp9tqThOeQh9GnGlKYI8Rf72wI4n7Fn6bKFOamhcnr/tYLA+QCGsPO6ARpYu w6XUOvcR7Cv6vymDq5uGtljLXaJKzsk5Fh/U1hc/7YNBZVkDNwayFkc5R72cNwPN0kZNW8tUvyap +jqocmaE93jPUnmg/vtXplJc+2WxVSirDTpKy3w4AxxB/fe17IeY+mscxLr7t2f4qeVy1O09dHay CWt1UKOuG6TXCbAqXCeDIe7ZPtaAuLKXUHmCftIzoICOG6UnAbq34MXLEoXq6t2Cs7nMKE1zGIKR 2MwGl/Am2BSCSCG1easf+KOFMQ8vggHIRcM2qSgD5oIoMfOZk8TekGiRJnbjiha8oakWrp8CGkAa 0emU+rdnRJzvx8Z1/6qYdCHNcbTnuP7fvqnO5zYTNKp5Nsxpsf3kKf1lKLL/UiupW9EKnMeCf6Ke TRRK0L82xz5DFjCRR167bkENAQru+lcwtkUpMdXFqf6Y6PleAq0SaJt4J5qk5ZV6kKys7Wj9Vk7F Be1L5AmtRiqIsMLIZ5QmH2+hh/bfnm8qPa4u3m4STWuDo4OBugC4wkEpXbVDJXa6By0btcAxAvrH QC2htmxBx8uImtcB/FH/84poeT848mrieum6jgiF6ILwforZcRUjRnRGWJgGcUg70KoHy5Ap8rQp 9RlyhyYDpZsZpXaIjXrBJDfnYdNcEpZ+dAfzjYFRDqy2+cH/9Ot0nvsU7VCiYzvcerclqfRijC+q woNUHFVb7zPdyyapQoUFi9nB9ztbHtMtIQNL4G7931htTVafx84gAhQsbceyc2hhMuOK8m9NTe+B FrfnoiqKuvSeQfXSpost2yaavd2m2RK5UYsHAbnDgRzeaHFiiS5uXAEMc5tPXajU6Y00m//xMLAz EwzFwdKMe5YBmS9Zx7XkX6csZLUbLGSp8TtgmVSr75GVzfqqo3i33qK+fpQ3s3QJ4kpWRx8pBtGL JrYKdXbiZrS//VQB9EkxHoOEj4ilzv7YaMvdZyaunVTj3eZr9io7SwCm+Z2IHE9LYNzUcL5KPTCK Utly9GCdNs91/ef+YyD6pOS9yns8Miypr7wLNZChie7i97Yqynp3IUjhnnUEWhLg51GvvNHynuql KfNTtJZNTMsUCL+eiGhkLaiHJ32sF1f6Y2EfjEr/R1lkoO8nXkh6FlOLC+bPars3omzTBg2aFX36 OeCUPtPXOjQZVXC0D2bli/crboi/8cyVtO3Mf95Lbg4tBveA6upquH1JgwscZ6Clo9OmtQ3eIx0U FyXReyQkndNGfDuR0MBuKbkI7/IxY2epvyVskKOLRUQncW/9BJ5Wj541+qpuYXeqQNeV2kaVLumG c44pPKpBWG8J1yTFKtc6+GIYAysU5ULuwWWhQMJN1kTn2BOEngxh1Qoi+H57ujMPmnyQvo8Szd4o sxWR9R7KcxYZmfi/znoz4rW8hHTlHHMLuXlpfiLurcV85kUFNGkdWQegZmCHaXAo8RWulev0nAAe Xpn9aYwJ0LWMp3WW4Rl6Ms9QUr3FLS8hO+mUpvAlyXWJkEecfejp6vrQwgZzWLq9bM+7nNXx18cU g/7T/2Edgc6GAhmU7km0Ip9RksPV9sbz5La+xRjGYZ1zPc6QpF+kzRfJXMHGId0p3zoZ+LeqDITv rez4B/NBLsuRld/ekC16k05qZZqqFnRGv0+teRUx7Y2dPPcc3uZPgFYFl5AiJHd/ZDfN9ifnCcZU 2px9eBLSHNAE10uJQ83xhnX+5z608P4I+nOkVfbGRoX903LxwnfTziTWvhlTTT0NMySt1aEtQiVB SaArqWZwAjQIiVMLXjQTPAvSuBb0CpT1mojqcls7UIQiooSeTZm3JEDtYcZB8/5XGc15DLdPvQzq u2MEm5YztrQWXWaiiztntQ09lhCROJ9MjSR2R+7A4kfs6D/zgznop5mh8e9LghmY1aWt9Z52LLWZ HPNsMmK/AqhpKM6SrhXKrRqzgCC3ooUSAJVYPLCHPvskuTlkK2TyPGr88STUtur4YMi0x2NJNdh+ 18zy7JwQZVTubbwxxmc2e0SmzuUgAw3/dHJRjfBVMyDteKD2e4fnrFk/B8GwbLFrhJq3355WK2ZY jgbS3B6z7H6g5247NnE2/NeuLphV/vhq8pFUqOhJIb9AURkbXEEEMSKWlkcRoIxbGpGwIR4inPFK bn6G2QNPX3PNoCjYac3rlF4SqeBrqsGdNsfdtS7VkPS+bB6QaDandv8OX/RMOpNrAobXqj1Vyy8e NZIAV33KcvwP8v/s0wxKr4NN3PjKUH+Q9qv75PAfrWmLe4q8FrkMEgtD7Ta2/8OHpObkT4pAynbh ve92QeQ3UQXPYiWAJKonJ8hk6Ze1fKtB9rZ9CP5T3qhgl43jCxSdrz3SsYXGeY2HrBf5h774pjaB 6lGazFCELbhLpdVrnwoGbv+hhIsMobU6IZfyt8ekvDHu7o8mC4HPMh7AVkuCgDjtQ+Bl6YL2owOx YBOPX0XPuyW2BAf5IWSgSGR0VZtv2IsYaMgW/96GaJXE1MrLjFjxX8eBOb/H87gW0bnKG7P6Pd/E ik3j6Iqq4WRnKON+s7iVCIA59NDi4HOHzaABHqfjbxFWO/3Hg9dzJyiv/+xX+0jOxgIKmsIVQ8Ep 5GjCb4Eo+vaZ3erECkphG0AANs8/1BVeC0K16jHffGH3XoU21VLwZZQE7Fzst7HCe5PB1VI9/9h1 n10HzkKf1sNZDDFzUOh1ZFHfL9VkaofmgXUC5NHwdseWly5y4tIf8VTLQ8MU+ANP6EFtLDnpSpyK ZIr/9iQoDQQ6YC3v1Zj5htqiQF8eqSBbUABnDNoU7cXlCoU1BsLuEudgHhGIqWHPfUrs+rEiJEoK pF72xpvvv7Vm/nXUPKst6J/OgFFZw6QKmJ+ZbLvUndNKZHqDjffhgti3uVJhm3vLVZdIOzHMHTWG LZj4tl3NUj2t1jONX0nM6Vcm/e0p5kOgwXHCN1zwDL72pYfz0wEk0EPMEJiyFcWNrPnxvsB7qjtq rJddt6xdzs7ySVSx2x0HBddHDIkDe2ctCNKFlYUaLrOT88ZaOVjzgW8tm4D0iYgaFdTV9TEnWa18 Au6JEWKxNJwd4spyJUp5Ocd0H/6zdQtUoadHt7Pu0eQT0fHbo2gC/c4Wz4aTOEVLep7aU0wrBW4q eEOIwDYECwlaE88ayY6lvm+c6MJuLj4KoPGE6fFpe6cIHyytDdN39FdPctxXuICPho8XcUOTg43P du9qis8ZKkZ0Hx7YY3LnssE7yqae1DmDhS6lWwD+aBj9w3/AFfEFWi0qF1GwDeu9SP8/710xf6Vp 1FxlyxDehj0MA9BgYYXi/6BeHc+AERxfF0ghjPdqBNBNKG0Yivw9u4pzHHXaGdmraAXFp9+t8/e0 BxEZAzMm6nypC0xGTsdaVGpGeZr7HKk+FemyWLapXKKy+ZK2lvQctrh+ityMa6mIsbobaJ/p9kWU SSRa8FBeCGtA9//tMZZdVGcBxExfwKgjNiIrSzJrByKxA9WFCL+r/kgEdsFk/MwFwlvP3yp5LtWk LQ9nzG8fDZ88YffIJwQ+AE9n20EFApbssBgVbjMsx6WWYc6inFrHmompjwr/jNyu+sa/XTYRp2Uk 58l9uccNkRLyouEeZGCRsQJEr0c2sLgTsvvPe1cb9XFtsHu4uUkuSSTuPcOAjz6IFJvcckf1HZrk 2SIWJCnletYGkSansj0EYfpDxr7BKjfkT+hf6x8SoL3ie7qDcDKklrIpcYAnvi8CgasVQA4cfyca Pm7IrujqR3juuA4djH0drOMsj/+ntTnP1kcd2SeUELuTaiTblooDO5mI9P/sr8TzEVUEAplWaJ0+ T2T7NU60R4/O17LpCoy7lzBsLsfWYOh0XlAptqwMevpk0Gc2V2TPYL7iSibxUjiHMF2JBiZtI7QJ iWrlMpn8G9wDkEM+xuroXuhXI4JD+6O0ro3CKY+moiQbIgUlTROhr0P+gl70MmfJegnUnhQknUkc lfP42yOn31Q01yQ5QmpWktaiuhM0A3BcMjdY71HgM1F/uOvtX1D12IT1A6+MSBOh3XxoALAvVEpm VWr5fbkH/QU/MkWZybNhoPXvepBBmqmqCdGFxOVaR6ma6y4sgxwsz4krns0FPHPu4Sp37hhqO/Ne wqNsuHXB5RurV1+JlbERsmll7bfH8MNe+43UE2oDRCQbGCeiJwfrRyuuvfg71YGpf1mvP5FigG2o hhipd27IzGGKNxnIhnfiaChXTW4ZTrs+IFBJUZYyxFTyoy4vbJgLQzEfrqatpvRCitamzXIoZAGU +u0Hl/dLrnAd1FzqzXyF05LKvsr+zPpxiGO2HDqCj9HbjOm3h/h0b3AlqfQFzPG1zws/TIBFLWXS 0wYi8I+PTZZ19ByxwtyCLLElqyEA3pYc5xsXU+PAlwnrYN7QFGbS7odoamcPsIZLEDV/dVNS7GHe G+YAMRVfctOeHFE0QSE5pAJ9ZjWFxRmjLFWW7gG9YeYJ/Aa9FBr6rJbhw/JAczhSktTQbw9G+Q1a yW0ZI1VeHZRd0EOHJvb2diOGkYD9IrjeeMfykbuAMfp2utqDLVQIrIgOsg+BTbmPIgrokiElfR6q f7FNzLVdc0DChvwwmhanBM4Srh6Sy8fcaO4GOVmXQd9RydnSJBM7fuDU093yYZWPwODD7/P9NUcI UJUCUOW0yh0iUzPpH9Lkp/9H1j12x7JtYQCObdu2bdvesa0d7Ni2bdu2bdu2ndz7+eQH9OhnrF6j atVbc86unpXWdeCzAhzuvMnb0/nw0uxB/KOXoiUKi3CbnJ53i1imxWKBSMfccovfNiUvnJMusJG3 dGg7rMDuKwk8kVwFv6+N7dYuoQHq2r/wt/Z1ub6Vc/Nlq7dvssZsy0482HqosXYeDW4EdUl9O+tX f1uMcrlzSLQbPd0crPC6iJ0ESSQXDNF3MCPfJPKeZAoCXOy2Ta+yNN2Rpw49VevZsA7p5+GXRczm jd5si8BwOxZpkG8EQbPX1yNsNJbkGzkAbvOOiUzzNVsa+AI3L3yLOnCWz1/GwvKudwXEDaZEugrp QBHwrZelKf69eLV1hs2tX3mTsOvo0T9MhHA/cZkAraXrAN7COYoGv61D104CBD7ZLrgsQchuJFuv jcR3JOCk/GXlLdnXLExCupuuwXNozcHqloaAOmXu0YiOS7FQNfMPZxJil2f6W9cUWM1yJRIc+RN6 fJGFDSEP9ZnbCNsU5jSZ147GVOrTS8jQZXT53lD83l/5cgjEksVE0U02U/sqUo0Qg96pzg8RyN/h HYwrUHh2DY2HRgWNcPjyIglzaqfJPpi/F3mhjLqg1nHIZ3115q3r2ySDKHb7R1SVTKwPoR9XhnFc zd3f5io6pKhmodzoB5tVfhWtMOAcUWnKN2YCl11GQMsYrfXCbnHIMvZsRx/5siu/+il+PkB/lqFm /9y0WonlcaTHMxP3uP+czmetumfNiiNiTd2zXaybaURwxFNZ8Da5zGkBScx8dX0MwyqVVdZ0FNDj 0qtM1z+LB5KWC98rqg0mdW2mCY+XwBnAY7NvXIJ/4Fr/8Ye2mFoND6wYZocOBArIcOfAuWFMq0/J vvTfFAE9+HX+KjnbAVc7QQiA5VizQKLMjXtl+u5SRaC7Ml1b5Waa4Zo9fAzGEr4mYXmT5pE+NX81 xOYjnqUjeirfFz9/v6+waR6/3hYEx+PaKYvE7TziFzG+VjZUn5gpenh9kfoX5D5k9Io9fpRj9nqT Axkt28G4WyB5EKwoAnNNwGvW8jndOXPzXw9wU7/mZtvHazBR2wLu1OHAxUGluXJwAodjA4+tFFP9 x6aDdJMIf1AopNYLFv/6VI3+HKVV7CGal+YUM8oSKyuFhnGUvxxtArC3dKqxflOe76RfO3MCx+aJ VQta8GBuU3CrRzjHz3IIuOWrdU08URMZl/1bRIZxKxrLjWRD5+Gx9X89E1hGQJwXfFknM5fiNUji odhnyzVUspMvaDWP9aVPiJjiNU8oa3odCHP1TICy2ezIoRZcsdLKf1Un5sYcyhztd7OVM7gtrjQf MoBNhXSWeAtthANhyVcj11S+wXerqcADVAAGw8aVbmwl2J9UVi/R6uYQ6B87nJOUb65AqK7ohPh+ 5blnIyvUoCORzdE5iVxC5cim6Rf9zWJNMBlyQX2gOjhLQVCvWuG65unF/NtojpJq0gNk6yCW5MsR ZXeqSxHZ1vSbX5FaotHEPpeKdUvabd2mlD1l5mjOQR8MHI1ZqlAF6PKCf4NwbbwzpZaf2+5VgLIX 0TzL+XlsBnfIqkTNnYnv/uvBv2wxkdFShJVD6a1RyUJFfz5AnvJX+raJPJnsbEiPP2yLB+gzwkJs s1kEzGSTFyUaUs49ulx/d4wDkiJ8QUtKbwlFHUo/OZb7EjUVwibhDwtGoQ7KkoMHJZKgCeERhSiR L0sQ1czODRm0Cp7fZBqtaJfY/xyDxYJfFmx00vJU/NUfCRvG8nwF5OduKJRc2cG9uWO8ygrt/5Mp YvjV3WpbWgQTB7WOjIbdWsVppYWa5dvo8JGL9iSOcYsVYSBwpG/NCSuOK5ApeNlqQqIRvOo58uTq Ks103k/uJAxf23hBhl5z/KflhsO1VHQkNk647ErgZRv7I9w7zg4mFxd2tIKq/S3jvx7K+fF9H6St 4/ONCtwIU+K/Lu63BKHgKeNNRtncE3WN69jvGacP3D/iWYQ7D+lQJNl5UVS8zGfBlYhlvQ+bBuAR sHB+Ic08c7KG9pgK6fjyG0jNhi8KDS3E7ZA/ENViC1JGjSKWWaRsJantZYuRsIfLSt1yIg4EEkbl 2DDLNwMSIb/e938geyQMORhbiBlzOTV9KYH7lr73MQF07JN5KNimfhdT6tNl400uDNXZVQG4iD4E 0fhCvEGxtSiqT6iKIZ1sdAqBoutZDDQeEopvduQZXtUGaUooigT6f3nuPEIuhBNXjlAmb1sRxKdf gc8S2CfCwVDiz6d+aoX7HpPIpyUgjnL96p/S4RcdwaAOG3zozQ72GPd7Ok55Oq2SI/ec3dr3Y15u mNjxreh/uuFUyHRVobkhRygTX2TYu2fGnwNAS69bMhuwOoFM84w33t/A9e3kRUHlRuaRlXzCADX3 yxCwOGhz3gP1L/QiIUdwt+/ULyXji0MvlYA2/pS1XrWmktQIHpoo+q8nwrfib1w1zBJzvcOavo3R sw7UqBy9/+gyIQ4FbCiQkQGDIwFBifdYGQSta/RKFAUVbIUQ358vafO9EHdyD4AvWMH07vWx0BMK +rursCOWxkprg3WfIAAM7qHEoOxtCE6SIcEDt4faLaACCJv2D4uhmVmoT92cHLkpnLq85gY2jl95 GOrfJn0B8GBGVx/x/X5/HZmE6huav05rV4Q+8/8/fa10viLW3zTk16daa+jIXLIgz1vnLUXu04da iUyfzRKzNcVwVzZnYRpELPIKO/5kEspJqd8X+tZZxI7d4eDeh6NUpT1r20UPxl0BUsxxb7VdOLrU ypeUC93PS3KsyV6tbW/+Wh+sTZWNiSqyf1J4Tn87CUz6slq7j4N3gS6Tad5EM8iZLbMq4vbgyjAl EpHQ5hYxOzXn7LPiDaer40z3HMGbAE/28pS8allbXCBPQ8yLl2zkHSC7Umohh7648iqFKoQ/psFX OcN0uuL7yLuvsablQ6sp7ofyJ3kPyjOGr9pCfKLsftV71xsm95qOkj8g2TqQWmp64Ami4Q5+CSgS 52h70j53qAZNGIMitE160jL11ydIioZbj3+b/Xzdhhl5qYMhITcrqqxOunKDz+X4J6I4UmeCclfI y6NX9/XFoyrW9Jzz62rJ7e3JSq7npNqHWzV9R6CQz2J6WwA8rjUwYLnTV/gFFIH+14M2qolEkbqb 3YjEvZTxHEXN0LDwPSXh0wt8EVjK3hd3vM7GY54JmhnsJeTZXE2RktHEXILEDb4PQNwuWuQanRDd eWW95APEiC+X8UShPEK793J+GF2OBI8TIJNfEP7B9N2645gFBLzSPSZ9nWiQFZOI0S9Q/Zp4mGO1 RaP5uJT76/2okQH9ekkGCABLbYjUmXZK32bSGckz3rHw8fC+y+4eD1xl+x/4VVlS+r6UFrJ68eAG mPp1DuS60kBMVcFxmYrcqvGJH/wEOXdz8tSbht6A1Fhrhi0vO44vbGcj7w59BEYsHZ9HkVLIOs87 PCbIfEPjz4seavIiD4zSW7AMgrR2as5f+UHfnM6uEy7V8R/VUvJGxbS3wdGgNJ0HqFqTXZIte+s5 cz2CIfyKt8OyPwKEJsojmqwdF7GtZPHDfVYMMhoc4AqjB8+uYaaSWlGUB22cvIiepspz84Dt1otJ BdBJWmquDvGIuIMPUniNjgsTRpUeV3p1dqz84pF2xnzir/UVY45M//VcYHmAeImnWouzTFN+pLjD 8OsfJy5WPTtYHBq8pXdaszTpJ9hwxAf4e2GEuBERYqOM0iepTkX/QC30FwwAM2g/V84IkKPKIxMQ rV/UPRec0g8TZEPfA9GyvfpYFGJIgK9BNqOoaUzMcLigUGehbyKuLqt0nXyJWIEEdN9H4DQAuf3X E/kdPbr8IiopUEdlp8hcXP2Nag7vfx7l01WQTjlEYr704YwRthRRtT6dAeUPJp0gOQ5AdlFgxZBs UFZzKrunafsjPtoZJ7I3oOiUF7Ot+n5ryIz2vk6dg/H91mTYvcG+7NFtx1ylXGnEhFrWCDqOcgf0 FFjPNpal6vCPK4x0BfzX+XBWwBOhlmkR9RI4naRlntMWaMOvpaz92SjaK7ZRj3p2H1VE1pbNH5dF YiY61pFAcs0HF7R5lttrFM1h32cMBk7B0769GNsXw2LKTZewg6ZYZk6rpg3eSeKA+1Y9dGjMyijt 7J/h3mh8g9s7DhGaBZCyCMSXRjJh32qlDhpMXhrjv195s8bQotGE1FdYcAZrvpXY3N0pMygtVNCF 8l4a7TaMVL+O6hFkI0nW0xFqk9rMDO2fwstdEWjaIQrU3uIKSVkwnZ9jVj9+TxGKf2gWfhDBDk9T t1uQy9biB+DS3DMdyRn2mpb0RRfxBa+RupXLu42SXb4vgcFkdmevHmHszSzBq7/y3TK31fXZNUd/ biYXYhb15Se08Jz6MaQ/8fYauqJSn11lPDE/6/or0n9Di75VxzzY9tCLXZtz2UOl1g/9rPmO8iBm AbE5g+KCjKK6ovnTDwUBOeMBzXf+wrfU0ojH2U2kK8VOWpN+s1cOL5TEdYdQiCBEHh9NAlXXWDht EgLY+bf+2s/AV0RjQ49LxcvW4VfbcflZHD3fMGUFBZn0E2TBLyCzZmaBvudZlsxrLVFLpohamlS1 xp8ff0P2jRtdd0ZMGh7BJ0Z2DWv3v200qlUGoyEmmDl4nq+DbM5FAHIlLqoQYiW1I52bF3ld/RD6 zfYj03njGgY7F/OKIL8GhEDc/V5ofvW3bqPY+75wof1UqIFI5rBUQGpW2o44qBo8P6KktuVs08pU +pwvYighxTCXa69DAXewCTnpvdDwaHX4c55lO6EElC5wA8uqxIHci4OFrr1demblC74HrJgTw/oZ uj/bkRrTYZAzb1wg+HlvjWnkZrmmWRpJoF19MfPkyTkkuGwPAyT+uv642fJ/wNGYGKl3Zc4P5eBI iRNSbMkn1WQE4gXq7/GRVINsaXiVysL3jkQ24uIjI7ucuUed0wLjWYQCPqPX5fTkrTQK2ATaalMN hrJTr0Kbf5DHvP6oOzWBFux2VMBsGXKvdiLOxvYYm+ekwoGEep7+9/t5R1HKM+FX+DknJa3W6Wwq nWp2JCaj82DWVBSHVNo9Tf8scSQ4IFa1F+s4jc7mOnWDm/u1FvJxExmtYcLdmlN1E1TtK/Vbl9Jf p3jEhfY/nl7MmUr0cb/i0xMsNwRtsQCj6HFru5C7raVYCSCeDZb/s9H502IcLCHzwUdYi+4r82s9 Mi4po83BUeWPHUoow3m38h4E3Inp/yJ41QmIedBrwry6ZlZStKV8keDNZ6mfmaDYHaxVgM2Weuv4 YT+aVaL7uPIgr1Ih9T+WWPmkjTn0L9Bb9WERUWCIFxy3Doqoug++yBSb4UmXSiKDOZXrtgFxOM6B UqrRY+mYLoMJbHm9/sT+qm9kExA4UDJ3xU5cjutXQ7gTaPIOCUfKc7mPofMZXJs463ZZ8f0HjQi9 n7IphOF5eMB+GFLAE3nEFOwYhIylHyLtoueTLKvEVACRAiTKoYcpVvn31H5aKiitlA9FVCMKeLcW WhLnQBHmbh3Q7GaxQEF8uyY6xw8uHUNTpEX7AGrl1zy1MYvYjaKW6c9mSLDl+7ilI4a8m8AP63GA VpYexwJqKemLj3m1htCXQCPjzGOmPk/ofeR/mh6fhd1l0c5+Add/gU7DdwFaZAaiyiFCR+jfrSeo v1ZerLCy8oJp8j3VGG6UeIz5uPdPjVtvhrGfEU+rEUqI8Abe4P31XuMpKBBtXZ7+68kqg/rYNnus 608W5c1L2G9SXq4Ne+92f2z3IcokDzGOT8SkhFGlVwduuMTSTX28Zs4pFM4JMShFE4naXpAr6yzr pVGrnbv2kqY2LS8mfOykDSrOWRGHKqkGTFdx+FQOG44OlKsB6CwUZTVN53YMaIkP3XdbWHcKYzda 6ML8gdbm+JWfyvSGaKAXuuJEzwZOU7VNaXZtYmslir18YKu5T6di3u4dZpQfZEEkL8byigULmksw jwDCDbXYv2x+s9hmHoOFhviRggweUmvDDnT1kdiwE0Y8IdDOyy4565QTwv7zYBSG8fYq+4gTHWRE rqNYZ4FhZPHcJLLO/UTUAzlLjO1Pgfy1PtBkGp+xM6RnXH//lGLTDn01vfkWpDMI2AywVpevpypi 5+MtFQlt+DqQAydYU9bFDCA9ZDKX2XipGMTQJ6rEZMIeIq0xumQEZm9gC1VlFeG3Iaz5NcUztqJO n81n+lo7VouBENpeS+SiJxIDJTgZrE5kzY6kM0i3vG6LQ3PPEyj+17OI2aqkJnKShUQl9wAls5hw pSgEcicDq2PWtRbfGtNPtVM+epCDU/RcTtLQZZmn6ddOQ8OeeHCgHibZ2g1JgdzYSTFqP7DWDE12 0jLLFmkmxgAqqtdYZZ48EM0EXPW3gXmvNwP/sku1Qa4lgHwG0PhDesf2nBazGkX0RPtvfnTvr36y L0a4n8QERtJn8XX/UClFbm9CXXkWLUCoft4FBzoaeq37LRLXBrv+RBDAZB8FXO5oAUBZ3zSfCqIK ERsK1idlr7SIxS5cRyijlacx1Ov1prfHcO+TmsCdVf+exJXNW/AUp1iKALlDwsHZy4SW7oOITloD R6M6B8sUcWv/f2hb0aW/8stUk3sJmE1gS/0nssIAOXXeqwtt0E74RjseflxjxkR6kaWytqnvv939 AZZ8BYb0rbkOznhLtAjk6te3ZiR3NI3g/25LCV11yZK+FnKDF+UvBhyIgxvozTXBxORe396B7/jI w0I32xf0RoXSBTiDESRb9/6lOyt0zND2FA3hiFL+6idLM6qvxZc2WmDJBf3Y1w9V2MiOeT3mJwRS HkhnKDfwAecyYc5OUYHfMinorvtG769dsSG4VOxTHT3j+Qy4Kn+QGez3bsgSf+a0ALjiRDbSKEUk m8sjcRRMEUEU2NKJg9cQReNlHEMw+SegSoraGKApmWBXN0dKUOwz8S2XSVR+bPhr3oCv1YJ0USwC Gx2HqWSgxiwibsmEEaKSd0EsfsvB7HHqLi5QoHBt+ZDVWHJgxVAUl8Fk9bxrV8s5ArdIFtiVGgk8 ru/gHOzGlpW2LmBLPKedE/7WbJLh6WwjETbiq9z3WF/YLa4W5UrQpiQnW1SQlIquucvrPEN3l/09 ajI8+cev/aw4SdP+5C94yI52WJ6SoVjpJA+qPyUK9rz5Q0DIYP3sXAO0zU6m9hQMaWJH5ww8ykpr Pxln6sR5XJOzj8WEq7GmdviIc/FxSTU8bEO7Hxfv2dgsOmSgcdjCQPHWqwEvYq9naS/AV3qXNGsV Xux8g7/TePKeSxbiWimLnnSORen4635B/cYhIdi8b2xLKmddwaDhQK/dA0lFlLaTMfLXpaKsK5Ai pYmO/Ut0X1OIBM1fAny22imwlyr3mZnBXH4vjvQci7pATWTUsQuY3tV3JYzr/WG32s6JW3YjadOM kvFyS/BqhYDcb6bngSn8zY/nOKtCtA9vmVAQtwuaoyk+mHK489f+MV10WoLaLM2GUt28S+FX6hXa yY3tDeAxOsNAzXYo6mPhLYGfX7xGtW36t1sGqzg2TsgGn61Oatm7M5lU2iWlr+/IhgDXQgAs5mcy 4AR175uoRUROpm4aPW5Qknaz4ihJ44V6fJIWaEmzeavx04zvt5VJOBPGp5prjeP1DTm3g377X89r tucAByezybQpiim8FmV+ON9gVCB1JKzBvVhRoXrWfQQWO5kPpumAzDtGrb4nMLAK8JQAPEO0VXG3 rAnh4BCXM29eAZqS8A60flNv/b2c5wI3uBN5nHjHUJ7wBDapjGqCWhSvlulGvkWqjzVISv/az5ac IfDpTp4LK5DOZgzdr/xgyBDlQN+oiMg4gvw8rVlpFABo0rRSQHW9tVVwuB2p5NAVYISj5UnDzpLS Z+0IbHfQIWpgg819nqk1AX5Mg6OWx0Mmw2bPW4LZZl4T7RvcwQO82RanhrE9I/QtUtPMcu4eGjub voIQZAZqfc57GG8DH/EgHAD4GC5wDLkBrD1h9te8S3SqAp7UT+ck5t1oKdd1KqxpTDeqFzJ6YP9F gEh5Bzihlzn3Gz/+Z2+V4GoMjYPwRrM8Tqd/RUagf8gZY6NTQKYDfNjDiBbU8Zu7eGHkh1oLPYdw 2vMamR+o2TledzecrELsX8G7+jNFNO29gnaAlu64QhSOd6eNJIMYsQ+HUikn/uvpBRtB1dGFPK2l 7pZsj53E8icZLtkccT0XQM84HiTywz3ZioA1/sb1QKvXZkjyqI5DbHfxmlCZ5PZlWoH+jKZpOJ1E 10kd9LezpXGHfTUNEpLJSb4SAZVhRNKE4CKZve5v9qhpOc3VUxd9aRajE2iyFlxvLlzWT/s85QmA cnJ8/zXPQz2w3d9EDdkRYZrpQvqppSTc5IwvmEew1UOMKjLf3jbeSyNpYwX4xVn39Uhdq19jtAK6 6VoC8t0OBiujHomAtnabTFIVjQ8ZnRrt1PwEn7QZduvxqB7TUEZ4e3hdRxUtAScpBFTUY0V873ql ENiqegJfVACfTncibA6MDMU/+JTxvx5JuhFwQkUgNTDbeILQtEeVzZc7SxbykYp+PRNl4v0VGsif wINwa6lUALEvjGn9jXH0k26khV1GLL/pHLzg54LNsOx8H27GXibwqLFx6uabGFpPSGrdPLBLqr+v MwaU5ifhC12Iun9LkpFypC0sjhPIZd19jb20+j2e/lEb+XRO/KqXJe97Qk9AnV2h+rxnRz1JHdin dp+v+HipeCQO3mbMvmS8dZPcItOZ30B2VD631+FhE+USDwggP73JbUOT9W+UDunRsExjEhwaZ+VK X0D3usj5KdcMPsPzuBCmz73cieoM6CVPQArHKDur8k0NLBjSaGQy05x37Uh0idJr0+qke4/+ryfl keHppgr3n6MYQO+JIxIwLhigJvkeSzO/ukF/ShZpmSXe+vXhHoMjCE7SLX5gGKcWuwTeSpCWWrCw ucdUh3sBZm3vGgML/UDGWFU/y0FpbTEXWfVLy0+hyaaCqgzzSbk7qtDFW40WU2WzBSx3xkRReWz/ QiELsMTNzWgye3rUr/sFE5YPK035cB5MDrn7TzGNXxSoTnuKtBQ6IZVArGDk91qE245kYTqU6wHX axyZRLlMrHmeSzJdOL/W0AlZFZGjugLMROm/lrifUDlZPARUvlP1yV0L2D9BuLJgf1MUgz1glkZm 50xsbcYGXb8eVKGSUj1OH6fUs/PcQ+p5fWW/AzT+66EzJxu/S4TXVkiXnJcnq45FGua+9evRW2nW MSeSR6g9VOiUW3OWxrvSpTDhTXqSsVzYI5qlPw444lRTw4XRF6YK95uCPbh5CbeZp7hgLgrz39mB iETYTAtwzGTWlKxFkJp9Cx+SqSv8sUopTs3hHPgZvdTdq+ePFn5m+BgOrpk0/fV7abMnM5ozxUiG 8uUGR7F0zgvyaALusmg1VMm0DQa7mgcJmpPKPWsujsEAUoctkmiVXaU4Z+IN9IomCb6nDP6dbE+2 ZtTDYvlCflTN09XucBtj9fB1FzST8EmHqQ5gpjBhksyMjdDOCifVcznhToi0VAW8LlILEDwE8ZCr p81Q/5UfxAON9Jufm8siFOBoz3spRloFiJy4+0psEUoSIOs/E0KiImOqSyVNSiCXzi2ZvU8g/UNl /Yt8goTod5kq3txQ68rNO7NCY4URA8SIa3EOg9lzR1KD1uF7h4wJfHiKf0AkPNd4j4j51Zc89hYo L/G1Rht5crR8TCPvihFFmJh4lLr8X0/Uu4q9PSE9nfepj0fKMy4jcZDGWhin9JKRX78PW6CjHFZe SN7d1hw8l/6V8VBgaxzhRmn4s9fPIKI4Cx6sKBYPNAQa7RIaPO2QZShLJ/eGAGstFddt5lnvOz+1 saprW0Gtx4M5D1siKuCbQG5OuT6N2ppMoey0rx+VYlGum+dr/11gY/1IBtmnib7aVVvt4VzcGqQ6 dYCfRz3i9MQD3LMIvEuNQYZb0XmgIIEhDsmy5OyFjGKSsHbYWu5MNQJV3sQlIMLtqNe/OrZxu9cX q4kBjZ+IouwqI6Si868KBkbz8tJcAV7yLDf1qyuctuzZT/KIg5Vwo+VEcKyfGHcbU11yBmgNtIv8 x1HG+PQKp1p7+evw+/txtFdsAZeeLMHa0DVjy0WdU2syDhcmiHOgAcq3W7GVPQAchS59+Ns4DPIH N/jhM3OAn6ckNRsFymvnqQ+yetln64p27F2iZ6ZJrfCYrLuYsoOJAXfF5CJjg6RJ4W2tD5hlwoZg r9KYMzW4QGCRlVFkJkCwVBbnre+VQVu1aDOP3TXRg1XfZjCTPksGfnKCgQ+ka72IJjtdv4mKYt/p s8UAfO7g+fzALH71Ir2PUE6PS4cQx/qNZm+akblI/hZR6X/nxd8M66qf40hclaPQShzuFhgYm3GJ VTPvFrzC3U9r1cMIknDjXGEKF81riafDBWO15g8z0mia/6A7Mdx1SL9BiNFCX6ESzJrrZgfk/uth dxJS0/JVEEG+4N8fDw2DHXiCndLNd83S+oiwyhvv7W1O36UXixF18I4i2HXvHanfjs7rZ0WbtXjm F5AUTRhIgWvDtbY8iQu2uswksRpgNsWN0tATVBLzYW7XiHDIEWDupyC+gp/m9ZqW61hK2vedTEoY 0gnd4GxnNkyNHCjtVs5C1OBBTqn5JmLxxqTUiwAKg8sZ2e4kefV0o/28jzE3dsW8fiwsBNRynwTI s22Vy0k1rubx9UaH+yFidP1W4Seu5qrvwx8E0IcvUZiVWt0jRUFH315aAIT97Cb8c9HsonDC3Jby x08XKJVgvFxBzicRahrInTSznZnmeJYrYe+5Wp6lhreQONWYj/lBnvOuqu+/nihPgGJMGLEMPD88 2XbQQf9Mdr6cok9yR5jwdxw4W72L7JgTz1CPzjTnUngUeJoI5vcfst1kURl6FWtbTQMrzwz3AAlE CLCioD6v5l7mOulvF5in0NLzeh63SgsOhq0yaZD8aqwEEvyF9YZBs3GwT9lHTHmibo7Ec1p9oVpd DMCO/3qmJ74ahT+pW7rKbMynZsVoWeq78o6zzldqQBXY5molHqPMg4ZqxoXBWikozXp5d7XU/0zD 3O5KV7xLrCDbsRcvdXSPIuc9195fMSGk04LWA/5F4ZeYccYDlJja+zvUkhn0hUgPlNctByaA5OCB S0cqEL7AKZxUZlkPYj+/VdEiBBP0mUIt4NTTqSWxHAkSOUmL8/VwbYOS3wpQFJEqgVl2GN9ZJxW2 +1kjvtjSKRPUKCg4Todo10VeS0ZH9wUHbAE3LB0jc0plzcemYFkEtOzFjGzViOGvoI4pBQMXUswO Durmw459AVj8ZyQIjCQdtTxnIAjUyRUgLosmKMbYUoYofkYcYzUlFW/K2riVJZHEbPK/niFlU06/ u9gxRXSZB91C7nceMKFBGKjGA0B9Cel/mn3woFHbKSeMMQ/D/CJDQl/G4SspQY/iDRvALaNLg8AF 5tnV0IxOcimlw/OKemVJjgHloMZlsEcnINwyuoTNLGZG1+5v67EogfplpVi290yFRPYJKvqvPef2 Q2AoKIA6SC4M//W0kTPccsvy+fKr/fOKRM5/1+0rzvqD+9cUKYVQgCXDKFsm1v7JTial9aJfI3Wp mKSt8I8bXkvD9JfWMx2IIN/xZe89NeZXg2P8DGNstQ4hUVnoGGEDo/lBq7hEazM933uY79NOSoGN LlC+6wa/6lfiBlhWv+bujsAA/pBXZoPM4N5/PW95QZQDuuI5Cs5lSw72OnoBtm+RgatPBHBhU62K SmAaTUv6pk9Nf8PISqcsxHqXAxP19Uq07i4Stx39/moymEEsNEtebdBjnTEMeve0TbOGC/3/mlEp ycsTKzjulROsjcFz3mdzhJzfGjrqkjP6Sjk0QMhu6eYX7Co8SLuN0gXAOU8E7vHBw0XiKq+JVlEj a4Td3PpSpLzHy+11gOR7ZLIn6zUeFIbeL3B74Bnfh9tpwDxrZXBH2Sn5/zOwChi0lfTalmywjZ60 1L/4HebGn0x1c92Hcp5EClgtPZ0Qvj7uuSyiDeCTBXd0qw85PyMrVozkiyPqIdZ5f+7BE+YNTlUt L+STurU6y5Yl3gvEVSNSoP96oMaMu5+vG1BZJ5VwDYUtXYu+baopbHkRN8XvZbJRfz4h+k1VdPhA skTY9j2YZwYciGiy8oVcTmTfMmD6y/klfNjXxnpXKRfpMVwyvyxYhVZJHUieoY2PlfxRNCUg9V+s 1nEogzK///oFpIs7Tlsvel7oOlF0Az4s4Suz+7qmCED+1xMXc8GFmH/A8Y9pvRqfA7xG15xV0Pxs 0nq2JDsMklbOn6EEGf3l8S/wUb7zcwu6JVEjQVZ/X+LeuqwiNmO7H9lwxe7psp0USY/uiFQ95jag 43jCEN2ucuIfQ0yvz9v5lfbRrQW0CcLkOfMKLXKBpl6ytVstuTkuGE8DZ6oD3jsTZg6bjK8N/2Kf dQxPFOyAq0/xPr8LjOQK9BADJlLF1ApUl3e3a6tePwKGj5VyyPf4XjUbQdu6/hsbk7C1igQXvKa2 2N1e4Y1/AOAriZlpgkWILZZn5VzOWWQWU0auk/W92xP82y5/Es4guj83kw5kjnrysiAff/5q6GVc Fs51kizh5N8FbG4mjrGs21+ox8poPfzXAwSXOwMAu06u0INKVCV5vt1qo4/jKKOrf7mYk9MK504V HQJkF0xJhi0dk1akSS7Xktdv/ZbCfAe95Jc2FNQN470p09LG+QW81yeedByl901UWJYrjzUA7JNr gzdstFehNwpdweWit0F0rSM3j9Xf8RrkTfuG8pNpEDaoFngcM4v/6/mveNkbKzcvFR8Q/bafvA51 qLOHkbhJpZY2P3YRwxB3yn1ONEmutUb1degaLsllD6tbYurGPV4dGUAB2OUw81ylvgasgGiDnymf oYjNaMnsi8Wn1Iv4T4LfZjarPY2T8flO2UwJJ+MB5/r2cQ+AjWOzyWmIt0dYv6ClOsq9G4Xx2X89 XhNqRHxmcMdIgkoigF7ipwQ4Y/gVfiGWTjJE99IFfh7S2d1/uhFBDsZombb8nxm+5rm5Lv7o58KD R2Sd4kPCyLOnRG2ghVt782Rbn8DN160b3EizkQ62x8ipdnLlPBIc1//Z6Lp5TjekXmzSVX8r6TQA rOYFgaPcMaFw5vHSL/r1/y/RY8t41UgrJ6vsbYolHMtXk+pQdEwVr2xOy2tcYdtakapAKS3eFK8I 3OSyajLDHtjILvoAj9gI/kHe2PyBo1KuNqHyAoFPzg9XZ+tJs55v9d0VoWATL4oQG6LO5dMbrfgZ qXqqF7dMgviVtQdYFRUrkMVS0qfbdXGeaFOvHT7rv+rhxbztF0z3ydu4jczLJ78btoFP6xEBB7m1 fEdY7/adaFMcwwoLDg6Q1ux0HQu/oLiBC/Kj2I9XXQCoP/4gUAg/fX6ERTIfDuRuAqy8LCWpeJxM BiV4vNpqzq5vurewUbyhkcxZZpIkmVNYx6+m/xRXAckuCgwVawt922w7/yNn2rr/r2cn5GMDh30f OytR8XNrPL7XoTSk5qaC1A/fNoZpWVVlaSAKvqLG5NzFYyeEMkir0TLmMSmI5c8OGELC/td27VzP sGWY2+dhib7+sSPEKVP13dqnsSb6w3MCMqffa54AcPHm+d8XUjnAK/hDsA4NykhQRq23fhQIsNkH jyZacNtd8V/zqCTU5HvBtL/f82MQd5va70G5QpTfGGG5NTZ4E/+Cls2nBUYn9DVJUnbWlOSrtZUQ ZRTa45Fkj5Hyeg57pzTD3kaNrrVcbwc5MU0/qlcWXuJwqBOu0hfqhdwuRywv+J1NY3Qg46VpKv/J GtmbI3HoOw/UoF8U3LIGLAtt2dihB/5Vn4p7Hdu6cWHXrw+a9hOcbiaegpuZL3/QfzNNE18LNrsh 5woV1a8GxNhoWzRGjDyyjqtWmjg8OWKxzTtV/miOpA/iyezT+ep3JN9AyyKI5S6QJjm7tmpOMgEt kPsJgMlnBplil9mEkKgUGOkg0TtmUb2VWoxo5yHlHLKKSbtUctv+q574MiVhJG3s8B23MPRh0PgU XPPqWxb+lsKUsm3G468V9nifzNJsLUHZnY1gOU5XKfpuB7FXWz+5L+zyYEW69j1Aec9tVIjVVWlP 64yU/QwMnlIraUjRpxkBnDm/1Dgp1WdmFwNKlTYNwaHN+gWaJAkMd0xpcIXzDp5XMLrcHjBZPcl/ PX/U4rnkS/oyQE9mlqU6oOvj5PD+LN2uhJUPHAn046zNWa088Y9cuHqpyCNAgPELF0TPUOTAbAuJ nUNUExW8U6lxbkuef02uqqJN6QuKAwaxAr9pLiRTBlfzZZzhbe3krfbynmL0dLnmKR8GYDN/bSst NUQUgJtseU4AHlVGAwX8qt9VqsxXyNLeHRhvIAqEtAAc++664VGyEyC/7xeXbTSIoMyX1EI9KhIi Wr+UJzR1j2x4xBAVai31O/gneMRwrJMyGbzush/bOMEUYzpMiXvc7+CYYxDVaDB/t4uKqqqmrpRN DqkXGZYs7W9gQKwjnkZjrVAcdRw4UOB7h180PHP69vXr+Vg9kZhYY6Q08HptoOVl8S7uvos5T1Yk 94X4JzicT1cZhiX605uJ4E1klAa+bnBd7r2aj7OUuQwkQ7g0V5yTRTt39RrjXOFsC+iVEpUYu6cc Fn9gVfk9wKoyrs3QlYBu/bgVOff+bcjDSSwXBaOptIlYR1tT7I5BjAMg7/tsCRvjV96MtFv1QkC5 ndcSNYVoyX5jXE/T1z9BVn0CVQ3MxVqrA3yfM4NsprZ7u5J45r2eYYmKTTHeaeZz3yThbKkFlyR/ hFmh7M/EddxBL6rHB6L69qdmnbHJQNb+jNi/tJ3u3B4PPFM6mWNn/uN6PQPdh8ZbuAUVLJUhkyQL iIP0L0XWr/VBMFSkvGU47ugXn8/wqTbTHxXMUd1MasZihRDWB7XhtwwhapUOBnyQSOdk9BmLbdyz qR/PlEXUTTBbWG2PIiA+YYM6ISaLWwKyybgNZBzTHOhKpl4e03HQAzuPi12eMYe+iO2vG9XC1/K6 AgN+b+OCYqZw2ioIQvXCKZw3+McVaGEDzoysYXa1ngafMIQZWhc5TuywsjDGApUz+30jqhtaAOTD AJWkpV4XO/dJbH6iLtMH95dgTgxSxutfntXGB/Zo7vkpFE2WCiAYYPmgZBVGeDYsGz/QUGkLJ+IY 0JPqpmLc63mzO3ufICIsCr1cHMdlz2okJlC3g1JHw5v0Ba3n2X89kPaoa8K8hnn+dvFY8vfaoWR3 uHEcSwm6YJMT8OsqGIswlD4CrAbXKoARSfQkynKE9o781qA+8SpTw3fVAYIcmtyYi2Mg7zxqa1dY MPVzQflsvVVPrI96fNkhFG0ZEPVpmmSuFzQUfjU5WFTkcAbAERUfl/daPegqptaYI3w0wlL/9bTH oUVM19W9+T6GVrLQMqQ6pmxg0oDkc4sPV6OqikUR8inOprhhIe+oDcfZyLZzovt/tng6zgfz97gJ 15i9z49KL6Ul3D7bKYaSO7oG4cOHcqkNLSmk28KuPZZFpS8OnRGYHvcDtVuJoQk3bzcw0nnsDHIl jjXUgtmv+Vg6q/Qa7U7k13gJ59le1Oe9c1pJHTnLCs2MjASa5nbQhYGo40WGSss6ROQNjLXRVPvu VkVszrpm4WAtENORfXfn7h6+Ro2A3WmrD+u5fEpiGjKcstgIxn/iS+ZWssJ1olY456Jwq3Q3LhlV j2dSvsShSF0BnJA8rwT6OF7BpUmPkkGt3NHI97DjoIL9e22b4ZZXD3k1RKdligOqOfLaFIMpdDfr dapUBlgfPrd3I4eQMNeoccLk+4i83SvZL+WmSi3Dt+7/4wtdkb1P9c399ry3sYtH6DkO1mLVJGUJ IH8lboYreLQ4pDiHEgYvHtbQIYyUE/uI8c/Drft1ezPIEYqWsFwz0AX0o2cOVWN5HWGjy4Kpi3HF pkewT6tU/ftJz0HSPNSQNNtig6JOid6zHKB+bhykp+VmUK2Zw2MdWd1nqF3XthcWmC61VG2yBMmP wISyVlNexnfLcdoGnhu13Un/fga9kYqAmGPt9nnQiGjahVL9VKEo6JiOHvn4i678rm3H6UwH1dJR slXFpwkvZFDwCW53VMa9IgG67H28pbfCiRRsk43Dd35zCiTeFh5X3qTWgq7e6XhtW6hhrUMeBVh9 TTPm/DNLE9ceKdILy5G7I9NOhN3rm/cOdgNYhKB2nyEkSmx9Kium/bXC98QaRN4nvzW6bRi+ApOH qXaKLaLMNt6v6BEHNSdk7YbiB6wf6g71ZHI9T/yMjjyvbLYv2ZyY4rC0U4ECi4Vvwn1FlcJRndQV 9pgD3340t3wCu7+T/9O0QLKmzgDtvqZWidtkQHatQlS7wDD5jVz0wpn15i2R5E+sVZvBQPGhaf78 F0v0EQgSn7LF7QVyX9zhoBj+DaoVTjH2vbkzdV1q3IPI3DE/PkJXw851OWy+Fq9GXfIoeLO3y5z/ SJibzRq0oFtGEwVZARNvmd7silc4ijKiIRtBTQnOYJpXVLrR5Y24cwmpZkpu+LKd0OLjCapWqMu7 vZYxQnC0xuk4lJ9KQlEgYioryr3AmBv+47W7acsE2+TfxeCKOGGooj3Ce28tmM7IzPXpxenqTzVE 75X6KFlYLw8DttkImSRz3gVHY1uUKzYFO0WVsajr3Aa4MVo0x7A3L1K6k1heQmvn/GW+8e8qqBH8 tt7Wy2TQAanHYeTJGNtWl8h04yezA5PSDSGWGkyhZAlQBjzMSGnHTuLbxXiMzeIZb1jSyAzj1VMI 82loRfTGdsieUERT2nGmWrgEESXD6gzGuD8xvcM2SzWw4GqHQtzxpRZKFtqH75b09kk5Z4iskLiy haSta0ZldtXbMsg8jelsegQrjX1x0ErkTWPCgK9e+Or1jLdlIcRF9GjWfc67cmXOQqROymj0nj4b f9I73PcWG/m1gNzYPLmuEefiIdS4O8DPj8dfWtI4Z7mmsS51KqY5DdKDS+WChu5b7M7bH0dIVah4 ABT3LVUcwtf3RZi/D9zrq+Qf3iCT8uigvRkDfrT0RQvl6eLjq4YfIJ8mE9K6zxPCnToFmKCNEXuS t+qbk6fjlOMvGsuZw0xuis9a9eF/7NOXJcJyUB5oNegbOGcKNoz+/Byx+HyJPR6SByVhpF3QxsVa FD83qszl53ob98j3oCBV5nIEikYZ2B0hI7YgROjf6SDALG3lsBtthUGJuiZ4R9R9BR0uK+icWvqk GfrBeQdLTyT+Q9wt7IenNkHxlx7odPIa2hRprcLDaanxnnmlwcoV4FIAfwiTIKKKP8j7sV/XVPyv p8j3BVXNfThtba00sqmHlj52wT5crI0IoY+4/o7fMtZN7vprV79AQn5Ya6wAKTCwlDhqFVMa78Ii njuNf5TIwe8hMZmiDVr04wdRQ6XKzal9CO6DfMWQbiPVyC2PErnkiEpoEH4XfICYRG76Xztdc9h+ 8T0MXTUpIz1zzZRZOSLwfz2t0huLLIYuOoSp/gJadseKxnkMbHdE7HJkNVaUUu9x081pxebpzyR+ 0AYZgW92ScSjHN8sAMrQE1JfcRS1EDZDXY77OMxkzJV0obZrmnn731aPuzofupop+/GDrBPVZDl8 qxg7J/CP3jbTV+LqU+OD5DBgpv+ilHqZtkFK3Orem355JBq3Ox0BhO7ZJ78i4qY/qxetc65Wwtm+ jfbGPj6ZoSToc0pY3N1SgBIAjWIxgqnHhAR3UxA97orz23CEbDaUDW6bP596KOtwSMlPNvB5sg9e 45Yp4dtasZxkKS2FDg5QXhUq2KJE7CA3kk+h54p6vCNBV+H/emhq6lhOkl5a0f7X4xdyyL/GTWsC gOOnRP++qk9r0+0jktzOgg/bWw1ur0YvXhZf5802OYdpDawVPjrLR4Ak/K/VOL+54sY803jWOztn 4/KoH+gleGdSLVbdpdYARFYGcKUoopo+C6Kw9d/rbk+cgTg+deiVis3ScfPnZ+Jb8v3F/on23YwD K9If8pess86l649xdHr8prmuVEVT0M2wixbMxaUEYfM/VElo3OkLINv6AQK7sv5SW5lhxCi3veDM dNtzKpmKuTfQHl8srmUogs0XHltLRzwbyoQRdpswfDsKPMPpajvOckpUQjIxSv1rRhGq+eAht3fB OKfeVogK1GZjt9HwGnwTiBcwqRPRP0RtXQ3g4/ZRQkNz7Bry0h2B4ijv+d5CNg21hycwmZ5X3DvV SoZ1ah02rp7LgNuxnBwiaQLhuTK267DfZIul0Ot63S6WZ6t/jjKcWkjNQrkew9KXTr7sbGthLbYl ZxVy9XzkaQKwK2mjEzyeKjllQY/cQSOe0kgN0kLP3eE+7T6LwzKJG43nuPbKivzaEGGNliV3jmfy N+jVZNiFIjnG/uvREYplb96cz4aUJl4h/InFKHiL5ziJXGRqy9g5XtRDpoATuk/V287iGu6aaCKA UlkHG1mU86blz5qaqyJT4YR5dHius7XlslW3mF99pzyd8V516uv3tMkC3OCWKIQMyrzLmxrw70z3 6zhQ3e3JT8je3daNId1mPbfp3JjZwVkDtv+v516kePWrfA5Lwi8HuLHU4aoy11Ty+Ny3CuRhCukA ciZ4F64By8nqVEy8u8rswqZgjyMNom1plw4wdJV474K/gDIfdXw144iAtWR1F95LwxrypaAiAWhT 6okby5HL6MFj2NkNcXEy9V5/VfEIzuJY/8RwN/ulw2WJFJ8AojcM3Gjyv54224qFheGCC8iII7z4 t3VHzvcFBZggRD2fYRbvw+FxPGXYs3e1qv9/5F+f9JtaisqImHofgjlMlbQWMJ42EBXWD4GQ22fo uoQmKG9/x6UlT21PhpM6MKuDBEJpD6ivx6aYISf46MlwR2i38dRusx9F9B4P9OnQA++DZuhdCiGR zn89fDIXFp9L3O/V358aPYXpnsCp/aPBJmhnoadK0sX+RwzSwPFQXN0LuLpbj/ak/8Iyr6JNwr/C F34ahhoflZ6Uar2nCvwbFfwEys7vh2SFFAYEDwQSwTxihCQDmrqNBsH4HOK7uiaxVCUIBq9+4uXU DRtU1qEorUsIpZnMbk9RZAXM6TgiPjO3QhEqWoUA9cZyRd68Pda/aXJCrM9FJms41yK4igxPdqWl GkBzwJqjj++ZyWY5IUDQZD0YcQ9FUfo6MXoeqkRXu0cE4IpmizJFXn20yXb53T65DlGvyMAvB4Kp lAchLG4CPFMYgTgTBhR3Qgpdt6Z+lqI1gBmj0HGqJmqCabO5B/ZKRCgnru1tQU//67G1Fy+3ffeI WKofesA4YVW37Mn5FIZtFAjRB86EiBPCsKtNaBYPMQG3OYPfbPSInNz8vpK5mDqnLZChtH4rddug bFfOcJPvjjvpxsqPWBWWUFY45IQ9GITJn1wxU9LBGWqGjlnBbmtqEAUFEiUAxqQ+iVuZnP3RAk3D C+Q4dMvF0U4TwaE9eoOp3i8A9w1SkBsCp9qMNxEKTubXLlxd5+z0aF+6ZCsDFTVz7Z+tu+3FpsiD kDlJJWS+VlgJbp86Vtv9qMYCV31s1zr3fw867nRUoyOFLeU0FD422K1X5FIU8EHCdT1aoy16nC5l Pa5GNdgO1WdP0Dg/pJDz22zI9OQv4kp/MQmWudKkDhGnkEN7zHwFJJZM9sEwth+p4frAesQW7sbn EDMN0DGPj7LXFr2ppUlrf/FGlOuevvXIhpXBkXIC/rMa6U+6526yjLkKmZ/n7PxB3VXOir5AgnW2 eTywqQ9iLXwlGS93a40tDcvdSG8Ar7BcVPScWcTGB7yoZIYNpjXsyxJkmE3CMfDqY6w66x6YJX8s sEGV5frzQSAm4Jhl/qDNQCKmaV3ryN9NNlXSsAWGaJHtfR8Vsb4KI9ZC+ABTx+u1INIz/POYKP82 KedcdAX+N00QL9NY3fj0mKeyOqc4f323R3VAqK7gxYCX03qEeGKrhdI+YzGBoH7KNQLi0MTolpsC GaoeuSvFG+HeGWDEISiboxOJyqeGw+6mWVtRdO1hmR5+nHxhZrPhT7HjUA7yxr/Mlx0xNsK92Ond 7vmIG0BnSvbtZLOYwjjLEDcT5E3JgjbWQBNq+/ZjsKMLe0J8hBY6c6hwGRGgquEN0odFKotOvPND vW0WYdv3U9ylhKPqOAltcV01xBpRcBAacJlmkrjmRGvttwQdmrkSUOrp+vHPFV1rOjJtM58x3bmi BKOzoBA4Z7dA3YtFgEqErjbAETKhouxlzh5Q0CssW6BtTt6OOQ/wcfduscoJnXSHu9lMB4u/AXRJ Sz5Z48KrorOkgNsI9CrgAtSHDz/5UM3TXEA1+reWS2RQ0fjTIf7XFLAHNJGKKfYPY3VBS/Ty5fH6 9LonLPTvgUHkeNPbF8/QqH+OP9LnwNrljOvCxQhMoYbCyW6qw1jyUgQvb5jp8a6iDMqq1dfD3XUA UjuTlJchdjZYS9MRgQJd6L5SMSycAVWgK7GE/fdA95F7K7OAyfzHwSGk6CTkS5D/YNxouKWqxlPa Su7hkb55J6F1bKOIN9cgxfLIZvTu3b71Jz+lARIlxsfZSgFBVXXtcTKml9xglSyOoq3vttltsGdI FjzbbkBFG/KsAZP5/ZyD9o9YcNGejMUFDcA6icl+PoUTilKt/QU9h6mKf/OIOKD3SPLyLZ2t9EsB vObt3yIMgv80GGIC9iHAL/HvJ+m9Rnm01AcEXWsyYhkO7oXVnoESYxWv5ZOMXblM16mm1dLDpN43 DPp82+Na1xsP0cvW5XqWRjass8MBg/48SJP2KFhgn/1h1h6xi+gsyM0WeWT7w/wJMXutOUsKgvRM WK3UtKmyI/Pp5Gxlv+DUhAiIPHdZMD92VZeOebN2k+Ewu4JfBSa55yYl9YnF6XAWTrkQjn0r56N3 /Y2lgv2XmauYVTt1MoRieSFHTC5lY6gizpA/4VhUAv/KaQrJQkU8095GwnJiAtePmszZhxWJcWff RSjUrTBfjVNfpGIzApUmlYWMHxJKc6lDvJdGE2ZvTwo/pyHMrLFoSCTGusu/MDBm/V7VwWoqG7ow lPkBgadk5OKCY0MowzzmK44Z1tA7d3IHMLnemNmZRC0mF8UF1WLjsjolv2OObJ5EIsFjdfgOuHAm Z6bloSgZK0o0KKI/XXoc3KaP5yktCTS2zLPaGFJGBiV1fai8lGUHJBexfis8HvNFOfZdHf3jrFOn Lz7tNAUBRjtr49E48InMejxki11aVWCrdYAWCXxkWYAlfJl+MFIzFHUuHEi7Mww6Q0/xMSOkSE43 6hhQIxxRDGZeUCHjGiIzB1d/35UpYxqzvQ+Qkk49FV2eJecqH3ZcUlkb6eX++eoGleOMFcsTucA/ YQxRNvNSm9DgpM90kWSbW5GGU6Rukd3KL3nBFOjAEh7owcF4MFK1t+27LyN8Ctash+BSbS0Xy/Pt L0nnvDJfTbTsw5EVXX6HqIBRJp+edlpUH8/Poje2KvXlBYvGvm2ZIFTWuW+d3PRamrbxWXzZEeRU /C6LCOgDalH5x25Dkh7uMZjWm6h1oLmd+/TG5k2STCLcVSaRp8PgAA6CQsXfD6MaxFdfU0Z4vbNH Cspu1pQSDOrLTBVE/sfTRNE3aswb874QVYBYshfp8XgDTxMrtC3EhPVZjCFS+ASA55XJwvmsvype Wz+OO7AF8DaBEu5+/KZeX1DYesiBt8JPLgXKPMDSWqefdARK82ot6oIjjc0MuZaX9hiGBHHaNk49 wBUYhyG5DnbIg+MoK7jXf+R2ugyics7Ok/nVqTP6C+FefA7rHjsmXS7+vUG9yFT2FiyYfCraWhbs kz3sc+2pXk3trqEOP15mw4Fsw0Ska8EgOkWc6YQXHQxryFDhQLoWmyRMuJF8+Z3YmAKFAno5Cl1n 3FrYNUAQmeN4BYfPZWnuN41/xr3SESR5BZqv/YwoXsAFKjIH0QP0iUunmomZjdBlJkoPdqIMR3L9 I1uNNq1va8hnhFCfBHLrV8o/322bhEDrT11QMQQlwWrAbJTf18qXRYM4TSFx92NFViUovbovJk4a gDvjUlYIpoeExmJuiNZbrp/0JnHFLQSm4Iqr7PGom2Nv3anemVPCTIbL6tJImmjVKTqMIE0h4xdS vmAGF+KdsF8i349mex/psa9ZmOQWzbPQLC5VJnZ88Vng/x9X9rAcioIAATS2bdu2bdu2bdu2bTu5 sW3btjmzfn9wVl3d1YPpWUM63KQqPoBxn0JuM4y0J7wU8xiSjI/Pwzha8YmQRG2NZMqBzKEONMwD ysupN9o1FB9sTgmbGHMnxocRU1FLjkQNsbWXDuBTNT3UqzbA6WNlLkImJ79r6Go1eWm+fNTqi3U4 RKdh/Ic+Habt6eT/ML1pcMpVNdVRvqbtivwYti/sJK0+1JfwzfXQeaucefxZtAoBSif97I8+lHCp Ub1Fx1SN5owMSnF7O7MPKM9kD3ZqYEBWz3qM8dWH0WEFhE8YlJ5q1z182yCGeuKKtE0rmWjIewf3 rybFW1K3ihI30fD1oQNoeefW9EIKUoxFsIWlOGGg7+NzevrfVr0JZQOwL88vCLXcHrHrNgSoka8N ZN/XJNoC6ES/CmBrRAyhHKNhCBjG69IcGiwFXwS8R83m+7mMHQQIWHVMUejUFsljgzSF1tZSH1k0 9ucOSdNI8VoN+noQOjBHcDdJRgYURrtG5yf7Sp/HOUf1qcA5XawF4Ost+6CzBWtHy862nb/JX5Eu G1bfUO/drfrEbijLfQAl4t8itQU7dAmXKJhpSmN5LjQLKFcL/QQSgoA608RBpLLQA6mfJH5DKqZ8 ZrLxiOGyp1IqRCrtT3E9egQLWExuLweBb2b6JdcOxfj6c17F3qhq4DHF8pHKwI/vIckeS343VNPA b86jUFR7gMg4PiYXPfMF+ZclZiTSJr9Nk3gWPpa+Kwy4wP4G8d8ttYpif18WPTggBoWpSPdTm14c KmYOI7OIo1TYlIJt9ckXDoMfzbgPI+lXCM2y51lMqv+2mDWr67OiVH8thVzos2nEHdsqImKTZfXs xAxFKFaNZz9SdGhm2QifqGYsz5iYPulJlP718giQikPAhLhmooy2nnhNEYZUnaMSK5l9e77BsLES QrI/fSF/gD8UEqttPYTp6irtytNDVI0SrbTt6GH+1/OGCEzYbQ6l1dsmyigdsb1Ny/SUqUP0wlBV qOythII/Y1HpPBJRZi3P8NzTbFfA4J87IB18iVgO1a3iF85aAfJ+UvK0DIwi/VAcokiXoaG3M1j5 pDcW83xJ7eJyjq/hRNNkWRf4F+2s1gYMRyQnePLiCYLFwZUGWn8PO7Y4jsWO8F/PGBDcP4C//Ve7 dXnWRqk0pGZhOLR4rYFXuBZAJ626NzE4ThiBhou2AZ2zB0dcifWQ8htrKguoT0AOyxTWW9vttbPj 6WyagnIn/jS1OrU8onZTnbmVMcQ42UyO2oacg51sv5PNHGzY2M9oxTremi3QWCYeGgFxTvefGUBT qlEhqIT/euiq1beMa55AUdCpTdnXMKc9UDtUVlSk8Ca2Zf+C+WOEUaI2xeMzMSUmyTLM120KzXjZ 280SyKKHXNGCTe1AQVp9yRtqtaLlZJdHpm80jHBliRaTFdgkUuHq4xcKdjsLaLpgYBMz9mJRjF1s MqEKSKLdVxudZ0NHGNKYFgSIVbq44QV60bk0hvCb4nCG+6buMNjpKO0HOtPenJYo7M+Sb+/qZf8J rhdvoyKKxspYsxDIQ05qCmDk1cuJ1yBNrH2l2YX18EWr6ejrJOdFSvXpj491J83RTQnocHzG9TkG n9nhBisYCiAoH7M9Jzo3SDP+7ZNSsS48WiIQEKLs59u4ZSYgDTqnDNMEwtCrd3DfsivwGoTTdaZu MUO2k6pfDH1OjmhTks+V3oXUXC47l2O854BcxpZXqatwzPzDyJNAdEys9870UuRC/XGFnrOn9dGd vQS3pXhdTB+pv2UwUKinXsAfBIXgj8rqvY2dsd3W6gZZOQ1pdl4dwqnQbJRtjBRZ9E6+P4ITbYQ5 jH2eK1htQFEA6lTp8Vlf4yBrrpp1Lp8M+q8nvEqy2rs50lXZBZ6dV20r21HFq1pp0t8XgAURtGcF IFKm2JBzaSnwg6jy8fgxy20yLg5WL1FVRVUAF0frwTGV7oEep6dzPaxISmAmOaSxXCig5JGrIE4H Y2glzimbBDlO5TrQzU0wXPV3IPX0JeZpEydCXho4N/bCJoFdS+mxOt3bac1Z8402qx+QZfxjK+KG IAzoGL5RSNedpLK0uwPmmBhEvXFIVHIHLHHtWnuw3s78m/IM2LbeofKrIFTtes+HfvhnI+AUaiK+ fKF5Jq+GwrTJASPoATmweqaKM69u8hqi0lKth++Ngfhgl4HpCrKfja71zZF46p7HIOogJcRbvB1a Ew8EwNErk4In9rPbLFkTxnPb8DASMDAmnB6dj88wnSms3umYXVu0kM5U1HNGjjl8xVc47zKm984z +y4MtjiTZwKGnL1gG2YS5SgD0AZYachj6Zg5yj/fAe2LUzZTKmHGfwwLTn1c3FnTWU4I16duuC6I hUX/9UjXUXX6KrTIFZfoGgneKcCE1jbR8ma33gzaPo7ITF6K2Kwf/ihBWFcJob6RB2/jw78bdsgB ZZr5dPq02fadJ/u1EbytrqWGsSOfjDSXK4P+vpIgAg7NTG6LhwxfWH1yLx7kDaUOognt7PRBut/o yloLGr3HBTAdJcpmuxFDFK8pWwNdxDkKctLJtWBZS9tMWydSAzVIEku/6Jf9erqam6ih8yc7vazl FhHTzM+TO3T8BmaFKXkFlj0mtTdaWnT5phyx4abJPXdzVq92Ls7ACdGiqlAjDvLmmPwHthYDMg/h UdYg44r6x2yL66hSWhDKa80vHoS/KZx8tNcdfO2TQUWVUoaIr59gW/GFZgTg4d3zyHf7XSsoSylS HKJPdO3Zh9xad/yOKeXfV+KgYS+cmbZjvF2x3WPYx6AtuKfyvr7rFgRKD3de+pvLCZ+lUjBj9XJU p4mAtqr141p6IqZOZBYrpFd9AQQHKZnczzYEo1uwC5qHXQyiU8vBgIjlAMpRTblepjaEVpZPVYB1 8TAr3mPJ601B0iZdB9QDthZlxf5kPa1y3G25QCIdRrVSFbkRYRsulO0S5mM275Ccc9cGSav39wa+ DrzMAJ5n72pPPqYfoFeSzh9jYSZZLjLMHLzfP+l5y3/IJ7qfOnFDBUP4SOkOhHE9wLqPKrh0qKAV hDHmdMps5P3ZoZC6K+nvGQ9I9IYcKwlbpZvE5BXm1tXE5Qx9IEZfeeZKHqQSWfHpZ3AxnDMdXAId vOMK/nyMHz6VhJLTVtAep+/rPRKYugAcs5GzZr+vU90q8LsGbNwZGOvF35pv1QJiRCZoNfUyTox7 gBUsJAwq4MymgzVrXevZAEUGlGccQreaLbCqUm6TcMgffwTD8nIL9j51/DzMNfdJjWQWmW2A8Qt9 052x+UkUnA5kHXHYz3vC7LCmSTvLIO/cu3sybNSdPmSsJ1iNKepjboxuSlvjnxvpRzzaqwcTvVpl bgB8JNrvW/o8msrpzSJiopn0MAX9WH7//ZN1e1TU1RcdVpM0HQeGGDBx1jkjqZX2O+uZqBABh8U/ QZuZcUhnRnH9UxpS4UqZVC7yh7qbcau55mUHSFG4K+dN3cY3cwMnfuy09r7Ok6VaPxWPpIVLCuPy F8+mHIx5mYqI4KVCqNFpZn5expmZDncwTS//baZgSVSYnguDwZhhWmPAZVhmIMeoHVK7gMAS3Zbd CRBzVmmGL+3keHTG8fBPxH7S0lZQT4wdWjiuByd71+Ja+oMuio7z0gni5BUbUVUVsWVnyCJlscIN EcsxKKozAZU7T+N4Wcp3aIrtuVvXuPi3USlFZV6toMlJkLOepT2+sccsps89meVz1ErtrMLqEhv4 HUkz4+VdlnXAp5UduzH4qcQJQGVTpRalihsPd/eijeezCTrL4MBmsA1wGJkNZfPV9Nw8JnoT3uEv W0iqlRSDadHvid66Qpx8iJfU0zbmcfXpkvj6rqomfRNslS0S/PCXlKHixj+64pk7Nr7xMqZhGiuZ YBL+ypLBIgacwYA5ZPw9lvO82KCMoRTZz0lwlejauEhfpWDdJPUHffYVd3E07TUfLUytA+OFc1yj dG8ZUbiRkOgS6FIuWCOqZKsCHImpRy1wfvkCGa13tsL3N7M+dQwLyPWbaiViyjSgLtXzWDBVZvf2 cmXDC6yOVQDCUW8xqpBC1bRvK49Y7gFQnlk0Ok1cdRer9QkD1QPt23ETCQtpo0JrTTjDWVuTPD8X HRqkvVb5N4MNI806GMe7V+WKTeAHB+w0AxLBZKji9RFGl3x/OVL5r/mVuNP0ZUtTPhU/SRduJ05Y Ydikx0ueHArn72tOz9tXGkafLQP/6CiSOiZ1NWy8UrbSN2nC3t5vEwVDBefF9suAdRisI6ie0shF zexUfLkfhKQrxnskB9NKRfGTTSFZ1xYfYWzlijbDYQebxvQhAQ0BReoGa0BxCZWmAJppZVcosmGG 04SCN21UYGJ8zq9pKO35tidCt31VlkMb5Nfpq20ToqFAE0iTI5YYYlS8Ro/h4SdHl8FQpYjTkzYp 13dZDX2i6u6mVdntvkPq0hEsAR9jnLW8sDF86h7JHscNL3jSYvLBo2xdpfMuT3d3NqmlWeMEPBMw IyVRkuOaRPPSBNN/za+jXWcYqpVw4jBAegYp3ebwgn8ZTqt8OdmzZq8zkFMcelc3ABJTJFpRboSf ep5Uhk3PcyNfFTbYhYA29kAUuNKGl74/Ity5kTFYN1bunqVcvwY8mBPzWe3oBY83Btva5m6sk/RK 1C7OpyuzbCQXlJencW2nkYZGA2m+pglCkLWOff3bgiB5ZPJVWnBrkiJXsz/y73BJgD4+E6C37bOb afPK42mBhq24u/tdoDSZie7saT17s03MK3oINgRTmAq/G2NqelBBljrDTZfBXDRHOWVCslon9f6+ mPYtiojFBWNRg26G7U3Dwc8Vz9cL9uzaE1zTxdyTmsVEUXf9rTVHPqCVJq8RldQdJwN78XzDmNfQ GvaGp+fEFcpVN4ldBcsA0q3Ug+njQf6gwmhkpjBcp4bAgvlIgZX5PHGKGkQTi3gl6puk1GRLnH+V hV1tzR/KVdyn9qlphlMcUGOVWRjY07txFCtLnvuGGNYuzM3iW9mFgGbxqp7lTvHTzIW0w0Q8jS0N bUJuemea0frEHHfcV/D7Ai94QswH2Mo9WTG8of8Ua522nTz8AuYlYLCmFOTAuipnSF7Ni5HDWkBI 3ECup0HbeATnzV4xPdfdv9YjspXaG47kd2QWTp903L8uvTDwy9FQBOnNuomPj56ZYLuJZkV/DRVG h8tZaWch0a2C93X/StH6UzzyCOOYiFxC/YZMGHxv0PQz1cWzLl1+pATlceWVM+yU4zgl+hhdeeQx G8Z7N61/7891GgOEDG9vVreS6UsO7xIJ630rG6UW9+Y/oGkifvLX7yTI8bZwkmxxpioxmax3MCKi musaNespc4/JFRr5nP2AYXdtuhJ8h4hK2dxGU3mRxMkWy3j9daapbtlSvg2wgs2tZCeQpALrOmmi PjxbQMR+oqYu/o7S4Eix+KTrvkDD9d6OvqLC2HT9mDc71RMpJvrhKc8ZsNxKPYpd9JOcIdjAxKnW fdNWSZvvsrNXIfr4vnp4ZKmYukL5DLMWQUVhRVuvjG/0+fcsrWMM4LYg4C9vwqsXjlH6d1PoZpk7 lN+be90WeL9Dd6cwdKdFFW3l4ZEEOF5iRGYXzZZHGChXwcSNiOupmvkvyK+ZmgLvR4hhoeU061O2 wv7H57J+88ODmumAfUlrIKcZFaDvr7nIz4GVXivqQNPL7UAz0l0ky83zSJiplmBopHAdfXepVgw/ 1emrlS3wpBzF/+mgDnLYVbc8xWktgGZgMlmdgWD/FWcx9mEbMFuZwMeM3ERH2X22GnWDVWa6+l3Y h1N6++OCAFyc/F7dPzgcj5URPBEhZ6IOxxio00mMLA66NsE/pMJU506eClWDUSGJDDZVl8DwPR+O Me5yplZwGKU3RsrJ4MMsa2m7LN8zaf1zDaWOA1e2k7CASWRyONXLLmjKNYf3x4bcNyN1/mxHfFrU ldJQjSksMkk2/P5vCcQcCd290/cQTYWK7hU4vAiKe8gckeKNGNeaU0QTqyZ+I94jZeJjKKlfi5L4 RciZb2viFtE6A69X8mlTijymabppTRpvgxLhcYLBkfBP2rgAlJqUTzQ3Ym3kWBoRcCHePfFy5r4m dpUErEp6vmM3+9t1rZ0B0mOmmAPGF8Dbmedxm0k+uPy92iBFmlHkUNySyfj33nETLfemW240HiWf DyQ6WeNWJvDE3Iyb25VwpT0VcWqEdiVv43qdnMi9bVDLUVzPdDT/vcVCPbcEz95fMtHBTpR4jS4O DPGjgG+MmLYiO05PgVgAgsZz81QV5ZEeXvZ59CUk2ykih2hdBVLiVN7z6DvTjEkhiC6VQIKqg4zj BvDVi7cPE3DczveBwpjQgtjUmXcYk6gmUEyykyfOFYEIjfZsUd9JfiD5r2CRchZLeLlzTiY8xNqH JaT/U8dUgXi7Q+hTPp7UW8cNxtWlOJ95LTINYLMQg2g2idf1M/BUlFjdkPggotOM5xeBjD74Ol9R WjAU27Q84Z5jCQXd6gIzCfJ9Bzeq+eaevsic8MS51p4q4868FHlvI1d04xmwTvjSaDZCfe1VCPpY FAFAmS+SCYxLen0iyhAUeD80064vg9OHId7TcFHH2/r0xzG+/fvPmsxsXvKqWhqvg/30Mus4IKuO Zefko3N7Ox59D8J2kuzLjJN/n1YTn5CE5wvxXkjO+5yeF/+Y9pkaiKvDODwgTxJhvMB1Fl2IC6Hv ErWyAAomRJMHVFZk0Q08n0rpg/joObdGW4SnHwFfnoWzalXE82JPHJh+2z2ElIJhDODl7U/Yx8OR hITQQVGyM304wjq7PnO2vyTXwb+aTXxWvQt+yz16d9vlVFgeuhWU50c7qaOySc1XkZJnmbmuCoql KDg2vGlH4bW05gjG1kvQ61eqaQ/Y7ipE6+aVph0eECOT0dIEfNB/hgbO7pP5m0WQYtCPjd4/y2oP S6A+USwe4vmo2mfK5TCIIHatANf406sh7rDZ35eAjgoNf5u056zlX7zrVB2ycLA9+R/5e8f1QE3u jFwqMZT+HrEqU3lafrj+lmbg9AU4BghfUYTsfnVaQu8Z5i8wWCR2jXgydBt+Ig5zbbhAJUlfAQSD RKW2vCeJejWSR+W2uLEDxzWfx5CMi7APVHS/oK8sq5jJRpnwKmFpEH7CkRSR1kae8lWfRjPQNwy2 78Gtku+Z/oafkeyTgsN6HHwvxtmK8F03HgugCI/y3E36lyLRoHfhQpePHB/k/I1e0tY8wxM+/h7B mmkvV13EhshyVzv0gaOiLRqCh3hfahoGIx2ONw3/T4lZ6yVp9bFIFzLeFM2gVWrNIUm77PEjErAl X7ica50n1AoyiwPoq5r44Zye9Ri56kdK16hiQgk43wZvyR5kbDkNsuTTDDNAsB7JuRnq8TR51xOz 78ZMreXzr/OoIq9SiqZGnlEM2+w7zHzad6b/gfiFsI/XBkwJpc4wgyzPSUH2OqXvfNhFYVhBjtLI mKWdsEzOjtBlpeTlhap1WedNYPZ+Xo72Tx/0Uw69EFl+PPeMEA05yDygInk6aDO+PUjZhwWFvH+H coit2ELn7bk3Lux3kw4iJJ9M9RBoO0FLAmJRAwOSEg0xuXGnQ+5mWoSgA+1JhPm0ErMLBMpVUl6V Hs95xmX6l+4Q0SVb+eHDaFjdyHZePA668/5d5wHfRg70q4jEbK7lb99agU/eDJ/0VN+R+JvepmdJ Z1BT4KeBEJBm+rdgQ38SchAmfI0d5GwL5j4595XRu/33Yxzx5szGC++UubSXm/79A2ndMJlFjzXB xvRtDtxjd9rHEKLZFaedgnz0ZyBdp/5jZHZTSiZYXwGaJ4rwGv2JNJFOXnFU/lJnDx0DoKVBajj7 krQd5XJDAT4SvbDby7jwtFw+7HlhgCLZgccxIZFDMtmMmVqsgjatCWwRtXp3OikyvTaQ7O1st1lh cvffWYe/PnvE67rWFDtJ55QZ0fVlmLM8/OKHh3qi5TQArbd6UR9OK7ftpq7uJSqUuIMs7bi1wKez qmSZpcxjgx7wXQbDYgBuschcAWY1Obqk2MMT/AmFA07RSKoe39UFoBFbxtoujKUSUKhZYx8D5hHg X4tgXybmwrcuXUZEKzNQ86DBecrqevZcb3NOVsZ8MhJlknJ4YJEtUs1S9r5SuPSztgms2/yDLP1V cgsdBWCo79hlULfcnLWvpipimDFMGkIHu/SauwCxob/2+HlaaegWAHCLJMo0KyiGUusmC27avxw9 mZJHi4dUO3nbYNlYybzXkIIWXfd0dYKjusnofsL44DZEm5vD9JCBW5/o31Hd6mXiEo8PijNEXNZ1 kAr68ocVgMPuqR+Bc6JT7IK2mSHDFSCGSLMx8hGvUmWuv2XfvxECqFK4MN9fG9ogXcb7l7CBkQrg BWzUkIwMKypE31n4pK9iIWjHmgn6Og8ATqQxTwIf4h/APCLo9cM4PTcRlkv2w6u1EdnC/e1Cb0nk 5arejQ/fyXh409RgaGCkFFZGaIXwoSc5wjqs4ekykwoxyJXiFhc8uEPn8G7GWosUjzWftM5/5hoc QAtcRRS1OR/TP/VJ5hrtDhpKQqMqJSNiJ0RM/Lgw1DKTFoTUzl83SdzvZ4XFX8WHYB34rQ8gkw9j XKWWDcZSlSpMVqU7KyK1nLLQDdhtbYlHb6JOx49tRp7rrg6mnI/PzrIJNISI+fb2u1a/5k6eP3X8 4G0GqIl6c4eN0P/yEhwKDLkkcajMM8pSio4sy1+tsRGJNPlJ/RXRez9Q2AqWr5hhCrSmwjgxwDs2 pdneWKko2W2aSUI/T5Xi41uEhNNV2lNCV+TytT/vbXHkqFQyBmnhjAr1WQOIAoiXz0NTffKUQvn4 +i5swJq3//G6EzNXLTjGCZHykW+6z5NZ1mFmsgpYEyA+7kkwzy446e2H4yhVcGBRDsKvX3JtQWQT usGpfIkoTGYbffkMEYMfKqIm1kl2p4uODwEpajQ3J/iaJC+3lKmdJbta6ffp9fq8w+7QX0Hr3fdw lDoTuPnvK0HKidbqX3qPzsSQTBIDH7giUoYX0jkJUtbcXP9Spojk1X1+6MpZdcefbR+0se6dO093 s02rLuSDosewfpppn3bABOCgKQZ2T0uCGcS8Tow16P7aH+oYpMBFU3usqroUFgdLpfvMWyHjQ8aQ 7qRfMgAAb9TP/QsfEdWhcY5OoXYpGvjgR6ZLqcbZWXBATWkE0MxMiItAJrSQ9UqY2w7JXV6kgaKX vZY2SjFAJ4hHDic4W8tqyeQmdpLvVCWAEXqcYPO9do5hyHaUwGDYog5XP/cMoVh7Scmn865HskcT HxHNSxPNeZv8gtsvtrST/7zglNO5rv6xijfIvLZ5RdvEMn2O/yLDvq/he6c8NuIebHQDmTBUPy37 twnnzt6Z+fIwTivuJoddKQqFfhXbTg8T0SpRkMR3vNggBi0JT4P5VT2YfIJuAXf4VKAGI1WlqEkL lp/xGtkO0xnlwdgWUC1ClvR70loLcJgDjd4a684o3yu+jrr+bzMXgBqNY+5Sis7kDlTnHAEsaoP0 0C4THhupG7j275ov8qm4+q5UbwR9Hvx1QcMBIQ2yBk2toHkfDp8JcwzrPXj4rig/Enuu4iiKJ/O3 DW/TI43FIEF1ePs1V5KTPktFlK44pUU5nW7DDGn+fiCwS6PC34xFM7bPB+XBqTbeOam1GVn0ojl5 VrC2xngRQvGACeWU2CvybN3IUYZ0ii+IPTTsARMBcxwloBLX4lQRi+Qxbqq3xaYxAmouW5Wv8jmQ cT+/ovzXXf49AVRpJfiouXL92ewyF5azqt5+Q3CUfgPjPVeLhG3RNIQWL3C5GpUfgY03w3szYwGP JuOsUJBfKLBhikwV9kCQTk8LPdsCWPHGzgR1b3LBqpE07a9AYWkoRIxTk7Q3Y/O3f7voBbSscpCQ /tqqvACnvgxgnGNF2NXbntY/E2nh1cEwIzN1qI07uPbgZEYpPyc2V04kpc4nhdO+wx8ndXROm+DP istTcdCx2txTSdhOTBnJ89fAstiN/xz6OIgYHgq3+B6u7tK1v/8n7Sk6eP1X65RGOYKVIr27N5Dd M6ON6HBzf7cwsKiNkFAYcHG7vWY8Nzj8bm0kljSvhSUq7DVAzysCqIFSQiWGlVvt7itaRkX5oo1V R15kSvLdlntpel3QgORR6AB7p8BN+rTi/cZd0QnRCjPKgEWMqOQz3eScrvaya9+jt+SzRyhjq0+C xz0DH7gYj9X274tzNP8lnN/U63Ggl5OtRuo2O480djwbg+feS6sj4RuUAFZ1McYjAbKejb92Vdzb 3C3knbX8qkAQo/lZVonva6QK9k+W9g9Jo8G/FKod0GyDhh+PQ5M0vQCrxnS3P3XUST75HAoOdbt5 qKYAmaDO5B065rAA6cUgfGBv95djYZXZjhvo+sNkdrfgdA18NVOt5qQw0b0meiEEs0vVIQlEn0T0 wB87ft36nXL/QMrbXX7W+9kminaXh41KwPKfnFWVG1qfcRguuKuxnL3sd55k3gL3/PBx/BrbvbTd BleEHcMs593U/NAAm/MLW/ztu0QD7PirBdVP5zOSolVkXAm2iHihbYlOcDEALhHBgNr9cwqoevth F+k/SB9FEX9nKoFo1fVS4wr/92LuzP59a0Ie56CfaJWROWVg+55/T1sse/gWmCihrW7guu8Ij0wU pOYgIQUYwbgpgOVNNh//L5tGwMtDCOO0TT8dhLFWWWSy2+ErKr9eMTLf0GDcYtmj7RDeNWJ4+wlI C6EN8J0qJvgG8IjvilKG+fYENKFKyo9aWVGqOHbtK+dDxFPV4y1IH6uIUTFdRHVnSobPYwdmHKV/ CtKSAQn9kM2uC2bOn5AVNLggcDX1czEBpJYtKclxzNxUfqvSK2TLrPvQB5GfeeUqo9woylyNWAqI FnQdGOzVgMdTeI/v2ha+zSYfMY4+eiTyDQSgOAP8wHVJZSyHn8g6hg046uww5v+iAJQYL9IKBEUT O8dgbzzuG2v3an8Nn5fvF19LgxrXQIp1bEKRPvOi7lICHGVPvIO9XqxWYPcvfp1mmz9WvsVIMSHA rK+bzNGWQYINJCO5oxYAupDqfBzMwDH9bdLrvpyRjMjkjeLANF/WyKSdNd6Xj3GpK/MqZV9pD8Kz 5jnNWriDxVR35Eo1WHNzt0a+wWtAnaIFeLssoRfCqwxer4XKn9fIcobjHrU0I95uBVclRAXE9MET Hif5mxH1XFyCjWI9Kj7vlBB3LOcx9Yil7zA2m1kxwihim6O21FE5/BRRy2iLNXS7WFuTzOqh74OM 4oIaWHRUfj2tIpGBXoLp1euaTa0JKdWB942kmsS+s6InOvzFzv4NhYOTviKYjoZzyeIl/FEpUvp0 +Yx1MirEEeVAu2ECG3r0Xy86DtN+IrK/f1qHk2goc53KwJsjN1hcOXFPC2SllfXsXUMVobPjdbXy smcIug1Nm9env8dnQm9mZGGjs27y7D01HkIx46HePBLSjs4NO9piVsOaUJtYLAHJ53vhYLarI/xp pVwRRWC694fPW229J/b6acuV0jbhxR2+s22oysRd4HQlw5hnDvAMYiCuDOzOxQrwJ1l4nRzFoRfI DRyDP0rtDuypy0Xl+JqZlLtNLu4eEoEb3KY/y1EfEFr+zQbcISaT1nuxlCvxTbS6cxWBQjzNyNhh I9XNkWs2ehHrKkWIgZ68JkWH9PczIq2FcasiAYLAcfUpNpRGkhIk8BM7kgWSYS7j05wlz90IeUQb GYoLGLGHtqgTyRbA7MBVy9FASGSGnbaK5mML4Elefk1uG9/2RTKEsfNNLitpAVQqfiUvwANMhkkV Olo8dP62nJXlyfanxZaJZT2JZdxcPHSWupPbedm32aIpgQGiJJmioHxGEUS7r1C6yXMLNx621Vx9 ZuKssKbPlfjVeWwuLzdiQbJtc8W7j2+Pua1TscaC3hlfJDvRCb8v9X7wJ215SourCrfxkKQYylo5 2ZVKOcog2Ag5a5R4XHcV3SQarQw4lLYT10FX4JmsLyXhmLS+akf86ibaej88ORhKykFP25WB6bzG QljoLudutomLNsq1ighZTL4yUlTB3AqSdLJ/GJFwsqShy+d/C1SF1JOqUbXMAAvy/oovMrnq13fv WQiP5ZL+09lvOV94aOFOv5w2Ef2G1o8KIg50ZzXhDSgozV33EtyFbVXx3Zf1AyFnDWF0WK7O2TO5 NLPwult4oqf3/8YwOqFHTUj+wdOJtzyIsHSl1xxG6v9FoquGB/OYhNuqZxP58Bdng0OQqov1daJi ltzK+VxYQC8jRV1vRqioLrKiWpSdFKVr2aEdMPuEYvt6NxcWIQVcTFCe07LyDyfQJZpGRb/hpHgC nvxFIaIOL2JdJCcTVKLOIDE6dYlMmGt80ZOaeHqywBTMrZux2bcWAt37+/ed8fmN5KmITFUYj4xW v2iNBuq+o3CCb/XgWZ4gwZ4dmmQMuvud7dJ7CkTySTsDql/gC+Vntlu2ZZuapjIPYBvjflTtHNrx EWhWnKOkvtOesruryJc4GD49vdPEc2b1An7OMSBKkGRLN0Irs7O6s4a0OXjBNDGh6oGZD6haROdx QLyVbspddNUOJYOPN3eRggjqclZU/LhjqypFrp1lvmmivAF2zn2HZvncomze7dv8pAUou4HscYfT IiKSaGbnbp3soCtEZZs7EuSPeS+FPRa4TMYpVc24eEuFBhQwYMx6hALhQs9eLE5oiH34ShIX3F8F QGQnqQDjRQNdkRdsca9AIsvpr2W2qOBDuQYU/17fMtL0nHBVbkWZ1l5qQm777PrbgBZlkpQdi/FM WjPTFgvc8YmgQiDZp0gty2LmX+lLlEA5ONSlzoTgBOt4+3ZzfCQ9KJdfi1MfHE+3eQ3Jer1QUahS qhjjF4vOhTH1HrRxqjQIzqBqIggnYIGz3Ffl+dZ3fqm12qFGFVrUGhpqNddhch5xENTic77fs9D7 j6ZSiEn5do6CpoD9whhBucefUjhsPjPL++Ene/Cbi8JInag7OAIEedH7liuUDo5lHAfKYGgYuG3Q lkmuTP+zy1zD4Q1sBd0Si0V1mP0gWim8HdJqbI7bj05VJ+reVfgNM4IXCaJ1jup5JeGMgs4NtqC0 iw9njQRnQ41op7tB5EoATMKXyZTbyUFPupEIsIMbg3bkj10TfIyvkhi7IrUJz0AyaeBkj5UQIf++ ClJ+CUkt7rLTlcaO9ykP2H0XBIoz3kazljSkMFDgOuTdErxCtpbQhrz5Jz/D7J4pIHYTTW5zCpGD UMp2DcipDvr4S0qfa5v3Yl2FBSeh5BJ9qvWaHMbG1cUEjSHPAUVFUBDih8BOWqKelzCpbkbTbg3p YG6npDJn90NR2GhGbiNa+OZ8yzUbiuEKGUoQMwbigt6lUFMP2YNv9EOAF3g1RS/PlHtJu0tm9+lM 0oGQjkCFaC/8oDG8cZ+JQlCNlzWDunfP7Uu8fg9GhWb1NujtDtfT5xE2DBuowHrnzK0lm7DOya0R uLYFt+U9q9nviGg+2ohPZZ4TqzTfy7do5kXFRe8rRNNj3w6w+s42EMrWDXRT+Rh2g2eBIj8HaTZ9 2PR0JJJINnTyU9NiJkNQOUSehC1qIgCJfrCJNmjXUH4rZa8fkffwTuaKENRJAXcabv5WV5VaQ8oV oa+b9xvnxClfTo+5EE3rwKwe4drZzUJTAeLpMQuO6lmaMLd1Qoj4HxMiZXWEUc1qMDxWyacO513z gSr3Tlud2mtsQhr2j0NSaT6eAwFbDQ9akijg6Yn/S5RlPscg6EmIsd9vrJFccsrQWVX0Fj7sxn43 ZOVl4nRCO5BHhB9vhGUiHOh8zU6KzKni1qzdotOkXS3R4zw3s76Vm9trsbcJMZxX+HE4yBJLZO7U rAyR+8X5EIAMSeYHWYRQm+ahz+XetEbGW/HkdSQyfb5Xdz04yEomV68r5lt8XSivD6fbfJ49iZv3 BuDnl4xC4A9EXElM+gVKN17DahtOQ0mtEZ28KsCtnt4f2m059uFk4xCe/T80R+FLEgp0WOWDd5pe c3eJm6PQYo4BzWDeD1BA6yaYxZCx4/jJgaZZ+XRPKUcSjCPRy1C4eq4pTCjkNaolkKR47sks0DkY YNy/ikWyn3bOqhUhQ/H9f672V3T5nF4GyfZ0V/V6PKPNnumBx/fzGixo5Du4+AeqE9u2NrecFIUJ IvjwlPSE0ql1w40yk8stzDQAZ0p6+FwbhjaX1Cdk3ibZrUSSKtps7pN2hFTnyrQK1VEmNGogjwh/ owguEqaRimqPAXZ64hfx1sMh0UWziY1vc+G9SoYBXFAJ7VwkSbN2CS2jAHfKJxMFCKk5Z9aB2wGD 1/jUv8DquWSI6Ucn/dw2kkZfZXp8VH9BB8atepgShdMeDyJ4ghGhepHBbkzfz+0ggSU9I0pMoNRd vjrIxYzl4GvaWWs79VLag2z53AaA9qgMqfv7Rkjgkm0HPRklOhatXyxgwR3/nBjz5OEdKQQlbrns albZ0W79jxwz7EVJLHiTStcUeK85ckY6aBwTLfn4GnD0WZa8Va1NaMRzguK3QJPASbSDN04gPY7Q I0r201jxihD35EY56SoQ5/7kVdbkk76NL3HJADEfZbYzmEpXM5SAoy7aUydLNueuMXvJW375b4KX vGXjDdFrAPHtrNfIdn9XGMjMwo6Rpi/Lux3OltoJ4WGMRZA+ghnDlqKdgz/oK7Rt9LpItceapaG/ qqCR0GMJa9QAui7PVh+DUUg3wOdm1Bfwpug98oxSMPwYqKuJqEHLb+7PmuUI7n6a7O+HmQlv7S9s hl3relML0WqKViCZVo8m0SKjWbDtcSmORj+ojJ0ephq2cqra9kIPaSaM3CuoCq0UtCrWuE6DUs4y 3Hn6g6UO0niD4OfM5GR7v7jGdvSsI4yNqbMgcwwRTJw7xotoMn94KnzZuXz0V46IjNJhBj9j50qB /7JlVTpC701YCh8psIstz8+oM9qkQCMdHsVcIG0A3Qk2zQHSZRErQWDd3Oyhjblb3tJA0cxsirJ6 k2377zBA0lErhg37wMY5be0D77cjjRyX2xCC3jqvJIQC0FLc0fn7HAtAozdEblfYHqSBp+CqH5tb 0Lc8C94tMoI3GtR8YJVFYtLWdsUbKIMioG5kWsPVvk2FTYsGivQyTYgitDa/bZqU3uYFd9h7NuWP 0OHPGMtK83n1DaPVLbZ+i4luYB7j4dGROuC4m3sqnBXJ8slgYA9mX8Zftt5lTKuBdp5pHcFle6ba HBGElEswzw6SBL/RfwrLqYeWOX9wCVK6I54KTQhPj7DrbjNgbAZhXmKzRsjPqkx2rJPJ5zOpz3v2 dinOS1O1l39pzjX0e1bsUHgWJpY1++tlIDIQGj8bUgwSlZEcD/j7OY3UhZUkybxu0mwDO0wzbwW6 7RyoBK89bNOf+LncpEOWpODN4qw3epB5X4agbsFv7xkFjRiQDLdqfJ2BSlHD1vm6AgahakDlTyLU Q9tVrj3Tr/QfRURgcqGQxhasnf3s5tzxoc6joKRSLCULeJJlf7QVtcHAIgG8rQ8DptU5ULUeHTnm orPpP2WHX/VK3Cig5OnUr8U9okc3q5LSGs3f3QIR9HuSxWm6AKqmUM3SGCtWP/tR2/fd4NFsa1a1 eTm/5d0awEDvjnQO4hDy2+6Zc0Uyz1OfkAYXk0bOXakqH0CyzHasRkP/nUgOcvPrJ+4BwTuw763V mP4SuzZ/RRWgjCe7CO6vn1lMrbuqbEGfFH4x1NPZUQbxL7sA3X0URWKKTSLCZwfqKeNJMfsN3k/1 gYeC4RzjDQFx7A6Mdg5jHUzlz9eQE46WOJyyeYM/o5GtHTtmmhjbfpxT/OFvCN158lFyqtqMQ4Kx 3wPraa+gE08bLcPeM6yeHn1Z4ppk2DuM+IWFvM/G55uTuB+EsCF/6G0vE0GU277w5BUDO/FtWOEy FnwJnGDMNXneRrxdVgdZ9+fUQOzaCQFhXKF5CnOZ8WX4xcnitXbmZETRDsFXseJd19ydflfXVjgx RAmFamiAaRAAzQx0kWK8LLH+sjG2rQ447xSIOpgN7m74yCHUR2w9Xfm/h/tKl2Fy+WrTA30AjgxA j6ijXBbfdBp2E2AQjGyUGC6fkdpMVR/vQIIl2zXZemDm7L5FKCzx+XXynNFQFTa2ExwFw950QTcr 5WDLbOWeKPw8ZO9z7KgyAxr5eatcEzSBQuuGGxXSaey2qVSizC2ezAhZvG6qTdAY6ief8O2hXb2+ 3NdvDCNN6PlKO0EBjBdFKU+l93DsnQjVM9pg+c3sflW+QW+Z7wIkgptzMrB7Iwz3/5bMvUz/8Eb9 sU262Ki4UVxtkGnxNCnMJrCWbq3aEpKg3wAYHrRywk9qCueNhCImTB52LJEB4DKksne004DlFEbn EoKYhL9wgpB24TK9QwPORdfkaRuXfUX6jKUKpjscOhPlFltV7Mr8dlsI7wyR1A0AqnHuAAT1IRfF OeYY+R8B6KEuLx1XJIEZiZzYYy4GPQlGA0mDSxkU0/uZN1DPwTvqEr+Ytne1RRWe38TjeoawP10J zhcjKfEDYRRDoOnsdObvvkEvC461lsFNEEHwKUYJvHM0GRV97YsLpM67Nhl4h92Rx/P2ECdtsoFZ aNmpLp6ofaPq0Cg4q7DUVcPTNqCfZlsuYDSL0ThoCy09S/1Yatg61fYAXZAwLX41Xc5mMesBPWiH QkaqeZF4EpXU+9jFDs5V/jBiBQgJm6xIR17hbtHrm5p8+iVjT3nGG4Odw+6Rm5WW0We6bUpeJTv2 rBAO560AhGdvLUQ25ni1qO3MhMGTiZiK14dAZY0+u4t5ocwGPLKtubjnozm+pRsTsGfRCa1nxh3c qIJHlcwFeF/vqfwRwngDDVSE5nFBxjQYPuxTfvNdwQ/LlFSr47r8Wdr/UMF6YPXFivl7GQWjLnjV D6l+sL9b+WVI/mth5ukTrWxIaKq73j3ctmPgT44Lbyt83re2dOqWaa2OCn/2VDm1mZsgRI/fb094 jLgMyr18Xr4EFGSQ3oT1J3l9cBKEH/k4Mda+kdUw2buQJCiSgPguMXKJOoYor3iqcBFprkyAFxbZ qr5E0n2B9asCik29q4b55qhQlj0PMGuIUoXOxY3Xk/FS41UOOvrZ7SwKN54SiZP8dVNqw09ZD1Rb eHOhYxW2HClK7vr0zfOqBdg5wBCldaPte5O11sAQ0MUbvTAD2INUUatxZwTtnto47Nr4ECcYPABD s0A2OfA/Xr/JM6+Qps+1wVNJMJ7RjM1h6KRb6Dv58FMvMx1Xfz1jHPDyevSkRoFGliJpRX+j2ohO s/Ra76UcIPqi5PzHf0796GneZvA74VfxxEz9qKsQ/QcB5Pyx/Nzc+FIiNE+bMSjgf24ByQh1bK67 2NbSjJs648QkxCpi9qWC5SdNIjOIsV2hgj3WaIVzWgTIlZu+mdDvZGRVvo+HLrXLkzXpxX2kIdQP uR79fEMaRM7CgZD9cqHefCWcbaO6P6GCO7iPNkZ4QDL/jwprgisF4Ei9h/IvB527oFQ739XE7TzG 8kX+16U72vjk3Hs856p/V3UFeYoF0bUu6TWSRYEOOkIp8ZGiKPXK0ntDBxV1qMmqFihAGvV7dDb1 7Vla2PhD4ISYCKC1WwE5XQxVgcz1u4V9C44LbMBj3wPhRvyZ3AVZd652fgNmjrBmVl7RIPT/ea5i Jv5ibqQU26Ln2GS2xTAkjN/vnJN0V9ydkakVOUTdERz7eiruV6Vklf28vEXmf/STuBOdfsQ6Zch2 7roYl3RtGbjGT8lJQGkmOSsvmYd5cmxUdag6SrxsfTusJjxRurF8d06cmCYHHhi+KySuFiyMpB9p WMNpuDFGptTNvkYPwt2X5H5Qyg1wmHjwDFIipzUIn81PfDEhVcjKDPzJ6bC4bhXIUVLE9wad8PWQ TTk2unTGXV7v9+hX3kL9IQSu9SnQMjni6p8uBVGYnjvhJQx9quDO4TMcMOarhx4K+F7vj6IlKatq /Xq16BMjejYW0l8ei+KgKYop6RLfeQXfyj1Kkl3om1UPLLHXG1h6IqHNDiN3gQMGZs9E9pUDVsLK 4bz6t2q0176GczS4stnnhKE1lWD+DwJEjmo4FplGHZyB9GlJYsbAxxXUl+Z1/+ULyHFub3CH4TAr HZzvDK9TTxfYfaDqW0o29MHafbBp+kVg/vI0P4+iuMDOTcj1mjDT62YnTtOHncxYekFMF/HkKgxZ UbE4DLqUUD/S2jpneIIk8v7nNsxOXWGDMOowEry56Y+pOm4x+BldyfE6Y52EPoX7/7PIWYNKSTQ0 O3qH1uX6W7tIA71KLlCvuMWsACfbGx3uOlCQb5XtxQhgAcRn2yvkugmd2AGjuUiBT6vBownqlrcC +i1Uk9OFF7jJkM8vfSHHhtfxjOEiXhsLfmrJ5V6t1/0TXBZQ8Qo53B6sCEt7UnYC/bCexqcDZOX2 K6HB37WQMd6qRCphWd0tMrsIfJev1nkhplAlNY7IHMIPX7YlW4s2r1lHQIQqPmPtVM8coTBsRaKP PdO6L4NodtjxCXzMnLk+4i+Bcq8STnx2dOiBHeZCg1bhj0k7VWX+dzqDlHFpj7wvMzq01ya79oJe hnp3U4lDRMBMbQ1+HGmkNo5JseNIPx5VTLDmGw1czbcej1eK8JPg2GlzPCmJbrPyd+d3uxULKxk1 rO8gMuD+43GVo4zyBxuvU+KLSPuYiYsN5+n6GVuRoxMBhrMkMI3vbms8qJyJkWWkkYmFFhj7Kq/L Pny/bC1pNzVOiwa1Pkki/jXmN/Tx8DuLfP6d+8UXKpl1nUOqu71LNjpambKbg+DyvL/rfDalDkKb /uMt6wjRxr3XgFaZqcjGY3uCwKQbPgI6axvALfU9iqWgP7mwdqvpEaabsCf6NULYy5nZWxHr1oSp kvny5Hnw9Fcedd7E31jeM5LL/R+7MYkrTdn+PnasZte1HemlDBEqM3PmUNoJRrZTyJ7nOta/4bz6 ShvBF7MFB/6ezxfBAbEveVH7vj9iU683p0NqSgXyP88jV/Ek39walSQ89KNwbCJdvLfYC582l/aS 8VukQydtKTVWYjLbiFN3x+NN+n/J9YVHIvJIMGxNNvLC3fpZeQ6YHihbc0BnapElgaZRisiC76Qn Z3ZOuZ+LpRteElSQSDBS9IFyxnHJtPSY/t1CtFhqRGAxPgIj4M0MeU58YFpLp5OF3F2TzOZN+NCO WfqZ6sgZf0FviT9Jz+mr1eb7yIMsrlVsu/waZqAtoJxcBC8xIVhdnXkLPYVkcxUkzlcJ4iauUW3U JCMGpti7AyhqqXBV+CgG4ZOFsUDT7TSqDWvNDE7w0k2Wrh/zl+bJSWLVn9Jk8IFCX0VckojwChQ1 X2cUfOMcrBkLfZDiLGX8K7UrZLzp/F4lYpNyPGQ8CWHE7etKCsUNWgM74uClZT4JH2XgVsWrn3Dx y5/bd3e1UmFkBtVFf4ld1W+VFulUjz5T64HUzEeJBvSH+lUiA+xuILqz7ZuDTCRLfVlPGD1d/uEk FIvEFVSTy5ziUA1CsX+BFwypuFIRl3NkaTWH08c6LhPDx82DV1N8juVCBDrjvFr/dA2IGi8URncq F2ZRWYEbDlKxHPLLeBQ0CF0qS1skUeGSQp6C4eqosM++hnaXgFg3kgDBKE81qe7PLXWNLHqtTi6K jlCwZaEPP7O2xXsE08lKfSMXNKKxfiS454gthcg6hmSJomIJBd5KfkX9ES6V/nhdrs8KSoNmHVfd pyYj0n8rH06tpcCCF8T+7TrRikz1J3cSS3fwmfq4gtS5HerKnj13MpjerieiSzgGfdG2DNW0CIPY cRY7lJ7cGgOl+wo5f+Yek230RZ+cIO3+LAATMW5PsNXhS6hNEeGPP8a4FdtnHIw+dQO0rIr1FXMg cMTu7NNBCCx/UcFHYmZisJnaSrAOj5k+cApR6WGljQzS9i7abDbUldUZKxEPd/CH6HbJsArle7sR 0pPlLGWDpOntMSIkDghc5jjaO6T6btnvIKlO7xeB/hEPSzUSfOGpY1rbI7/4zBbIuTAsBCMuzxwm Ex+tQpKKcsTjdqq0c/Ah3IVQjB7fDwH7w2a7UjnLCGaVp5+W2TB6ykyyLukP4liU5Ml0KIUqwnGv hFUqjDGi3YPDQbwcJ7ETfEI99q7dfFyxNbpVh25hdDyuLLkOXSJCI8RCczd/VtpXvoDmJ7i2uYg5 m3trLX3sc1DeRwPA7p75ZBkchO5kWCh34T3YpRr57Iq1Os+woPgAifZc57GrP//ptAifo/HCV+cX THQ+EQuJrQ8oaDMRJcYpDCZXYP+7AqoZEIDdoc6aG5StQr/FpIHSTjC5k9W5L+NRJtQWIohFBmIC VsAQm0kH5GcN1D0Ypp1QI6pinC5Em6+NuoiHObxkYr0/Z21RYnX4BxOm6VZp7CMMgpEqDnXCvqOj VlaWKYjBavymng397BKAjJSkgClFT1pXgmmk2hxAFaUkoifwIFLB/v5B/ZlJG47MQW+RJlme9UoU 96OtUpMuBwyE5R8o5XyowlN8cGcy2cmfr7YkAr/E5UGLBYM4DKxbd9att7wfiv66tLqppNof565Y rDO8i9/jC6DrN7KsAAViAtora6td7oX5yydZiqA3nkgghJ9xoJfDbTAryOXD/pRmN1M1NyIaTiXk 9WbiY7k4TobKEIqccnndp3Y40FVbzZ6+erOuRpab+q0Qf/x2gcaNEGhxeC979IFdb22osZFBhZj0 8zmyzQ7KHtF4xLky8XSca6zhU7OyurI5NgLus0kb14HlanpcLAwOGNBeV+sn9jb9TjXcMdQQLEWZ S9QYG2b0i04Lng6sFO/33XjdnZwNjDtscyiveGFewf6xQ10FQup7ASC0PRTUOvpoUHrHlgzuUAP2 bGuKKKdmnejKnamt8swtPvOHx8tjPXpONdfbSOuc1/7NzwMsBGegr/Pm9lTxBMztXs5gOq+mEgHL Kamj5FbDcnrUrYtU8+z+PilNSyJyTfCzUFm9O5GhycBXDvNZh+0j52BTuml0nbBpl1G5HhxTIz1R usBTXk6aj0p8hJnbOrY2MlkFqIvcxlChaTXZD65+od/0qaqKEubANZOhpzXmPRvSftaYmSiTpDO6 xqnebkRL1qat+P0EY1jn4tELby8By8iPKf7qXpgpINQd3NUUUUxzsM9nZ3OF7dH5w6TcM5j3OAFj cuNaPKTP0xW3yns61c9jLsCLMn8Mlybm0fYQdhS+5PdqdpFaoHyBVRJt9xwrYc9u7VIYGwMF7SwR 4FQJ1IoeHhuXTwVD/0/wwtTjPbEW0/ov01JOkZujaDLaz/X9gk0MEf/LGoNNNrozdR79a7/uyHuH n0+ByVa0jseafBgzu/6PGSDxmXpekhWTP8krb2a4P9IJA3k73uWeYsleIUXH+KukR3NJdnrLDE4P Edk08TlSbuTDV23mppguo20sIei+/xkoD6NJdsWT1L9dcIBxZ+MUoyC+8oye+Hddz1xqN5/w8/Ft kIuBxwXAESRhp6JH7eqTBQAtbM1d/7kXms5+LncTPkc3NabD7VLDfiwzeiAfx9sa5OPu6UyCZwbl AGlKNkZpULQinV8W+LvmiXpb5DORnGZwwbDyuwAvDkGXg5qN7EFI2hhA9NJZVbYBQLeNpkqPsaDX vldBfrWgCpOt8rkZMCrvQ8uVRZG2naHJvoMFXkA+Xyor7qu+Gd3CJsKuiQIrbSx/Dj14wuu8xoo8 jraqxwa8CWt9LHdvN07MDrmIutqZcOyTSt2kbBvqH4kYnVrhTbIIWQEYE2d+Q+CqrYZoXxnO8cZ9 UJ87y08IxO8AffNL4PEbtCY71hs9a9Q13pESlFN9gFeRvmItcbNXarg96Q2qRPFvZNPKob1Dz0DR Y8Ik4EvnZtMRW6hk0m1UNmvJsvwPcwTLacKyjRWHtwOepokXPY1WO0DmKOLYw2lHTKehv5mQDub/ AAlA9r+SAycxnE4qAc9PTnJY0oUZn/EQVpDsQduyLXNBf6YdtF6oDrpdK3+o/6RW6PYB8oFTFXGu clpxQAF9RTZNhoEhqcH2f2ENJxZO2Z3nrGlKWwHxHC+BDZycKremCkw9uvzBUb82lmg1ZtemujDP DLmQteAuX7nk4f9vA1636PsRHMcP+S1T3u3LqwQzJzFiphZ2HebDENr7P5SK3TpfapHYddPV4yHp JFCl2R4R4MW+OAMytMHhn0OfSNtgiYtVITY4gjYTYLbYv/Ks8g23t53M+eICD8Gh9U6RXDJKKv+d tv+1QMwqbii4K2TOu63qfZi7/lpjNUq8wjY8rr0Q/5gvROZIFBTd14rQdaj3Ht3LPwUJBAZzcPyo 7+Sraac10PrnLdo5Rp0pBYO69gGsDnjFloleCr29wNUtKLk/aDukNms/eLGGIfbZsgqT3Eh9fswo 3KTtyXpPdpPh9RQ+X6aW+KkdLR7xMfEL0b6UtW/5llOKpewQFs2zZ6Et2tgNo/LH83qI4G0T7vVw A0oRAZwXOMh8zSPCXYccqnnfZD5ZMxRflv0pAGQh/rGyf895KRSwyfftQ1dKJIxN/6zzfTuDlfVy 2rPOc1zB8674ybK/+zq4GnpleTE/x7EKs03Qb0xVZPpfXrTXGTp48JDYDmSJ+nmLV4mNXJNJnnfe e5NRrregQy2o8E9MH09asQpTEEgoF/X3d3gROAuBs0uVFyMUgB3Fw6pFKRF0rg61a/O4X3tANuPd oWjMtluoOqSHZxacmM+IX5K/zIB03nAUvtrghO/h0/bZZE56WOsQDcDoFUI7VN8AaNxocfHKz8sA koMxgUOHaaN43AwTW6r62Qp8YKifda8hOW4BUTUsUWrVqbBOIopMGdfFIeSxqRH2yAoIPAHu9ZU/ P6BFD1p3Hy+y10qACmFNpYFc9Dfg0TqFDhfD5r0U5d9SZKubUbleHWNPKfG/PKW2mCB2s1DeBKW8 0V3Iq5yf6r0pb96C+l3cDKX2TVXXXf5bykp5PwLP/fpnDcEPPeQu/7JHxarhrfD2tMLBPtS+kqBS uEeZpyIgzS4Nz28xCFe9yEuhUvDAuKqfTzCWY6N2Iw0O5zkYnglSKj6Tsdi5Mxua7//0OiKBpCkX mVRnt/clcy4lloZx4mcXxLB6XepVWnoHhrzhgB2ehFq3xvbc8AjEPror5FaFhZ213rp4QZ2E4nbI BlzfMHdhwMUF/ftH+6+mEBeOhtK60R7kPF08TjbMaOA46cOg9011pnxfdy+bQDLZd1elSm4P3Qkr FokNHrbPMQrRnB2nNHVUAHWsPo6TEAt7oAS2/I0RVje+4wMdUvZ5cOiEjzHnukZu0OJCZ1TGWPLx fD9Wf6mrch8qNGpuOhznyouvpgM2ZWzj8NsCUBoU/P1By9jTh4LBK+f+3g6OGFNW/Udzh7POdP7K OBLb+MJCL8rq9LkN98K21k2/Pv5nmbnoopL2/G16O1gM+XHxAhngKIaB8gQ8Q1EQStq9EOqIbPbN vpBhpZk2AzciTl5sxDvZ/nHYZCfnSlHChnJzk7xMSUsFRsjqQI4ET45BJbcQMIK2ctx9AejujBV3 L3Qi6XHgmjovxYi7Bu2cWzkVVRR4nNPhNXgmeBqvtLIGocMcVFp3Mfg0Gy6mZ5NovY4rMaIemYaS WdvtIhBI/AayNMIeJLauSCxqdhWRrrP9P682GEeqDlqAgbefajRwaksJPZmYuVbl8yCLXcCUgLuf or1bAOGhQqEt81vHzlMZ64WvQvsVSe1dyyhDw6F3Ac0TnbutFmEJoYzDUJ6Bi7q5WB9tzRBwxzE5 fQMNwCXolPchTJHWWhICR+lHqmd5TQBziqf7RTcr2yoilWk5m4HHYAFNq2wZN5j9rB+pDny9Xc3q vmnrXS3ONeSinpSSoY0bURoa5mpRu5j9vgjOap+vrYMoIbu1RGKX8EjWlOFVOcBBkYLBJiylPOAu N6sn9QI2Zbpqd6xCkQ4Cj3d4XEzb6oKdXYLPcq6P7WJH9zz8x4a8lts4nHelIBIvFVwN+BrPPJwg oQA0gGVidlF4prhn1l1BeBzQf5l+I/KJ9ZHcAOGxy5bRVyAzdt823Nck2/zLf+VnH59e9p14Lozp buJf1L57GXyh3nzRxdLXNT6Ebv/ThoF3QAy8f/IJdqIL6xiNcUoaPubOd2iO9EvxuplM9gQeNrEN Plgprjx6whj1dvsg/RRMAO1+idDoztO8D6mf5Sz1ubqL50jcjh4BSR9NIUvBy7bOaqht9fvR+djw FSJbMtG0tv8S3S9OVYTZ46zFARRmq4hCxBYwu9xh90P6cuqzbWgYb/nyu5uAzfFZqtXyL/a3eoBv mfNF+EaHwLzTTHXMAjOexIKzY0uympueHMPuiwB10rK8dGiyd2sICzMysH0dciEVyGTV13XtKDhP h/ZIjMo3AZWRndnnXqjVDLNemPls0291G/dPsPwvhzu9P51QD56e6yVAPJFc6oUdPZV4gfPixtJn +3fCE9rnc3ZiJcODUHWUOcbPmFjCjkGag0wDTjw39WaSLe2xYez6Zi+e7tr4GM5PF7Jy1EKnwKP3 eCBlYu4aGmqyMyxUIVqS3FiHNIOtueb16T1GbyJWl5cOxh6NVmGJ+fYLP9CXiEfULOUFLavGtiJX Z29iSEu6UtxLBfjFiG0P/xBPdkPPnsXZxK7txY8wBphg/9Tx//X7y4sWghDlN15ChIYIpGIpMbiN NFf57W9xJaRZJo7O8/OhaYdHTDIuOfTqDrVjO2lyWTfTD3I8OPP4pXi5VEJAjD7inyAz6YPq9D5P Rr1XXq44zhNBsNuxiQIvyIfvCq+2SO01S7xDqwWujpG/IWveRj+FRNDYFVNOmqqG21JkiN/22P7l zyUtdEs18a0pE2qsokPgLYGKJbr2Q8SvlB88Jts2dRm5+MDymG+/M250eKKuw3qMOkPAr5XZeYNJ ozlpB9iWyWKgf5hb5sM/Z6IgWyznt7gIaDhjK5U64SR9pOFrFm1uXr/DEy4TlHZvh9WIMtMsuohz eTWI0QJhClk4R9HbirNcIm34kyaK2xDX4xxT1NV6yhxxf0XSR6m/qhfWcROaczeO9NXZzGxeH5d/ iiy/mgfs2pCrNPqxT//2nkh5pmeKzgu6PP7mwH9h8Cw3A5rKp/ENbq4IYIzTyPieMiwcWUU7QUWo 3rb68IAZFxqZDCawR2DinEdJmjtvmrraeFMHC2t4yxTNUr4PpoV1q/4VlqWcWfqH6GHXbIu/5BFi 4LP32N+P3Ut8KEIEvaU13VXlCDfOceRKUYC5qMp3tz708DAl0m2/qUyKBwqGzm3W5nRh4a54Y9Sn 53nAAd+dAVoW/4MG9gBqBzMAnEujLQYblBFH/4SDjp3DG6VdMV0HqAlzl4LinXI3R0K89oOYnCv1 SGDd5nrZ+yf9rUjqXesVHbwQRe7qfF6w1F8pOcwGajdhpAaV35yfAgz5vX/AR8JMvQ4hMtpm8X4E QjZgw0WGPlSgK8JZhXNmkM/6J3j/UNx3+WroIQTAsb/GKSe7iWNWjAIKd87578ZhG0ojkSaNeBm+ 4SzjQMaFB8RDfJA8MUZyJN2iSM306xQLfb0SHf1CfLFZ8YHL5G9z05A2aJJ69jXwbAf1URtYyWzf pjDCMkXa/O6o7TLrR+p9UGwx3+FVuOIpAR0NzWE8g7yEfXn44WFwi0L6Q1dGqh+xeBV1dVioy4jO W0ChD+oV59kwltXajB9gvdUk+D8n68D95lVy0Pbqqa6K8+JHXOVA/wHgT4qIRRiuFNZOLmpxF4QY bQYEN80Pel++cqJbmDixyM3YI0SPfGS2KGv3P+Plq/2v1U/d30rZuyPDPFzJp4sqDrnScEcMJR/1 kunZ3k3Bitui6a0+DogSq5OLH5P59QXaqIkBnVSdmYoeogr7pdwyBBUHKsqBJoMc9UGacJV4Sipr 2VNUXjvsKpX9ZPh45YCg3VYknhnsQWXZ2i4n0/olaLV8mt2Cphocqbt7UhH5Iw1aWj+om77OwhCF 0D1NS1aq7W7UUODL2PQilC040gFimhGd/eBPxZdDYCyNHqzL6qG05jeOEGvuuLcs39SWEXbV5Zfq Vo4lgARMkxRTsuzhwDP5fPhCgZLKhdlEKYJM68o5dxHWJ8Qfd+Obdh9nikNu5NuADuKWeCxXFGQs P8N6kMUOPE4I5n9104tMnqmyaG9pMHg0l86quXz88WrtDbEwC5VLs6wEHCHmL3tUH0tcs0CVac7Q vCUqgmoIuu3W8tq8A0FfSIGOW5RiMjdRQoBSSyksAFGtJyAsMUxXQIN+ZesWmpngfTr+N7Aothq5 beFAnBgCfGCcGY4Ju81IFbqf7e4gwbKBvoqcaQdTU55l0dJatKuqy3UvR7IiRVIqbF2+ktMYJvfg Ggec1m/7usJ1Tsdwt9BdnS+60/HQqID3QzWQ27/5cqMeCDlH9nqpOYJkF8WK0eKdwsdSrrakWWb1 +1rTfSbsBTDDwfzBOIwqxBK6+1WVS+YqV6jN0ZV3KLSsGinBl2Dw6ma7ASjMFcqp3g5HvQTDbs0I QA7ahH9xU5u4EqWz1H87+4BYKyZTXbiaJFrGNTpoKVt1ROVI80XLjFY5mNWss+wVwkCM0+JcIOXr 2ssGk6/0e+RUHGyG+Pd/2Y2f6tj1hx6/TDxBji5XEA788Cx3b29DiVlwXVwgElAvNOPxvozo96Cl UNIcRwMnMQ5DfgLBI4oHrcnMjzN5ZWZtFX9AsKviDB0mT5/p0Z29H16E3t8prOdDS4Q4ZuVEB+Zj akmg/3AszSo84YyOBLj25kKBuhP9+RquePMxDMU9p3iPm49cX0Q8VfLVWmSJTXjA8qcXIwBHmEO9 MSJBean+BkELBuDQUXgOgA7VgRp3ERW7fl3y7EbmlLcHeQO5ZmicKqTtGh6XcEKvB2xSjNSdoztN IhchVJReBFwSD6+a6NImxSoBbyAmQVynXvEFS8MutfZ0MeoLMqZQzS5oTRPrCZQGZcQiVkVc+rci Sz8+ch+kaoUiLCy/XGv1AwhKIHND8Ib8/BKwxXW2O79zlx5aIk3VC0mOJ7DHqy0r8FFe55z/RQDE XutPS7bNpmU0HzAtxjzoF3B8Awl0TcQgNlQh6Tnn5O0e/5u2YT7clghRau2n4uhw8GV0EwTyo2SX JxJrptakARdFajbESTnq3Em2Vx2V0gYqK3XL33eO+whi3sJ1NV1mWfoiM/zksRqqMvz+6647mPIj m6q0uLdz2p8/TCsDVPsl1pYnNIOU+Ig835jQNvrZeIOVCcLTLRoIxt0jYO+qC7ORUeRQhjHLloiX WUN5EvqWpqK4tx0fB7hC7AvLEgvHxjCdF8B/KTVkxDzbVa4+a9UHBAQ5LvQJw+q0AYbT3k0dG7xX fWSjNVJfESRqmtb1Ty1oidXs2CSersIabHNXCs8jmwzjKNWHjLB9VtF+DO4E8GcmUAwEn8H4/1vf G2bbAg9h9B4hgGfq9rbN74vSfZOcgMpQH81doOxC4XLBUGhb0DGYAQ5hVdh3xyaUN76ONX22C61I 2xh+R+7v8F+tTNL6KLxppbtz9odGbVu9M7wbFQXNtJkxIBwfvwtpDpB2TceTL8jBtpwYKIxrXNUn 7sUJw2V/jbspFLKkGhKUGtaX2MEuiRwJqj0HTbbD0nM5lQx8Eo/xXoC+N7Su5V3MKGH9DfDESHJX iAGqmo63uPyBf3rcGq7GmjhxuAKzv2DE+8x2fp5a45GZ9TXrC+gp+Xxfr4M8tx2y5BItZVEBLReW oGUocNipgPL49PoYiseAmyFwVGD3tbckD+3ohsRr53Xxtg64nb9zS6cHoM50SDlw4TZxlpq5upBd 1QVfw2iD0XiZH2ZZ/yfT70P8Ww5zG/AsT/zEQGQBm8klHeI7H1qbEy5rkKxWl8fI21TncLu5wpbD ogWaruF6aOc6+ZViytOcPvBuKBkxoc0LoeQY1zNIz7FK+3K5cdDK8uwhu7XwOeuW5BIsRRVSsdZg Ie7/Qg58nBTzZYmP1LYrKiUw64fo+eL8hx4KjQ8PmQM9Z9MVm4GjHbXTliJssTXxs2qWAXg/XrIX Nn12TCgOEE5cdXsLrG5CdH3B2doLCPr3X4ajBkYrrnj2vBX6wtRPhWZ0prBm/1afxv6NxvE37vD4 VEiuH0M3/JSOPV9jvTS9eTLcFFQHAZjkG+9+kk6btCggPBEJl9/M5rIAaN5eRnYUsPq6YBXROpXl Lv29QIQ0Z6ZbA3kir5SUN6+E41Q2k+o7QQXvQffL3V2/Dj4hkLFt+3n82syjIdsUh0OFhiCE+8Es Lwe1YU1s7uh+YuT1DrLRN4HXIxc1ugIg4XNUT1bTiyA6RLU+5M/wJhlpd8fYvrC5CVoR2DBQFTtc TuyHLYEVlK8RvxVRygKIho6RhmO3x2niAm+K50sk/IH/bcoIijEzctGrdjRFT4GVPc8tF2y8snv9 iSdbmo90b071X/VZlmOIRKGILtdR0/FdhFz5Wy5wIjjloJkdnrgurbd1NRuE4bL9IjDMlWxFkGm6 Is3chu1U8AexikKxscQ4nn2ob7VlC4mC6SYjMBZz0SGpgYFWt+bkYSOkyv22H7rlfZ8f1xRjWcfa EXC2TX5oljcOf8lx6drFzHx2btgt6CzvSby0tqNFJkDJnIfdCsjJz495MQWGkY3Lfpq9TZmV/2mA BOm3hihsiUaMsNOyiTM59Ut1nw/3Oc+rmA8HZUXgFYMnVBu/z41fuFcD1x9ymO96310b/dIS4tBe 4BUI0o0rWTP3oBTfqJkv7elNlj+3wjSth94NWuAcRaxs3p2d648c4MYjKjOmHbK4gCHXGBFqtE1E sqY/udKeI2VFcS8IeoJDzDlp/rIsf5s+F0tSS3L4e+uIU/Qt74FSoXXwB5MVCdY4R6Tlvauld9Rr c6sXQ+Ttf6lWn8tsenflwowA9LrpoRlFBEzr4HR8myjKDkHU362oAtpp/I3TzLPFNTuzInL368cB fZPG9MrzWuXeGZYAdhwmvWqUEZ8M46rBCa7ZcmO7m7Ab4WbpL7k8FF0JW+mlLoTB5M2gp8dC5fK1 oQSlrHaJYkp+mGuH0gYVexSXMeQ/U6AtPvE/cBtRY9p29bmrDadBGdR6wnVpO/82i/fAdxopfL69 QeIpxhrbbp9QeOZg4mWLgEryxoJMhPNSlZ74jBa1vupQPTFCmPMgdslT9OP1L29m7m00ploanF9M r8bpLPgf/diF646kgy10QJUyhOJelJrvAlxNNaeGIrBOrQAo1mr4+Pvab3AIGV6Pr7kl96FcN8eZ IV22pVGoCPgIp//fK0vUgBPFRP3CeC52U88dZzKcAC7IsNHHcn0wpuTsDedtxYTm7isIzl+UmUVp s+yqPi3bMV5aDyAYmO0ZuflYCskw4NwzKHI+uwaeWx59Oc/Sp1gI5O3semZgCm/0NcSWVooY4fgn up/mAgZiioF2D0FvtVuTQ1Mk8y1oM1O0hzDpBIXKb1oQ4dMiiS2/B4m7puOtSMXV1O1uiwf/J50u eWqOmG8GurAIZYySyfai3nbXaSmhu/lF6ZizSKl3MdvujAuev34/BCHLAfxo04wmKKYotuVgmfhj fxpa3oQQcaS17y7lMOVPp0TVhA+w0DpORD1j+yAxs8xD6tOsIji+/Hp2cjIu3sl4PqTS9O3dO3Z2 9BHLGbxLknWDPyZAgtldTeQdV6gP/cn+VMtu3+K8JS8xFznCbYXfnm69lSYx+ixHjs9pdT/BUzSL YFB727HJbPp9UIL0rs7ZU7sCmQWLBs7EIJ3x07JVMSIfzsyBpTq8oqz+ZyYg8uR63XFcX51eV5cp yS0lvj4m2GiWNKuLpQdE3ooUHX8kgTYVdxv+E2aDFnktJU7BdkFt6m/qPvsf/ID4YVyNhmNtD0qB qQ0KNcQlUJYSNJrugqIWCDrr4E68KBhTuhv5oo1XiKHYPeNSmW/gcD5yqlBtNASNLkU2PIJOEuwi t1S2H0Q0hsFL+UtIP9Q2kt013S3QY3Bhy+NvfcN3seT7H8Borpa6/TaTC94OxKeJbb/+bMy3mYL0 t81I0xXEtuoBvBQ/W+EbgexiDnh5tMoph1cSvpd5MaDAHBjDzE/g5ldpNQcr1vTj/YnsQ23/78T5 sG9YmLhLn4RTvJc7vNC5o8X+HUAaPmQ9ptWgVvQsAQh51FcOd1Vpl2LqfusBKD5Qr8YHqCzG0WL0 ulEN54ttp+0MDIQ3zypeQ5Jk6BHLI2IrhYBhzncgcp85vl4myGGRSY6l9vduy93hcn1yU9Ftqzze /w33tkZa/mM4Yx/oqsBpAHT6pNzQJeJ/5qm1ocHM4lR6Ix1gk8C7c9cgS44fxiIh+MQ3h04Q0pA9 gIjzTGJLC3CzBm3FdvnSZ0kZa1g8KU5LfsJkjLSNFWrlJ6omFMDTtK6pJrtj5Lvk1VQxxWZVEgHY phbShXT/D2IkZGpokISVwN5pWfElUeNmh2RMNRFuyDtMexSDKPiSJYVQU08575D67vbnHZiEIaMs PjrMFOPY/MYMaolSXwplcoKwXnBh98vRw9bAfn+0871GP2YSoovFUBlhzaBIbRcRefhhSNPb+rcT SDiMwZ6rDpnvZocuPh8vhOzid7DuzGMOKNLCfAFlsLYi+Ju+o3/Fja2WFEE1buB3POnsPjJL5C0l V+DDZm/9o62NtDqRCSAZYA3yA5TTaUik3txHfYK6oppV/RtJtxR0aa1qaWFhj3PndUfGMlnFvfbp hwjshQwcKQAfUH9HWBq8SdU6XlGxTVVSWfZlGzEqHKLcThioUFNQLDT9BFBxvd2wvj38v//GmlYD FDA5/mGsfz6dvJvrVwZ4QvBwdjpf+LM2NRK6MXI3tEHIPr4FEhiB6FU5GwnMC1qSCERb1nvZ9mqv zunsBVU//OdS6dirzfGnv3fctj/JoafQy3SS4bcyMBPqmMUa766qm2hOCTvxjXl0Xim7dOw5AZHm pfqTQwHxFrejGjst7rpWhtxzduRDdgI89RR26SzospMGjXcLzKyPdgTrAYAul/GaE/15tiMca3hp H3QznWAeiZ/2oaaRqHlaMWIe5yBZXkuSUhDU8ZWE8hI6nbrpEePc1FjArq5go+793Y8FcVt/GV0g iD9aqP8Q+GfJMj1cAybFVTmwRZx+wDZPlMpvTd72CAFukcRKymI/YqrmAV7B4Cr4MXPqmFWRBp5R WjHRbI1fbHLcQUF+Fk6wxoZypvRMi+NtXcX9ZnxlDRgvIkwVmVJAK/HCRbCFbE0ExaeVv2XtAUY9 fso+32FRvnvpFIecYrNPdXgPS0FSov/oeC6ZSZts3OvKMuM4mpelm+0gCB14XUbmvPR+iP/i1EmO DCVY3TwwUK6CktXxQrhNWDlT/VdbGYoAI2Q7rHFHCu8tU9cRGyElIqaawPRmuRnhvWLrgxiLeXIT gJpSezrOFe5JMxRks3eG7u/H5hdlrRhkYewtzSiyIU6I8MnIDijGqFc1Kilp6x4p8s5sWL8PFmNi vsyvEerdkAg+Fd2s39SwM7P6kAxT9m6uWJKJN0iraQaXvrn6LbZYjYNoHYJ/KNLYuw7KPuJK7ZJZ pjVBhmLqG6j2lpQZuCUamzbZWeQPdsLpt3mBaAlDJwYE7wlC9J8esZZaqT3J8YEw32rs+d0+nUs/ 59ER8GDPa/YOTskniNOPJ2KUKsTxQEHz15eVJpdXX2gk5u6FOa70Fp2pjQZ1aLSUcxM55LE+Jg+k rPMN/XmLz9rqOs6H9cuxaqXpJw4vFFYw6abso8x6rfsF5MtIdhyKoBKC1GSwYgYhzOnGRYe3kznB cgTvkHOOkhr3VIlZkXKB81YEIuFeLfztgCOb4xATmm8X53R+7HMUzKR4JJbX5tWnp8tUjsKSD4jC MXpjxFPwykk4L+aX1Gygl6rSQRnAieQxX2CRq2HodAbmaArJjqfn1A38Q77tavql1QhJ6F9Ng2fH sXQlXwrZvttY7lpGrX4agKTTfOr9oljnT28+fHY+R2Z6YM6fuxsw+Fhy+Z1ZeyRUK0Jamhlx4eeM iHwFW+p/AwmaSm2TtUCTuu597UWfooINK1Jdb50C376dPcQVQo6xHl327TZ8K+MIYfi/ZsXKAg8R TcK97x3mizzgt4MfZOGVlUQmxJmcUTHMDu1kv9XTySWlE92WXVY9b/M7m6BskOw0Ff63SNeqE1jv UgHT6G69VXuVSDm8aYZ5icIQDEyqpbQ4XzYqD56scwOQlRooqLVhaB3ZvKuAX9M/9mYrVnKfwS5U neILciuBiEGXQICPXwv41VZF7rB+mGAqq/ehcomLntYLXUTLz7U+YlMcANkEyUhKONJRS6bcMn+B PCN8I5o+TQw27vpUKjd0XgA5b84UOgxj1ahESYYNgrclhJXTYgl6vt+ZeTZrfzabkZycy5pn7cND PvXVlkCap/OEMdT/X18T6YK8q9X3HQtqhYZMbP8TIGuQEUsl1AQgkKlH3jT+usYI+wk2YPYdmvH/ nclwTmR3jaUt0dWPmwsdIM3GRXUqyAzYlDE7RPoK3qUsHYIlZPmNmvSFoNKfpn0+iGZK+i9FSTSE w11mdaFhgseR62+nQtVMdO627/RDGjVvCoi1t87V1RM1Ic/mmTuSDuwZjjUGMfo3qfAbRF/sOt1W pSLNsmZB27ftnfXHWh+IzDCKN3NAMsaZqXy967Brw/x7w/drJdgGpyBDjEcasXmgyXncGKJVFkbP K//OKDeRFmxMukISehrNXtw4pVdIknycRZQflckSdYKHU/M4C/GqoX49wNvKeKHAAdoT6fFBdpY1 y+nDMQE9VnQGj59UHIFKpDUZiVKFBgW8836hfqUHZcgWld3cuEYMLSdn2v+Y0x7XEkTcCJUAtdUN 9d4OumkhG1+Xt+g73+7Afa2DFPmT9wLY4Q6mt3Hv9knhk9okSnSVjGgfBsdDnmyv4lyMvr8x6wLv 5v/4gDz7HLj/1CQ2DCzLp1DDK+RY9slvaSp+//l92eTY0KvzTZNLwWtIGOqO5Cz4E4AS07c5cDgT ILU3a6i4Zv+Q1TffMiLLo0E5c4l8QlKFU8udWVwxoH5mnZf9/XRXiGiK0Lh8djPiW5GOflo8/3w7 nSoMTmyJMo9eKOouFLOZwnfmnWKA1xqnVKDwcgLFsbxctMo6PMAhQ9kYRWhkA+WEqOFpr1RzyAIy tvQSMoERNb09leWjzc0U3aXfZ8TPhWsTX0Qgybh4fBVwlLsRfDB09OZZdOyMNKDr+Hr29Q3xFAu+ MD4Ir7xv26CpwkFBWtvtgvsWhRpgQ5fLWoWA5Dk652uhOxAW6fpQZ6I9fyg8rqjkhcWOFsnuXis0 T6SXDIuuOMPh/x03GAFyGRdzVJ5n4LGnposXXx9It2FC1FoaFyG+Hsx2eXCHfucDfoSaLtfPivXy 6f1LUCIeUSIcIQZNEOt6fOCVCpcQDefhpNfLBxBsl1vqOCja1vR+WTkq9cl1dGYMp8hikijwnvIv ZevjMCWYv6lgTkG6ZrpX+j9ButXQtEWCp+w0ZpMcyXRtDmqIJZnwF0KTb/lMKa7BLnzt3R+ilZIX U3AdwGNz1nFSGAPPTQuSzMCA7m+NbbGKOL10LVMHjqNtqTR4tbmR7TQB7JdR/Wm1lGC0isUp+qVt EEcvaGc/h3rwinJyWEuy1VzZtQbS4B+MGNRpo0JwWkUOz55zLYop2v6jgk9yh6saUWWe0XduMcmi K0xh26JMNwkrvBBKl8kAMmEWmfnOS8gtkaJ4dsf5XKLS06Pyl5r7vIPBQRNZ2tlgZ57e5Cgb2ae0 ln5wFz6CsV+k4BdW0a9G53Wwa9L/RJ98mggAgmSkSngWqhxW5yMbjMPxV9bUQWzKKZaWjbI9tWOO MNI73Yr7HnO3kxXwXygc9DvU8PI1aOBzbmndxfvNueIyB6XJqOXKvq/hWaWZlwj1ojEdIFduEPEz IpnQr3U2Sdi08J9t2YrT5Azqz+98BR8Sow9scZguG4g19XtXWDQn0dyGJd58dC89a/r9FSOvAz8a LiiUp91r148V7c5Vnde2nYjRbYVw9wT1jzm/M2mMooWTA54Kg9RvxC3BZU5EM6Zr3vX5a7rR9uLM nVPAAIaQAGXzROI7v+MaScRTWvY4gXChSSKiHRbPrF1BymDTQfVzac8VCthvrHaLEfrXzOVKecFd mJcOxksZAvFwSFbg36inmim7zQmGgSLN0qi085GWeyIo794ypu3uc4rzOs/e24p6hbfyEMZViVMC RajWCZ87m1psm8UPnw6tcwDJ8qEsJ3PY+tWwr43jTnBuUp6Mv6GMgJTJ9cR0DyqVTnVZi1crpcbK +i2vHgjL+bmgcnOuqZxp962zJ3Iz27FXLmAoqAIuv+n/wDt6rxf8oWsxypVkAXAcJF2jUzM3UT+r NlyFBMO9B3t9TW9xfdpVsK/IyoeTa2O24pFQiiZb1HJmzy9vZVALI3RYCD4hCIKfGX4jDBQRHNGl 6+IcA8s1QYdg47k71xz32eJqndvJANcyBBwlVad/miO8Z1iIA3UYg9s1RAXqMeEvvPKzB1pBlSix UW+hsu/ncZ+TarZTTLF+UB1SBAHmTogbzQg4+gSY9EfjfrCoTCV5U5bqMAFogyzRubPUYDryGere lSj4UcKQTbNuJdesxjasRgU9gzVDEfxIPuhVtpXpPS6lV46SnV4buxcXlPamaEpQioHZ9dT0NKIZ jC7KnAQvFZFaAhPVr3E1k+T2L/Ag/A6PFqhw2ge2we2Bty3QJxdXd7jNspgC3ER04bGieoJUa0Lb hSK19nGfXOZSSDmBN8Y9tsqzeUQZpo4nLnGY2hWYcbdl3wS7qN04tvPaHvkDVe2W2c+ZybinX+QV S8oqH2rzQdII3tnahbE/6ZLWVxMsYWXqQ5jr8b32RBZb6NzLOc4cQi3FASIhj21NBPg/tg3c3mEO kZgPbB0wltpEourbyPp+l7UPY5MlbHgbmDyoWPY18cNlau1xnAtJ7IvDsbYEJKdC4q401TQSQD+7 +45GlRwQRa64T918Dlp++JPwtKUXi3PC+QQVeYAGjyxxYSzqDLkfeFwDkJVkmgBQsUaonUOxt5FH 87pzkJH4VzOWnYFi+bfqQR59Sl6b1RaoFU+brlUSb2jOUFIjxJ4qSvleMg3K4A3CyQlz6e7FO0kY BDt5TJDFMXRyVcdsMKa0+kGgijw2VpFOvRjE7p7vivdhajc6SFGSvzNPxjA01TISCK/LeQFB6WaK KIX6z6wc1Uh5qi6gdnVV1omqyW4HNePyukaTnoyEDeSok/W9R3LAC+ivads/H0oBUi0Jj4Sk40O6 Uqzf+aIu2LvHfdi49eCjlOOuzv97pEqmI/A4ARmTCvtGI+z81f8IVo/gCR0O0SliXf4aM9LKpPQw 9gRxD8bgh5YWCG/2O2YRu1YRbqKH6a6uGd4Gzd6Jorsf35CFDwsB61aqjuEwY/V0Dd1A/D6gIrql SMHYjW/Yog6S76X9aLjPSVNYlXUz/kENwIYcgrzLTUgYVLO3mdgsF14rFcUo/S203SAgCaU1aXoI M02q5jMR0cePqgMsa2KjYrfEsSc3XU64hLjZsO0/Vqx5tPRXUJrEGSOE0/KcnvM7VSIOUX2e92JQ kFc2HhK1nmAqNWuBm+d3lng5/fWk68cM8ePMQX7STFclv06p8NcF6mm4Qt5GEV8vHlBK1yiWhxJ5 aY7TgWFNzkhmkzxADKqEqrQ/yA6UoTuSsLFK2LwQifw64F5muUBZ76XKErXgra0M/gU3KvMtMzAq 8g98W7nAN+00FY+veGCyLVyTHBjznXGzaPz9SuBsZP3tQR6dUgrPR1ho8F6tqPeP/pk5Kw4l/nzP i8JUEHAFF8/dgAMvOGudZiyw4uYCZRc6a1INpWNKPcqIudSKjwTQ6ywxH3qBlcc1Ub4v4n14nnax TvFkMNQ3O0TupaKN+lRO7YvzhEkPUG43RIWu8qLPkjuEYSXW8XMZlpX+VfZAv7ggciZsFr/7K6BL UA9fSPFIpE5HKKXd0BA1SXf14YDncCPdt4mCFwTcwAN3XdqF8ustpmi94ijBNXOstBnXniDxfCf+ fzmeYnUiB1/XPXhzHp83b8hqlMj8/qs648Z91H1cWjP1G2NXqcbP8u8HGA6wXFQkxVO5e9wEoraH DBcVMFGLWb/V2lHZSDEc7c9nysq9subq+BlYf0WufxC0esqb+us1htfTVnmmfdzDdi7C9lUoo998 +cqP+XhvT9yk9Ydrjxbht/BNNFsE1MEXpb1JwcJrafm1OTXiO518HkCYk8HoVFz1aiFmEniiRwht IPqj4T0iI5BIxc+ddBFnAIeW6n/j/blEYUAVfKwN7xd1gCyG76/StScmO53PG8RxMSGVV9GhNlWm QVIexrB47N+8x8W83MBExNGLxxeoowR/+Y5h82wEI+dkXfcdD7rIdDQSokJ5WY4jjuiGx1WFLrFe kUaa50q7DBI42OI1n2fjvG4FmuJbCLCOmhF4IY+3HqCH4qKKiPZarajuQPK9V/0cjMnlTh5ILA2E 3Qizsg7UMWdkJUyqLT6aC3WYo4QoAojxllEkzTSBmYO7a/47Zm/ZnxrKXBUalYtlisGfFqOySTCL d7+qW8/9R1fna4thnQqFz2bxzbfBJoWaOJ7Z1JF+Roeu6Sa5kBAgCdT/0XL7fhAXN5k+Vq8OjZ4O mQMHF5ucWf1HDXeyxw7YHOF7L9tQG53hwdezRUAXfsE30S2ftqlaCmCcZPUrYQR7VrIlu0Wue//z F04UOiby5yVri46gMrsXBVMMtm92pES7Sd1N/sdaLSiKf3wsn8PjGJb/RUEfsNy9L91pkDpy3zHr SR9x+3cZptfCgAbvkoGX5i8EIav3D8AnKvdjt+sIcEwcDGOzmwPvxq5STvHTn+XZB8uTiKjF/kYS fAtGzLuFru4BCjQ6S6qKaQopd4E8UoSFpMnaQ1kIRwABAmc9gMPcwj+2GJSIi31SLt+OGQ3volpb VufOthTGFNcdhREidc4ghYr76dATaQk9IAW0WGC/7hwB+drNEgsyazCDQqFEf8MTZsPcz7HdfUHb x21fF1rPOXE8T6J/QkS46GLkL60aude0GS/hZddMfRkHD8aclB8hv3BxHw5rfNfOZ4yxqLMFyVwR cVnPO+0W8q9I/ocpVAtIlJGZknYoC4crN5xQpZmULn+1b8Fy7MWw1vOeSIepft7R3yOK1aY6jTsV SjoWGH7SQluS2pSlpcGdP7UdTM5/SHeDBqaqjyoH/2DtZpjAoku76wJEi6TOTVCttnE6N/cPCywW m1YYLueO//w3ZK3Jp5GE9YlOnKLGa0vviQPHBA+wicNip6f5efTmeOcfPepYG/GWTg/BR419CjnU Sbp1zZAUjM1FNhqou/bWt1MnWH0Xe+30p2Sf2wgY+ltiNYPZjTi4/6MRDL80uWKaVNS9u06iz+I6 azG8jVoVv9SEjhUjXjK74PbEPr1d4rnEbwVgWkLOKfgzFqWLU+F8ObERdrlP6Sv4T8sNB0Q/zMbw I53h86iPzgBJYrO3u7U9anSigs6s2F+B09REmzJUUne9EfwdeZ0921pUTK/KbNQS4p9+/7QSNrDG fjVDGjuuu66tqqZ6X3X7/yoWKNPF5qAuVbyxwUdxHMHDNX5hil5pZSkFOex+Bp0WJL5rosCSAwWk wmbC07ZsJkHOT7IuKLf4g2n2+kEwrw8fcvqQ4pXkAT8cyZRCpgTgA+P0gtHMpet4dsSROe0C+8pY RVJVROL88+cpcfXGByW/aQ33bdyZkEYuwU9LyNa/tqkGFhoSSvgIVzQFe8ppgpM5tAJQK+gsxLG3 C8592BIgc++e2Vx822ENNDhA8AZsWEgXFwuYJ8a9hPzVFET/SXTMiAjYiaBy420C/WZJQK1J607V bO7ER6KMvBm4OCjLYvaGJIqGSuasgr+J2F46Uoqjl9UjcP7OydSUqgAkG7bLGs08t5n/7ETQ/+Um sRe7Z5dBKQhjBnhvs+ymSk6TfPjDw1+v4TtVIW12CEx6ZDzF5xoFFRElp6bZ0rfn9hBp1YIv2kMT S7ok2g+m6GSYM9JQOUJ2BSkibX6NnrdlYteAVKSfvIJqiRddRd3ad9nXiIFcIRXE9yqBdfJhVfSS A5L3SWyyYGXyWCz4ninmcsHlhFq4HG9eIVsYoZ7zourTvosjGn4NuE+l2LFmzACaSwY1kRknojqH Qh4xAoTc2vLFCkWLTdfPdPO2t9b2BriDBMFjf776nRngjTEx7pJ1ImRI6v5yXCTaVhTIXBU3EkW8 XOyB7XHmuGZE7spcWZz69KBKI1KCPVBLhg1o1eafZTqjatVPHRuRrk4cIZ+Bz+m8AGnGwUic6zpK LoCdFATKf1KcRkHgtZoF1hHGg3I9XaOS/PR6xSddIqzLwR5t0vhyOK9yzs/IW2MXalMUPJ3rBqUX VCiiv9x6HrhA2xKkEDW2fq27BIV8H5Fdym9P6a9ScLyi5Zvih/mfQcYgDEjXxNqRWO/OKWWfyeOp ZDDU8G2ccIE+wYRT21s2heZxbzKLX1uBNhsEZ28c0pYPHMj0qovLJhZyDkgWTQ9yqs0kbegxfZYK UZ/HWOsmWiXjtRFMouJQTqlb1xCusA2fvyvaw2tNXa+DEJskVat4GSK7y0wgJnrBeuZc4J8lh0aH udYuoN7JM54+i8nIFXQxs9X85v6p1hBq59qcFtflvZwbYwXxvOEMFoeswhSkN5XPk0wjE6n0xa1V YXNxAIihHsH/R9Qewm0dxek815mOo7/WzHKZO86jU+p3yHFZhblCGBe+snbhULvXDxIcoY0udx1J D1kvk56e4INorugQgh6uCi9GIEWE72Moejtc6siSqcaTWSkAQUTfdIbM9T2oJgLCtyAoFyP9ux5k y0EmYp3sNwo9qAh+J0QMdOsGFhcvhUQzpYgewXTH+4L12Yh5xv7LVLK07urHFEuXmmBKcgZyLue1 gTOy1J95s4q+eoS2flw/15PEcx3p6Ggz6q1eBt7g7tIvFGkpbnE1FKGiacAjPxSJfcyh8zh8lxfc TURd1grliUKfTisNUrHtdiHyfiFi1RX5IoGavve3UFq20OR7qRKzTm89kXXGNBn/83VCToQxvaok AieokHtF8/wWMtw5uU/5nnNISpFNGHJd1YXhGKEK1sd2l4Gg7cL8yjfCbOC9YliilBDsYBni58na 9Bm5gU5IMASvC1EVcDkzD107OTQ/2KFXqfUNluUQj5ZjCm1Wp40LUjeCJiHWldch27CWjEMvQwyo E6zQ7wYHh1wsYmnnPWLa8tRXDY0kV5OI0zfribj5zQOq5pELWUkbGK5NDaFTdCzZBeptt52sFvHj pAM7ugkU+9wqO/Gxepyn8iL/Kpn1sU3nOfzuR57ZEuoM3UfOka/txXwzmzSdBI02iZPFmjNNRjJy ejGjqz18ehmCjeAJze2V6TVMeA+1XPsjYv0fzThH+G3Ykin7pywl+6bimdZjhxz2wdiOcKIqugjB fCqXDHo+bdcchWCyIz2OyRDOs5VQNvQZEKv8UZO+Sw5OmBTqTbCePPcYcP5V0TEA7TkBq1LrOHaV u16kRAlkSiCgjhNrHAFVIB9BZB2zzw6iqzqZXokzKU1Aklhu73pYv/u4zJ709+0tm9QWzVDRlP6m CS9G07ox/OIhOspxpl9V8P9kEMll5n3EzqdBPMlJe0qZVpC48MHywFJz3FQnkPrVEW6tDL8kqB/9 OtwaFoSXt/69II7XxRP/cNljR1jpXm3umyaTiHypTmxXadMT9Lak1ok4dHkNO1AIS0st+hjYcLOj KiVT9vLQSkqQpXKkloa27oAKqSwUZ+vkeoothjroVRoY1fcNILwTi6GZHCqsiPpnELlwF39C+lcp sP1iZEm06H7YNfmeOxXuRZpBZC4a5aHrR50rkY4sc2bIydQamlrVTm86037NvlnA7ia+KGX+DxJH p1exnQesXjf2wEJg0CMe0jC9eFo+Tq4l1OOqEyP+YZ0tXy1mcDsQ+HlpIjoYZyVoyTUQXpDqSjXD lc2dQeCPevEhXTeZH00z0aDdW5KKfXkTt6E5LFL7xANSsSmQPIQBM5U1M4uz3vRAzuXAi20+Hs6J 7G+y+ecokEkSojMKZ/WXeIC1FhEGsOVswkwr2O2dKInmxeSsBN6saLvHvTD5bDiaJdGVAtl2Hfo3 ZMPrF0wUgV+6816NSR7aMqanzZ1yOmp5SBOf4VdUpnw2mt5MNVd0f6c+3UazC/aRY4WMSV7zAnwe vRhfdVpbaqHdnurVt/Hjc4GAg8ztjkZSgxw/6Ct9MSCr1WQTxAPCKf9iwjGFr/8UyqDhlsSvOEjd jS5GWR830054ekNxhTM6rBUsS704PlNLeSEG47DUU5rT2cUxhK2sJMhm44oZizKCD3mrExO4TD1l Mm924wYJLj95fsVKIGAYsyav2SYzw7Ezc8LvpVFjXkSj6iOJ/Q2PSohNF+0UmOMwS5YuARs+1gmk OJb8sVSFcI1L5pQPtZKM8EYhTqql4B+U/zlFrRj8rfLiWitmK4hGDN8bUVcfwbW9v6xsaHHerEMP MpdaIhgFj20BLRUVEKkpYgH+MWsbDWrds3f1xzJ7Eud5NQam9Q0U49eoX6GrvH9yMTlr28A4k9nw oR9hyCYbB1BdqmvTiCmgk8Idx9aQdpn7QoDSrp2twZBIygTeTLI36MkiI4JC/L8F+rhqAIo2jBhx 1BmVXM8QU09I0EbcGIPMvPtqZSWu9lCBC6CEgKVcJoFN2FipkBUCGj3eRjR6571J0YqH9lqowzSY Mu6uwJD/k5Xt+wgnHRXsvEtTVpLexqv4nNfgzkSRE2PsP4PzuT02+NVV7pJnR2br7XNCkqSTyk7L +2R7Xa5cohhUFma7vm3WxshZCw3Uy+WZ3KhVihavPXW6NRXzG2zQpWVd7voBc/hZFR1QYAavdGUG q9gWWq2uY0tHwpaOJqREn5Dds24Uuy4z5qHz4RU4PYz4YYLie4xeaypHp6ukXQBr0NM9q1WqVkIT 77BB531xM2TxhW45ErNxVNMeqmmVnNtXShAcJqxij0RcwaTyVBm000vXpeQz//x4JHmeREQZEXIv CETyVFKT7AlOHl0lbycswHpFpQeGKyKrUziVdN2VOslrN2bpahHamMG8ZdXpdu9cOY2BzP+5m7xc QHZ1G6d2bQy9OHNLVYwHzCo0rlhoQr8YJ1FM45ckDZTwIqRZPazvpsbkmkbP1GhYh26GAg4bW7Tt AmXgCM1qZ5tgSN0iwPCSYV2o6V+IgSruuS9dncsgwI5i8NLCri4L0Q0yvV096R+yxLY+TSZIVj2E LnXD+r+AGHZCQdQjg6iIrp50HZhunH6Z5RhDLm/ns46nWlObtGAIlHCcQD+WTySIJq9jBPwqHqJ9 IxZ6yyByMN9o5SO1Sa2Wu92RyDT3UpAJFGEmZsvuub70B64eZkVcLZKZbKsJYGAaJ4MYJwMBc/ij sP5PUtR+ZjmwuB+BCPH6txY/Mu5s5D3hb7A45Q+bT8tgVhwzMcyxl/XEIDLyDC9QEnNn1Ox4VMwO jD+BRpQCUj3tU2k8+DoIlRGgmXfBaUuZUe40Y5Qviv4BFSUr6+3+C1NfMKsZFYIIUu4taFlpWlpr GsJ00sP7M2ARP6hCwx6dbi8lpsom7E8QJlq9UO/Oua3CJMqY+GElmivrcbRgoQSQ/LXcBBJfZv3t WTG2/+fLRUstDKpyrKFmFUOjrJ66wwjWiLOjMzn1fnjJ4NKSyb4/rGuweUxDdH68h1XT19Wzdw8B R/VNmvEZ+sN9SrCrtCufOWCrOls7tRWNIYFlrjk6riIEh5uZMQxG3uj1Me053JBCpL354vTamwo0 yKeawcPWbFUKCmZ7mFSmpnjeSywDjWrrCPqRguFTe14ErQWQvw47c0rePsni5ibQk1JYDP0snwea WR5r3Ca0skjMMWiPa7Ij0PTT3niCGcVeeVoCeK/9HL9Ub66wjDOebvVkKjI7Z4zhNEE6MKaZELxt HTYLVZVTKkYNMN1eM3Ic5e58/oAoPvXTJFrLeMLPBtx9LXTTX3ggm8Wx84NxBT+neqffxlbvZP6v Wm5mktM8lXr3mWAJANP8Qs8j4jHXxQeBy05dNQgpxwQxf+cLXAweqLFk18esTQdyvwfBdB6kURBC A1W6mslEUG4VAThLEprLNGht83v4nuSp983zi26MtUGjBKWj10svpB8k6Vk8UTjy3EGyigyJd49C iVpzEHZyiD22Rdsi/ca4jf21oDC3y4KMYMx2SS5E4t+9EvF78ELkCbWCdiMeKtPsHI0mO5tbbRgt DC8+lTF4P+Z+eKgs+Loye7YH7xfirrPIjYi0O8URUnCEDT2+ERfqNfQo/7ELkbYhUpW3TWNEsa2R o1W6u8YA1smUDeqDJMz0z8qt/xKt9Nv9pIGwgvMSByYA74BwLznlPEiCYyUXVEmMk1cOQjgadw+p VbLAuiirjeNpEW0fBmiCUGqPWYZTG3nstIR/vtHGjy1xAqccBq9o1GqR/dVwh6b9LhIoHLM0gcYd kflauapmi5tFe4hR2XZjBq5l02SFM5ojGMczDCF6Ua+jjgPLUEcvtb7zG4xNAIaLFyC0AvVYokFj nHwry1nD3/Ro3IU7zoHl9cmxnj5qw55V364b35QB34VlcaHW/VF3l2AXnPrYd/kG5JwmDg/Xms9Q N9eEYtzi69ongMGqUdkkLaHcYcjXTx60vjOoW0/DAnQeLLp5LdCKml5/1nvtVMsr4c6ha3PRhUcS AXzjqkzNmhk6WhmxwgtaeJzYkGft97nGMLxk97IZJGwkh/Rk4g6b877qG9p4oMgLycOmXUi7VoVw dPB3jpOl5uhaUxkdRqqmKAEMNnqGIcA1ElNy6/6A/8Bm0Pw79lFq0h1Jk2O0euUZOJ3CNRycFo+Y 5Kin9PBPF5IWcJt0i7TYW36hjwV+dsmx17okL53iFcFT1eeSaLZ8E99s6DnkotOu4yCbUtlq/iLQ urLMtUEoClgtN8EC7Fzrz+F6nhJgvXlAs3M6jfZ22LVUkzwX6EPtfYK8QW2XN+sAxP1kvn8tAKSR 3c+nM4rdxysCEZW9y5j6feS30jv4zsr9SIc81Z1X55qb6omNhOQO59zR8gBeb1VEd4ZyOAo3jjKq T2aC0iRQqZ9nJHQ0XwV6SAH/u437H7wgqfi3HfT2/2KgKfczQXG2Z9Im3x/1af/QP5UhZMif40Ey uevp/Hg9DYloadC4twXR/nz1lVG7v3qTJTAf1iI2VOAuU9r3l+j5GLQkfrqKvfO7himJ/D6t9sdX XmWVPuTJQeXTc0m8Ku5B2GRaF0D9duwRcXTCWnYGir+9BOmHKZuhBAtmmtkEHeGGG9qQrDWUCLmZ ubhRigMyLILMcCbR/NJqp6x5ZMCDO8HIBe00QPlEoW0zxfydbZf+mhTaugsSWTt9MQ9pp8zEgzwl za8B8/EX9cxy04SMkpll1ZiylW2d6Ji4aulkICAR9Hcddu7CtdhRI/To//+yMktyOoNV+b5QleqI EnekrOZR4nlEoDkysJO1LmuecTHDj+3bONwaVfs5hV23kQnH8ZI2rTIF3WM9TRIJkXYm6EdfpoSb b1KqJ0aju3WLVusMDX1Wz3QKVOenwB0ekjCF1xvNaPcFzSgGCLRspQt1wGgwUttot75sIml/YX1A O2dfioqdKRRAjbyGwRJ00h6li/16D7M8zlo+L079xlV4OkNfdI47ywxP7KfPckIoxs4Zx0HflVtR fLXDYw2MW19DJzDilg04GPOxr6A+FlrMsoN6SrZiewv/j2D2h7nAKdN6QTBiI4mkgUlCJNN+T2bR dEi6EcROXqwa3e388t2mS+PY/GbcjQPSYRA7s7C8c6/LHsnRzBt9ABFA7r9tFsYrhJy5xFcVIFkA VTJNX6SSdTmf8PO2PsL+iJTEjw52+I8uTawuHGWljsNmLYhY6QuhznG4pE59hf9s49zrkn8YKGNb iL/RRjpI7ZX83Rntg17l8jjpLF+fJ3lvj18dWkNzTr3HsW1I7UrRGWDez5BIr8K9DaCDIa1d21DE Ih7QMOAJSItJdbX7lRzKmgM30YCba4SujbyGOmsX3bpDxgRs5EthSdCMAhDdl3Rq6hZ2ykO60FT6 loi/b7q/gCNzCSN8h3KNgF1asg/gdBxbKJGiGzlU4uFEN8hvbo+VQDkIWRCaPGzXP3NKnX3HXl0q HSW08EzygF70HanSgPQbVWPGtnrM6zSdcH5iBDInWAc1H0g/F7WG/y6aWrmIiw4DeHYbnqur58gy OtcGILkDX6xCdjRIB2iR6GmYVr6GdLh/MUYHkXzEenN2zXOArCznd4XBHEBDIzxUjFg2dj6GWBfL 1C7CwFcota5g06jbMqHF82kq3kXJNbkLhH1lLE7jhjZFLL/QNZNf8vFtT4qdTz6CcHQ5fkHxjH5M V5sfQd1IMOo6j7VNEICIiRJ2qJ5YDixBv+jt3p+eRDQ5QLuKqMnBddxYp4t4rSSXbOvyqQavt1Da lh/eD57MKHn41EQMqrIKibEtxTadLrVn524wARlul6OJz+kWI5/17bVMSWvQgR+Bn8S4DlQtY0/z lFizFIiAbtY8DCKxZesmsQD02HqBK5w1UAS6S+VqSzMHk1F9HGlA8SmBrwv+XW9/kyhzmlXyR/g4 pm8f/ZJaLwJ8lvgVKDxBpBaMklSezfCcADzZl275Y2jeDmXdc4LjURJCT1cg7yp/grTmsHpo2weX srEJ0pq1I8R+I/HeXNui8f3M17a6iasdaLkNDG5C+aOBpkClry9GfHsQHURY4tRJ3H+Kmz5QIsht 1JW2uh5XJnaegR+y/MZ97XTXKZiSKNDWZMS0ss5qV9GVe9HMrkiLpwMBgKKJDQ+DjPVYPgzLB76x IXa27yGuMNDh5+nVV0xU5S+2ggXwPwlTirJx3f68C0LRvcIhacjX//u02tmw1MsMgV5rbt97JTXt O2JKp1HknLuco61bHcrjImxAux1vA3zLkVY8jmYE5/5T0BS6vqVSYVQEVTtjBIoAU6XJg2BpFr50 LhbqIjKmN2TKSA5TZaIatGQlS0eKpYZvzMuBvwhc52XyHL8+Z0r/0b9VR82G4RmVlWBi6NTSD5vy jIpp0SbAS38diIlu+4aawz0EdWiYCA6j/GYbiN6BXX9wHWNqYyInLNfM0QndBxANJZ2k0uwy51bJ QA+pa+OqNI2laP2Sv8NXfi+0q31L3yMEJB9p789zLaWtulb0Ho9xhoRoG6qv3nR6SKGn33F8qKtF nbTeEGiY9ZbuECBKs+zXbYWjS2ebHRpLxf3LOd1jpQem3kbT6Bp3UAsFKhseGAQ74/sFv65zsA8M 4paVMBqzC/Cq+uCi5WnwKR/lfQes2/Cy4Z0kb57TIKjx7umfEnMJuQXqS66rZqSuMerJPCjDaxl4 YzNlSXwxmkD3MM5kCqmpPzQ0vWpvIAzRKM1BKeqwWYXLd5DIg38cqFb1Klr+Y5juK/tGuU4C8luP OUHlNhNExDKDvlWd8Urcgac72zuM7Ay+mtzyT2SsK7jgLLWdd9JL7nAb0qqCpBlhuhS6WyLiDeAU WYUbiLOSxSW/8g2A4629L9vPIAhFzerJM4Zg2dTD6/f5voKq/4vOJyvaF6VNg9T65c1r1x4yu2yR u7IayXp2UKIZIe0UE2W2Adk5tiH8m+KdsD0yiMgZq5jEdfr/pTqRwHoBXwlyorc9al6ajHvEvORu N8hMq+FLUxSTE/pw46NHJ1dBwJ/5Mc0QEfE+iBH0Bj1UDowqyd4zJNX+tPDWR9qBnzP/SuJzq8rn 696Go4numkmGYy9JKQ7OlMIQeETjMC8FCT67uBrIaXAlxIkRNLkZKaNwZFviXXMX4Y7MkwBjhXCT 65Y3TfckXQYT8lilB/49/CijB8Jk2ifrX5HT9GWqyoM+k+Zx4ZK1Ij4KKTfPtxnDUEOEYlRfTH4u RtfQlOjyOwIM4D9+U9V8zO2p2q4sQEeMplCEkChlFlXtoa+KzgIt6hwIJ9AB+0fJM2R0azUubIqG WI9Zznk0i0maxdlGYl7R2aVZJgBJ8dAYUreyV66o+e2TzWF2BscIzj4Y2kiuKDXSMLLUYLxRhiAu zMAnc9OB0rxpvtL30pGfwK9XLnegkYQve+SK1JVW62h9BaKJtaqrcrZthw9uskYxPEExvxyGxRUg GsUULEY6K8jU7SmPXrQdNyEnB55Ez/7rDkT/ezY4q97wQoW7RqqpF4xvXI968kL6Tn4T0IxN07SL +g7DIsrFv+PVUU0IK5Niob89191xY90llughDiTUlYlywkvGkgqFKAQ78IMn+fNJBHJoEnpMunZ/ rHOFIUyCNP/q46n6yEv0ThF6AKT0URHRIWfAyX6Iuni3+U8x7QRqD8UhYYNAAIBo4EFnsL4c3tKj 7PBsN/2bv8DrmVCYBQitphQpdakKvhv48SHLMEoa3Vi9qEpqGX92j5BytD1q1AfVh38hrf+y2Qsz MmJu2HQRNzTKejN5ex2GBHjqQ9ye6hgDi7a1QQ1ruH266ABaurxk8H2jdWnbVPf5x56kVbGh+9ks ToDBWUYiosUiXsXPIVWT1a1sDm0L4jcgPYfFLG+n1UFo5bc0uWogPVAqtazW1/OA2DifF15lprbp ae2eWOJg+y2+sWF0KBrKffslEn+TNvf33owLwNAaWZqxxjvUKADOsJ1WSuoMJk5ads2izdkzE4gn hPOFQaOzBr16Q8fEzj+u3MKdgTsqiuvmox1gJqKJiQoYuv7h3Z1bwt88WVRzfvQ0p8TijdNwOV7v 733AcDEMqgBbqDHipj0d2tj21x9ylnXTMUJbtXHu70DB5YSz66yvTWKdI3aE2p8uOJSYmdkDdoJt i0QQsRs1QCJeI4DJOZX/gKVxoC3lHyd97te+wDE9VyajKfo+/0WQFMcpXgakOCDDc2X6mX1aWrvB Gga73Xy5XxWtiztO4o6weAk/gOxaD52qma0WFwcDIhJPh0gn8dLSZ+Z0Lc1uMypflFOGYuqceJgQ 4THowGcxTtB5PvGFyLyuvSUd0jE4wxkmVhiFVFmMnwadxl6oJvXjEeUcs7SY3rcBQS55OmLnbRZ4 XgOJQlt5/qvnZZisg1n6Ah+0fVCrM8yDSBfuNYpieNVD5jmc75/PSYyPq7SCRY+5G38dXwey/KZS ftDsTSdi1Rk7v1v+u3a5WALd4Ae/KdbAcMX2prbGtLurJHc8kIOX0Ma8Y5JCcBH/IZsm7bSN1Ikh 813hHK3BEQsDj3CAF4IFBB6XSfcRgZ8gL/hGGpTOKpLOo7EwBsZJjB7anIdOQf2KDSz8dRal7K3a HW9hrsVX4JZM4f9iTCLAEpt/DzXfBUtakR+cB92FpUH+ApZ0v8yYskCUgsjHP4iNX3V4Z/HKYULa yf+9y8O/UiKE41PAVwz2MPnhIPZewpOl6CIuzmhNVCwQhJ2KgoVWkupUlre+88+6moGB6NYjg4Q9 yfae5tkQQ3BnGR/sks7SVZyhAorC8kBTcuEHdXYSk8cy9bcRmH3lA1UN5/sWSXrnGNdiBWV1PJCL ecny+F8YATaYewU/7Reiy1dokfIENTU0kBfwMBXEIMd0nn2hfFdjKi34TsQKytynSvlz09GA2mbh VB5H5nZW8UXN3SMS4uI7osb5yodIDRbawNAivNZmbTuApLrZpGUTaIrweCc0QIrCcl8GeF85ff+l fpoDq+QteAYvWMYTIs32ChExvjmnTY6o//+ftMQ4xIEhQqG2nQT9zvxqytqw4HY2I9mCcVU8QgLj +BTmlbYQXBaNYx8gTsBoPEK3EMKQmjzUSnfAOgw2dCX+xpbaGzHEBTL+xq/3NAvXQTEhnN+toO4H bpx1ISWMYm+fkmcHo9d11Wp4XknQFpugIx9BY8xRiTcWd6cU3W6Q1MSKThRUUyb10jURe7ZbYFIe PT2SVh5nYbSUBFDEJltx140OianOS+HhNaueExzBGx8VTwR0Fq2hJl1guodkjRg4+A8PV/d8TElQ xssMbQ2EiaDiMOvXQu/6B7pPhnEtrcZfH0DuX7wNQ3/j+7bc/6Cww76J8qbd7H2MbTYwAlrsRkqt 7EDPOEFqieBaOHTh+ByCPraA7T+gwGw/1mZRIWo2cy7Q5MKPEIgsamGXA2V32pKhvM6aiJbzBvAU co4eToowYPwmb5896jThplusmIAwzU3H+bJ17uUojS6UWKsXZkVX7U9IGMvECxtwX1E0kJbyu2Pr 1IR6Lt3cYcqqX8HCnP5zs04cY/x5r0jTNKcJYxzsC6s3RZBfTEvRo7VDFGuyJJqvHQnjHQoCKwQe 4xQAMNVDqvH/31pBcJAlcTqfDmNuHlnEABtIY8kIVrBSCbtzQYkD7pd4IgLjkAjqiX/8+g4Bl68X 6D6tmdfcdhiqfRfbqXkKyiZib9XHymXGRfnQohYqOhpuC6rHtlY2gH9w0qV6Jaw9ls6fhvTZnKh8 LGw1K27ogSsx6RygJb51bwbaSjMdWKisMMzLvKnN/M5ZTmxAN19zJKHyAra4R53fvSG0JQItc/cD rgQxqMSKdRYhp+imOVA6+vNNt4uz5pIG2b7Em1P98peO4gEQoMjGIBUlZdBobGEoaQ3cs7nbi+K2 ++M3ugOLLdP6YmZ86BQj+AszRtC3yR6bwjUAfKxEtBV9MotZykUjO1zk56eaBaM/ohZRc0rtcSLl dhX1etgwHei+5r9i/CghR2RHLEtGNxsARIF2JNsGwiRYfqJBLkLeS7Kyj3q8uR/NksI8FBXeAmAu SYA6YcbSdKoUCO4/eKNCwVl3JDuY55M96SQRVK+VQBt0/xxCPbZdK4I9GlrXMiYVjC34k9c7sy6u lIJ4GGxozeJbHaax0EXQBn680UnYrJVOUIcG98dFUMihUjKx7IUkh6+lTOq8EnOFqy+4CRSco9VX Quq2Vcshhl+NrkOtl6S3aK9spZv2lfXzPpqwdTGBTlUkce9mOR0uxcKFgwd7yBXSfQbEOrdvLT8q MjTBhOFNibIWo2KMPdmSCgfIfBq12rtwTeEhaMW8EccMicUtwrnCeJ9z3HYgrMSFUZLe3nPpMejY PcJIauSO4JGmep6bMly3FCnSyDU3YJRovrG/oEtZ6MF6S8VNywK3FiLE7xhOIcCttOf45eq+AISs IyqcS/4jgnNNFcSUt55Q8vYzmgtEMC9MxfFUSCeAgCL/wV1zTSqk0aRJiC75p/rXctbCnnpJjU7E 4nr6zD84xsosLfNgFMgcBMc9U8Ieoas3czhnv1dOs+mzv6TD+2t1n946u9MDRRLCIHX7XZYlf0/S IfKfNGVROOAQY3ZTJr7wceQfgMeEBmwljeluGidapDSXzsDX+AMmVpHPv2lKorkjUiSgPJCBto4d ImxEp774B/90DKBockHbmyeCRtR7wJqsyZ6sol+OHN2wGJUsnx8LMcWMZV2y4h3yOXYhoey5L2p5 Q89BGC1HZqa3ceZbVZC1TAuTznuDSPqjDwZnZH/hWysaXlW/Dsdv/FUyVPVnZQZm/hX5yRJ01a84 yPHYc9c0d8BeQM+JrbwUIPs5RsW9V+LxPjB3zP7bG1+qyEBzw6Gw/pn+CjkEEMJbsrFOzfNUT4Iv F83N/7st/RMS+C8ADHlh7AieeAhblll69LVhc2UnA4oMI23OH9tFooSCMGcd+CsiEFA5/AEn3Mr7 ZN5TKXkKFTtlTaSVjchKIMwCZRZ2TNzQa3nZh/uDW6SGNe/3fvgufDzqQp8wxbPg/TGhM/Usro5X y56VpuBBnYzQBDNm6Yjhf6zEZ7JRdFXQvPNRuGV3+LEFa6DT5spFuPvoVDkESd8Bjug8l/eCB8F9 bvOuGl308voBo7hBJLaZLwwCKdqt16UwEsbl3z2o4lemhcNJo/o5iCL+/zTopowxrWMZ6wkjcoG+ fr8eEau1DgxByfTC1+vr9cCUJ5ZGWFWYo3ESTHaL5+yLEEcQ+DaFXrRxq3xjJBgNvFOV4sSk+GRA 0TZkfkfkGB7ChlWYlEizYuq8tLba95jKY6fBg2MUPhTSKDbo3tYt2ByCDtg7jXZsA8MJW9aK+Z3V zvAb34i8vZqTGSDb1WlHfFe6V2ZAvkd12AL9te0DX9xQtRw1cbV4sABjMq7ZSmXbDFL16Fp2pACM Sh12rSrT1bHNOwwTpOhO3aPEw5VvEjbMYUSdRXGQ44FA+LxyItD0VxQ3VkcP+XJxFYCIm/vzqq/M wmAV03HwfihjRnnHdmI2BW0EBtU2XvbaS42oYqFwVv7fo5Qi0rf1NJRbNkeY5hzgHnue2eVeq98z llpBb5tsC+BR9052E2FRmnqEK06U92iphufUto80WLtoZq8TwpCJJSP7vZ5YVvWsF1FbbAFhAKcn LFCPXH2A5YPW6AGjL3IL5TVSBZiQ5r11dS0oee8DsKy/3RzpoIdpNowY2H2W3tU34+Auks/UjaKM Hvcf6JMAjxwIoduObqZllwVQQtAXXfG82UJnI7X31ulIe55qq+4yDiihHvVLRpIYUhYsiI4QXs5v oJylX/SGOO54A5YQesrCA1weNuviYZYYrfalu1hN3Br0HtQghS6dPd7PAkemzpWdwoAm3IKrEyVM zMDuxwxP6/FbKrawd1+CNr4EE6JIv4qZt7+O2fEvu1UaTGoPMUX5MqnW8G6E7Atb93QNdrm8kAgV egkOHqgyIujNutzLL8Sv1T9xSntog1nXi9deHdY8sHXQvttRTUZNr7rk1Da/Iab/N2dT7hsKMYEm ZDNjV8iLTGJKbolSUZRe++KzZHBgCqxnPE1xQWuMeDZuKfxvPl1v1X1ksNXFRWv20fiaepCtg8kh oW5NTbsJWWAMC/QO4PUpA2z8HidMJjO841eseqvnBzPU2SMSI7Oq8FyxGUSyDGpKPr4JKfl/qJ52 0NjRYtTyyJlWVY8xw/Ql/JxvZSEJwlnlN2Bqq+s8c1jWGgXbwLcN72HcQQEvrJwOtMwIjsOHwCOK AXHRtzb5x5dJzMcy9ZzbkCVclixOQHJCvtY8PtyMemap40+/0fUar4CTLn49vjwP5yF9GtpesXbt vvnkzrccmuCjXM3kpXW2nJ4FvRH6TDHx09kKRxraNxzL1/Z7/V5WMdsgJylMx86EmjklsYnGCNjj NIOvp+bgzTFwrbI7aVZXcdcks3GIEGNyUEpSxy43ZVkUcCsglr5fiYHstbJMarn5XDBY8qx0oIJS 0SUZvZ6Xjw7l4F8/wQwkkC/zc/m5VB+JgW2g4oCTjX+hTcC2NfHdmfrBiQ7o/v/OeB+slwPsrFug kfURsiKtG2brta01AcuB/0fyGhnVahW1evZkEmQJd2FhY3gBThCptutG6gXjYpBhjiNJbaRk/Cb5 cV8nEKh7RtbP/Y3CaVm5ump5CLlUW5TjqiMBkb0rt696oR86Tc6eaidjbVD1nOHpvpJH1yyfXsUy V9E9H72zdTI8pdGyPYDXeD4958lKGzNdx2ctgz50PA+tp+jseXMDmkeCMPbNHc12YCeeE4C3p2fQ iKAI4VSyIQoNyiaCUGRD02bkJe7DhUAzeq4/YLjKqQmPxkq4cP4RPPmsZpvaCzWe/GzVSviaEB1j oLu+/ex/Lt1ODw9wdieODHPZm8MhX4ierYmIFN5yHzNmynQfZpbKye1DxkL3zm1rHZqSe//5lCKV BmaIjgXAouqtvzVKFYnUAyHCCDjVgNp/8St+wbDS5JNanaKebtyyi5j1ycOnQtXwPPvwcFRNpeus /W2JfeXKfLffJXJf5xzHqeAhlxW1YEP82WLYT6RYVZ6m3RqXI8whkVlaqHjsatLYy3jSUhVNZm2u u8LTietLX/VzkbjzphhpnsErhIsjNxy8mCgDOtdI3P0QoIJihAr48/TYh0PpxlWGMp3U4lWC6Mud RskYkh3pge93YIauSGiSbxEm0RFwENxczozceHOMgKWxHUVw07UavQM3XXajAAKK2hzS1ckGi7ZH OT05bQS9TdGTsW/q4cl090eP2v0gHisnOUK5iD7q7yZmjZbg8nqAosaRT/UUrz8qr1Gir1uTLWO4 GZ/LSAZlSH+kNPivQZBeiXCICjVwzsAMhZOJYwZipV+AjItxMciFv/d8sQs2I7lu/k1hTQyVAbqq eWcNOgylqQV9JXbL6K0OZtxIPrJTbbDrEZQdyu1+TZJvKBUcl/legfCQsLYoqrCdynktoVyD02fx oRUIrSOcGNanFJnQMAQVgx6iiyF6HwcSxxdMjkWEQLPnEBFdizHg1AMaCqj06hqyRuf8RHX/GFGe eIeP7XM1N7u5k1p9uhABnwFOXMa9FBlKGUAZuqo7xEz8qMRLe16oeHlyAs6mrQjFr/L0q4rA5DxS O9nODKC4gDKKitw8kV82ilBW4lJzEz1vFyXp0c/LCrqoGvoT3vTyc3Lh8Q3C0nWv0FOxgWeq+5qn x74OcqwLrHKBAj1W7W7Ti6UzChW2coS9Hb5vj+z0Jpjzf23tLWtJP7msFUdikX9yuimCaMZilQ+6 fu1o9B1sBOIGFVI1EWm+6WMZHM96J1FVrNJP5rdf+EasFGyjGaDvcaXLwMNrXJC243CV1smFx2B2 1BRrjq/dcTdpmcX30zv6Gl30lJ9xqAUwcnlBpLoPM5jkbSQ4eqgfZ6+Z2hJIYIvRoTyoSHtrz+di Z4+Q6xUTeIvjqZYF4qHxt67xM0gY9wi62I2aGIWcQShiwJ1EoKhqLkgXpWhTPIMi/vTiMhdmjQ3X 7eU6CKSbC31nEID0faNKrCo/iAQRNqPJItFDuFZtggOn8nlYL0ER6ZmFeVknTE4q/0d2jJVViv/R wIcBfH76CZRNk64z1tId6PhwBG9dJuRcCd6ZLbvz/59Sew1pm6V2keXTL2a2vIrEWUBH+p/p3MSo pQkfbpBu9zmE9euWVG3hYqEtzn3MIivEqniPlBH9NcOSmrqDjlA4CGTZW8gkicwR3yTz3Y8WNfmE 35YsZWetMVLUlj+UqkaKJLWiyxLthfQB8YneDGeQzO4WCHIa2UCB9tgpdZOi6IR9ifbIVIQ9Bdu2 nueiRVAkdKbk78UMotv/DFbXHFodY4YyhhBsvu41i1l8SkD6T026bXHnG8IRV3fNQIPO8hLfkRAU wHw+OF9kkc1xiYJOMf8NA4ny+Q32xH9fmsy/AOCwR83eaUV/C2cXke1kmZRSmz7Phkct5J8Aqepw fqi0FFg4Wy330tOaoWdRfw8zvf7mHE685PxBrU7M6b68fwr4JvdcLWBTEgzzpvCdDqT++MBMLU4/ C31HwXmm37KGBaaxnPwfkOQBM5hlszkjEDCPcJbByt5fBjYNetRdLOI0lDE7fPokmp+QyuHjIGp3 t0eALeZdyNPDMTAud5avKKiJmUxa9wqsrDXx1BZ8dcUHAv6/AKsTxvHjpaP5FYQShawFdaMWDUQo XAgCGno1ukqpKpm3rA2k/pB7Wd0UxTCN3O5066LVGhVQzJfx7Lg/RyQjM9KpLafpi4ceOe1kSaDz /PARuLZPrnFTiwsuTQc+PEeNC14N0bzh3vOjBUoI0eC2fdUn6KVO/QPbwXgltS88MrKCGsGWGtCl yX3castovYgErXviw+OpM/x9/VsFS3y1G5seebiF9s4gtyVjKNeUGGYwBn9eNggi9t25ZLulBz/K ahciK41/n5QcFdubGcdzxZX3B1EOGMCb2aNiRR4wLnfZHsiGmpq2HpkiibEdEixZmqgSS6O18zeF oDXBpfk1McIoirojsHJSiRSiE4LHHA5k9zxKKET0UU2AloJ+4UvgYGa4U1Z4iS9JTh0HuYEV8KAq c6NQYd/cBADtiG1z0hKs+tU8cod6aK9dSH6FlVUOrjI/5cv9S1aUSQdOIXALLqKKmfgSvbHXBzhn x4XdcjQdukURoO+2y4F+IRulEGlg3jA+9HGKcMx//hdKz1TM94Z/WE5SV9d5GqudL2iAvH+IdG8v 3RqhAp5qK0vRk71w6tHvDoZNxbw8ZM0VD9DiBdpXyQZl0nc9TTNpxyt1zda8BnYYGN/cPGce7OOk 6oiBx3l3FprNb5iu+38zNb+4L0PyuUDvcp3ZESthgRRp9G0bKXtKYxwyo/9sviIyfqsOi2O5LAQl 1WCmMPQCpvCpe51DoZhTRIdUWq1IYrp/8vXnkBWTlj6y6uL9Yq6Pz7Hy53qNi9z7yablPP3Dg5J3 NUimiChPMBVnrAUhRx7rSETdPdrfwkCQFAhkMWj8vjEhav2kMyTnfHL1Uo2XZO935zWB0RVf1o6x vsYTbgql6YjzyFsU8FahIdcUXCdBJSZlm9t/D8eYwjky3leyarhYv9npdE5OFW49boYLQVZ93/wk Cz7fLFHRp5oC/1TyQ2W2xZiy6xiWBOBXwN9za1fwi8ZxpiEU/z73flTi7EvigiWaF/bMNNzTe/F0 ZldRkW+UwurHOym6xAXCpoCDwHUUi/fiJ+jyNqBLkA2YdKgIcSb+60U6fDnjmrayBv/LhiPQ8cBd 3XLmFbsdtI8coOcb0xJPRXcWoxytvlvjmypxfuUs9XkxldKzIPLZrBLdlGGQ/+vbCBnCokZv/aDn rJ7Y/khxSBI+RzcutKpglMS/4mT9KDR5Ho3odigNfKML+oezIr4Kfa4I4jNkZvuzYAIKmnK1uTJm QxF8iooIkjMjyBuEUWR02/Bnt8upF9IFAsEo/EuJmSUleWx/Z17j4escCpi/Vlh99d/7FzU9mUYj 1tLBepOxycSfYjzMi0Bdorma/q/jT3WkzUuw863yqNiMYQ7wdjf0f/cPfgHNJNA5rEOFt7Ijx4/6 ozKs3Wqc4CFWvsfC0N9fztfDxQsFEQIreO+F5DxBfeOO3qhMo4LQ8tMjh8bGzk/tFg1lEhAkUquP uX8EDgXyrPgXJZyUoveZMzDeqVoNvVRZrB0BMASi0f68j3omz4KJimPqClONygTYnBtQp7xE+n+W 9q9B34+/RHut8x1BXmCdykZnCTTZnSlr6XN8EhWQn4eekrrTXHDwEW62mSDb81Jmn+S+DpT0IFVf ePVKPPNqFSwAvTFl6MvSH8HwhSwiqph2eq4Z4hnQRjyRHUFAvwd8cOuoSvyANEmJh68uDaKdslGE zBsVOwUUQn1JnEClUFD4ATiJLPo7sWCAuozjbvmU3FDLya/Z6PAAYL9fHnI/rPE5DINWc5FFw5Qi LiisDQWsTWeDjAnUiWytzM8MInhPqkqBO32Y4Cv5EJYf1ZBIwFiouMhc+wfHesFAlFqHdUPBzTmF 1Ll24EQTR13Iy3DNxl55scUcchYKbUWqJsNCeHYOuDREuO+Ifp7/OF4am4NrHUu8CE8l7NWRhms6 16Ew/iVQBUqx2+bagMnLs1guYq2WYCdjJPW9Gx3UmgGZvf5SxXaSvDZO37xmbAsAs4LFCHnarGee Aga5sZ8ktDQowW3v7yB2lKqTxZ3nDB+a2egW+BdZ81LlYgL+9cFH1CReSdCduGQZy1ce2jCsTUZI KrJZWEtyHR2GoPbpbhIBx1Hx7jrzRaxQQtBPDqyfS+LZ4GpBt36KAcPrtnHhsZTLWGk/MtOZn/YX /F5zZEl+I5TZfe6nBLfDAap5elkR6LfQdyby2qIqKthYYwE5FMkARezgQq/LHFmd+KGK6PWUvS4n zbIkqcOHtV8wmeO01LjdCOLd7RNORzAO/zK1lF/f/RA2NRxldhLPHzKzWRku174RySM921WgsAmw qjWufkAWrGm1FdYWwudR6nj3H3fYC/I5WfNfrhUWv0UFHliiY2EM/hJSbPokhvFX5AksxxiTDeok vVz5EVD4GVT3vHDz63X+Z2LlBi4Mo7LCG+any+AgfvOrMMWhnuj2uCT3USL9WaEVCPjE5kyBIKdn YaLvoj2+pZN3sBMKan+fxVR2Rx37iKAmxXoXTfYxSpYUmHGikn+rrrbbMLDQ2dR/pAXNpHZHuPVf vJWwcHOrZdLVr5DKqw2dMdNcYyFIckMIhF1z0kik46BiEoFFukUJVOvnoX61se55SOhEQvXvKWgT rtKDjjUpXtFyegIU+bJ0/wrDjOfa5eKaCRO0LcKt8XwZ4NLwY7rStmyRR9uAL/C59ZcxZ77dE3hw nFGs60mQEb4N0O3QWiO0G/hcR0HZvYUbqDhXW9VT1bRDJO6OmHmP8Rmf8SbKmhb+5Qp9y6oLDE+7 n1V3yAp4HkOjuTAd9gAohshChNMyR3jvRPhzE5GYeEWIPyK74Zc6lZkBd0ZAVMqjzNHStvq+fqxg HnUpLwPEyikB65aNEN1B3UQj/36DWxle9wD+DQAh3qAi977Aulo9TkIM3xF8nCeuHdGUvM0OVTcz wlyyP3ygKsmnXixiHiEXW28yn/N36vna9LArUHAKiQctxSDukJXpOGLcWk8lBwerxFcqgE6EgGMH Q7ek0/3Io+XGaKFiJZQt2eRtqWIRsg0FVDMUWPQ0gll8b9vEEiSF27dVsggQC5XfMmTYtCd+w58r QdwmeEujYyv5iMFhCbAgN9c6WEWn/2RvzlnuSJYjRUeeVHfI6Bg9I1oxxBLfn391ILF03uSV9hyp ayxtpLpLtLK8CUd0wzDlgaSG/GmZ/ezM8Y23RMhh8QfhcqxJewK2RC025mN8Wy8YJrKM/zVk87px LL9ssVixay5WOWsTKPIETTAe+sYLpwHSXHZ+Y4CG40G8kgSfwvF2LSNiWZrq5dSXr6UHb/ymq1nF PVTe6og3nt63gCRCnL5XV0glhZfoPIZxZQtL5AXsSTEEZ7qUhAcLGI3irnVBTLS+9LGH5feKo6Qa KtPB5vsOyR8X+A2nDSZucge8ngHBJVs1Tb++jvgzRppQSv7O/xp5cf55FOlZExT6MrM0Mr8r7I7d M2+06S5cbOlKRut/Sljnmaj6pzKqflHNccbzt14CfDu3R5a658Kf23qHPzs20V/XDendkz4xZ6Vr +fw+RogUJJuApd+SaKszU+5fBSGk4pHdBVEA4C8pYsTMsLt9YIb8/eW57yeN+O+rTgL78fOmRBCD KIqsqUKeNQdupzclzwzkfknJqkk7LKhrbWZUoClCegtV+x7j2rd4H6LIfBUA0iHjE4590J2SBzOV F4SU0rkJnKS1OiOE9LlveNEeHtX/6m1+yhD9p36l+49nT3zXbBPV8LjJmii+gSYVe0Dyzeo7lYdo 2kHRzrGDoVYjkCVwrsiWdSzZU4+naMfISrDmlLXxKoBD+txfAb2AkAhKumkgfCOv50GyrYWzwgoH sO8zhnZZwfVNhoKi07gKGo5CroVCZ85eNKxxbKxT9cUSzfxHYR943ACv3OcxLaB3SG6Gnobs3Fh5 hW87JW5z8YC7H7A3OsQdARatsk19EfMp3H/qv2VBCFZnHEbIQJ4ciPtISwvyLC3VmwCjkbPqTrFK BfpuIltd3BlkCC89xHfDpMQnVWDZ+xj+Q56ByqOlrR18axsn6SE238TO49kgzMgfk8FA3Ak90Ogj A/kYW+bQox6NVf+NtZMr+GiCjAkXK6XDuQPcMgg1878DdfEmCZKnHj2FxaVToLrOjjr5a7I9EiO9 rtHdnwCMQ4XtyCnS2qlz3qAZn03NmIzyvR/Qx8fQ6w7UOMKMXzCc4vkK+MafD7BVJpxecRWGYcHH OUujRbGo0u+TrOetpfyJViPXQa9bxSbuWy/akInil7ns5+W+Qxdv3Ng5LQSfSw/0TG8Ivnc7m+1d vLwAjB+CwMhjW3tmNJa+KElyThnf27QMQe9pmo8gkHvypInNMyt7bR4CVEPYop3EjRgpcTw8/lnC edc5k1MHOgcCTbd+HCpYOrmHCYejh6YXOrpkmZSosUbXVhMjsiVct0EhNz9zdMqwFlm4MkG/YsZT 6ofnoOF2xYqFREnEAGIr+gLNFGpj3JaL5uYq8ya5cKMaZK/2EI7+L7h2AKiruFGRMz26qkJh6FhS Vio5jIJWBuGDJrJNVsVpc2rdO3lToOMd7xKwmi/P7QaZlTOaFv7WoY6xs3Sy8NYUvPS9kp6tvOAR TfOc3BpyGOwiiH5muah79GwJes9QjMFV92gsn1dE4HN6XUQKWg/6ZbsT1Sw2Lv0BsvRnEnUrvQHj /PPBCxjNaQ2vmykmrwZN+pO5B6ys4XRuGe6MDZp98HofXtXY6kb5A2Melk3JuPNsoICD/972vCdF aif0SBp0DSG5o6ExerFcvokF2R6PJ7lym25qKHS3Q7YYYp5DOH7vaaMxsf84pvGh3ZZGa+vVqD+4 aJACvwT3e8ohqseJftIb69Wj8gMUj+FO9+4xKuuTTy95yAZIVFLHjMilp6eOiJRP2pHSPABGT3lT WLJW+IItSQsFsJk6KuH+Xell4HFeElL9PcpPjR9x3qx4XQcE1iEkNlbqDHBMKxRxSp31/S9TVIuK panQWv1RVe+m6T3Bn8Sz2b4igfiDuLaShj9C+48S+D3PyvmhN0iwMNARIevZ1iuTjQ+S+ndfk1XY Fq1U/1IQ6u1sHzZeG2j4xj0QNbteWTkOsSzStjtPqf15Yk+0agPspTkyqEgyOl5/KY0pZFaVek1Z 6Xtn7rxXBnVxkWaps6bX9Dx7Ta+6nV1k2d5sKoFM5Wb0xcL4p0HHeoJPg1kUXUGj9iU1TDJmDbxO lttmbRX4NtNKrVJN3kgpDCU6JR2lInRkQAlPTgJtk5mTyLAF8psSbd8jR8N/CWPoA4O9sAjvr7R0 QvEv28L2DsUIG4MhD5fWvBdksNYUxhCJ4sIT74UVLSrXejAhH9m6zNw4/+hicogHyziWyp7YmFDV qMmXAIcshtYcWlInXPE6lVIoWe/547YKH/nBtVXmP1Sa3Wugsj/QdQz4G4Rrk+VxQzdLYYV5o2ph /lQ7I7Jb6p4c2Ck2uhgtfzJTi5ZWXN0/iKyw8N68XDs0N5YpKa86wNLtKEYzL3tGoEW20q2p+fTS VHOOQwwHD1ctdlrlQHTgE8Gm9BrQVt4qsft+wyLxJhHFTHle36iRpDW0PHb0LK3Rgdm5ubR8tuJQ jEBmbAuJlGlWWIK2G+xtAmHt/zrkNzHwENjxy0m4u5UtU7I9s/1QXGguDPahQUbU+2+PALMZlcSn FrhIRlc/x3Z5rWI+f+F8aR9+BIRrYnLi71FOtwvEIHlrmCn9VXq0uQlZkE7wWfQi61YKGEFT+eBY GxVUbco/E3gEz/EvhXeOAPX5MC/gY5GxUBsFVl+4GMcdVFwBXqHV141lnSY5Eh0trd2Wvf6DdMT0 +za3DdxjHaeY4MBtfGF5e2GNp0jnH5PnQDH1Ur8SSPbGU0AclsTD4B2AzpotQ1Hj0BvZOUkZ2hBN 36vJvumRgYevmd/spSYsMQ4U88pF4HvlQlxxzAWlTiiNRTxVgHWVSt4xXl1GcKKsWCMop4T1DXjW z2ro4enTpiF3jOEiXAVes3V5s5iTG+4+lfMnP9dF0tFlFcWQimaR/EUvAfM21qlG2zYoZ3V0/xZV 9rqR6pnQXA1Z5SCFVdMQ6B3Aq544RGiqW5woe02Hzldxz/6K8vVq6aQCwvgN61NPzO1cIAT6uJVs 2AQx9wOijd90dEAFvzWNMQHCKlRuxUWyIN2TtscDl/EYDg04yucOa/r33MuY7hVbAUXpH46G1eP1 LONB66+IlQdZ+1tOlNxdJurfRnflnAOUZYfFdOSgBx8DoIJvWjAvhjS9Cf90RqRlonSVK7DR4D/h SMCklhShAtjmdHqJ1m728QqR8WeeBZNUNSicXHkp99kgGcekQA5kWEjz5nOVwd3IzinZFYUgCGqU D4yQjF+D0ZxJc8YpDYEldxWCuI/mQP8T3uxa2Iq2zFDDB2BAGUwXQGl1+Xe806G8nBKQmogN+cev yhuDmFZGl1Vdl+Tf4aNsEnItR/pFZMjqG3FBXEC0eWiSNpbpnD174IcjJfakEm5h4mKD6bGhD5iU RuNmxa48eeFo/QIPzJ31MM9R29+4/2AIprWl9/Mv4bX1fXx/bYOdk5j7Qe3ppAguAo4CorBdplqw kudVS7FG3MblH7ENEjbgN0jM/JCUvovSYQhvYfTdt+D0jKZ77pYxi4ZahQJOhhswfKEVY5F5IObw grQVdcSlptaeQpLYZ5sm0LA5cUPl9gcFGSR7fOICUWrdSSHdKeKwQ+HhBNSxxQdwB9ad2UnjbMvS X5cyiOLlG/jVGavcl+EyoC7r9E4cQl49wJWwjkKTnCrQ6jS2cy9P4Kt0EwQ8XqQeQBoy+nqAlQxk Ke+Pvt2hei6phUjCm5FTYF1fOS8XIIMv1AOdXwvit2iUHLT8dtFdrskDsArOlZEn4sR7DNcSKxa6 JUTJg0AhTgpEleq0Y/m4/mX4WcqIs2gR/P216Slg+mUxQ2aw1nJHk+lyNHLJzc2o0RHoBr6pDw3B FwNYtHc4HsDJ77R+pKKNd9TrEe88x+CIDi3knjjIxqkOfpvfiTyAAUxg1KFNL1E0ZR/CxTxDsjmf O6x+BrRHokM8Sz4uC/S/WxfG3guzVGogHUo7fS8lmttV6XzVJ15ZDhktqP5/jjh/1Xrm8FiPWWSB 4CU+gsO9jZd4R7mzy+u4rSrV8sSYf6rV82owGN6aIJwi5PMhv4244JOb/0n9EU8LXZrr8rqb/49a 3BQ06Ds12HxydbQfKfKY6IeZs1Bhhy6h7EE2HxVopAl25uL82k2gCy/TbCcC/AiMZKxVKhCnh0Qm madgSt4Fq/GZjLHBzq9a9KocAVMFu3laREBoJ6bn9aB0OmPZWmF4hV0IA/eqjw4fgYC7EQWqiIjt +4okPJYwq+8MIcId72hnhnwPVGpqA2Q0OTDnV9PJtDTQrjKT7taSEIT4K5qlyiCJK8/YJgBxoD5c lmNNNXWjcOYJzgWwgV6lUWoBW+IYGMlUHMNA6audkAIXWpyq5ScmGk42oG2dmHjI6qXIYspqywb7 CYDlIEYOr7UwMQjkOWew4cZ+jQep3LIYgDo2CzS0I4sFrtw0MV3TtLTf2Vdb2rSSFfVk9L0H5KHO Slz0RlaJGN/cBAQOqemchumEFSlUCLkE7X/2+JeW3fe9AzfpMP/K3g6ZBNYfxXbNCzawezj++sKR Lw2J6DTMk9uRPxYvLhzawGmuKkSX2zl2z6WsBKYIfRiCbLXQq4yMMSiTli2quR4uUwzsfqzn+6aW BvUgl+zFfGltNVjzkK5/gMkP+f6HEhcP5Okz2qt6dt+ON2WINA6XxpgVC6Vo2ej8Y8bVYIqpyO1/ XPDN6PJfw/PgF18KNR/H7rZooGYoy9kw0gnWfbPYlRUPWzK5E6TrHpQeOznvBdSvcnGC//M2Jmwy 5rXpOAKlmOnJuNc6YLYHOMtK2GpbgKrRoR6Vd0Ro2H/eWXC97LJkqkz+DqeKhQjqJfHoa7iPmJ7+ Z9LGqLCTHmpKtZ6oFTlMxhe60b9D0jnBRh18j07Xc1qTlsVJ+YxzLrLmCZQw1hjSSLg6SpOApQeY bw7+BUI7bSk/sn8K0pHFQUfWARQeNkM/qbnl5Of1bjN33mNK++x/PKq58iUFw6s1lmXye4gRaB/n ynUCI/9AV5oblDORaUHatuZP/0Oz4GEbhV/n8T4kE1z8zbQ7kcANzpQlkJrERzsJpINnOTnxKiFR th2wWLiODS0r5MgrsT13hhmgBqpxaw3TAyZSmlWxZVNiYl9NPrQKYBAL5pgH0Ex0PFoZxOvogBKJ 2GRfxIxQHShKuNqeVTx8UMpAxswX2rXam32SuVX6tmibMXIBfjJmdcbcYirIJsIEpeTlF3fu7EC0 Id/hoZZ+gGhBV3PcOGFiHvmIqXgoN672XDrcIqsH5SDXFHwSK4VxPr+XvxE2aihoi3IgzCOVf00H ydCFD/X/cTSCGUS5bu7z1apGvuPhChVqN8h7uiF4ZfJ6ZHEDEahXH9l6qx8arAKBKCigSzsaWxd4 5q/s8AOn+nqPWK84bE4yZjkYXgEh4NkdQDQINy7yXv1iwSmDpHvFrTnwcacHODZCLi9XhcSUheOs RZTvrylFwqdGRo5NRlcqXIrB1jFt33R4UVaoO4yG9G2jiPeBNGDt+pwx5zYiFQIcLPaAuMwNQs+J tE/fIIoYS+WYcUYNgQVQY7s/UJVwHqLa7gH5pB5e2MnPT4aEA9UMf28G3BuylUczRywYHwX8imFa /o3eQsEgVva45JRs3yGwcHa9qwzbm/nLpcgXBgs0u2M96SB1L3vBV6vgEzj4oUvlEgpO23V8u+Q7 FNb1KBfWiSOdknEFkwjaP/JmfAPfOKg0ixp8ZdcOETTxDc03m6GDu8YPsKg8u9JNwE0AZHL2Yo8s 2Pzuoc2aJMK677IRoeD2sSUpkjDvI6UpdzmUZlnI0A0I1phQZ1twU8WfQ7002j6+S4y1Xh4FPpe7 fqYTy2Bz/rfJhJpJnKF7XfIsRf7PJMWaGerlmNnODhSU+9vidfrSk9UVqYqOVtBVUvb7QtBdzwoJ yNGfUHDPGEGcAM/I9NyAcZUl52abVN/v1JJZ2ZHAce+auE+mashvJ5/q3H98zNKxI5Qfm7NvLNWO UzR9RIzTrV6M8cIMssPxVQ5Z2NqA4frRfw2QZOpIZbtB2kBmGpVCR4ouwD31zpQ+qNiVWOfcyGY8 VdxdTEIkl+rPiahG9ld65cZ1+IBuW4I/R+wFvjKLQd0UfkVznBopZ4ybG/3g/1Kxr4tbQAv+TaYQ HrIdyP64I5rOhbsSwmfUr015HMFjHPXHcX7yk0QLSevcmBbyUcfFHouhkgZtbj3gp9SlLBBtsWZV 3kvm/Y5bnGEKSLACvGxw6hBt6Y25HvT22XXoY9YuBX5BoecjLU2FjIwc3GZk5EztTBwB+dUH4Y5M 78YPEWyOi2kDe8RPopp2jh5a3yJEBfzNgmr4l6LZSjqZjdfHN/6Qoim4SNvDAQ31G043DI2VMWNe T3gEmM2ji8ib82MGbJo9nQz5r5ylYXQ97lKs3es7AIxIHlTOKV/aohkoNnJFiuR8RrZ4RKaeEPKZ +NCvanxwX8/i0E5dlwGkwYUlYyqPokF4jvqDU5528JEGqsTnKRXCTmnu+DD5sW3ey0TyPA+z7RBS CVGPLfSkdU2x2ot+0Zn9sC8xjpU5PUz/mM00UAYs9ecB9RCYrU1GJgT+TOk4KqlMyXY5TqkQym5b pIXJuxqiyA1roe4k2iO0RCmk9gj1cbmdvoJZXsR3Vd45AUwMGh7kraY0CqwXoxPAdXLrtOpKXgHY ONbQkyHAqldy0rdH/jI9qKyjEoesMGa6SVpDPzhzBcfz1xX7Loqmz8gASN8Uh9WHBbKR9SIWTGLM UlgebTEdeWQ9dYAwJFkTNIp3AHuRqiw6mb5vC41L//I1SWcQfnyZ3YqTvnjfaQHI+ZIPTVSwQXb8 Mkc403QsF9DgjemGl+9d6bxBbgMfAY2IEkQ1PKK4f555JsvUTSW9p0ycYMkx150fWOvACNQTweb1 BbMVgf0InepaDbBUGE4r9pvHRK9bF9hnZHWWoZ90IOiLMqpu7iYLYVtiGYC7AjHdUytzkVVJ3Syu ehElY5J7D4QMPaBQt3c5gyZB/Ch0Ek1QDyEAdpDnqespe8F0vzz+CNNYeOLT6HcaJDRkLSo50jhc P6VRmnSCtPfGUPyO4O9cgZZlAREuwmn3mG8LdIZEji+SVfNmqNPFJDOZgrvsMx4EzwYPdhbFsQ4J 3p3kjaArxug1GEnA854+YEf0BsSTluUCbbLE5f1z03dMVy7BHAkGquC8++fygvV32PwS5nX41sRa 6qVztMva4ItK/Pqevws27aJo//58AKcsfSdS1sun+t6TDSmdkL9gFFUhJdfHQegKloV3J2QUU8cs fo8E3LH7b2KxofpCFe7mt+/DgqVg37sdBjwqx67XOq14eHtNJdzhsyAVLPeEFcoV0kPidxE59KrZ zMXv+pVoV0Ms/vF4AdyIdvL8iPk4dTqV4F4GnlD4EFLZ1vxncyZzYKodISvCDgelpzNI+DK5LjXK p+C7sLhK9teMXpQ3o4SsSMFcvhBYr5zxTXtL7t48pJMJFhERTBlrUyONWXdWZJOJyW8nbemRt0wC wt3LSpWQ38JDjUKSUhrXiOnAZLlv2sel9gsqT3oI1Fj4cT3meSlYbWDPzzbNnfIilexHoxYmfDeZ I8MMTGPJGQXrF3LgqEz0jGuMyxWlpBKkZpGn82+f6K13PbzVmCVirHPyvsb501aDvZ0yujEtMSR1 G1b3je2LYEokt+YkNd5ozsIfHt0zB2fQ5CzlWJtxhwibMNTFgc0zhH1pgpXIbZlHd2DTZU55NHFR 7s1q3IdvtUjqK2YfB7zyLIcNVHLwr/Qzw4naxpe9lbP8RQgHuVn4kxTqAn9SwE3hcCyEPbu+b38e rxvtNxXoPfozTB8+1yDWSpEL0m7b8cjJa66aELfYwvnyDyg36ZkJpcizX+EGpyHMIpBtMmKHboc0 0XnAuf/qIox8pk1LWAuYP2219oJZZkFcPv3S5o15mczx3wrbjpLlShOsj4GQZziIfMbOZB1ytggn ML3gaYTTY6IqVd5AnRgWQusae64IMrZ2ky+U6EdLXu3F8CTv6Axukk4hYp9AVq3LXh8+0ZyZBGfF n0Y+DK+TGG2iM4h9V/FEynO5KH3WbWLbNE4wg5b046yAkGpZR4ZMypdyn0RfP+IkWtGdzgJmIKzS cHX8bCbeJMw02fArnv/43XhEIPslQpf3yCatht5tWi7KftP/WzXir67HkH8vX72IYuATaxMx/0p0 S5rZAZvKbPQxmatd0kXr3DTaVvjcyD0IJ2iq6GBrWkamVBtzwzWnKh7XZkm2AsTZc3UBjOrUMeM1 QHVVkQL+oeu/FqfY/KVUkgf7scd2yEijOPIwjkRgP1U41DgprzdUguKADNFP1KIdBnnQvmJPIAhn 9WX/8lO7uWCHPB0syFx1sI424jws6V3revWb04Rn1r51r47pJXhei820cYmJPYFQQoR5Sf2H1osJ 7d98HpDh548CJNgRyP7DuQdxwO0uvvd+OVdPB+0HMoa1uyQ7Y5mvxnMYNmrSc1VCzRCpgIk0zGq7 4+v18VqVwbVOLbDAHk09apCQHNjFaz74cnTU8eAhX/oCKa5vx8UHhxBen2TI4l8mBbphR2XxXguA hzzhXmCxYoO1VzClJavt/X4bDR2gbVDTWO8IBOsLvwjHErku7varUI9LV1M8UG1S/k9LDIkneDLJ mvPWXguBxRSeqZZ1wjiwYFeyZlS4qKQ+KRPUzHWeL+ZVfqJJ7GVj5JWIM3ePwosIfAUeEABdWYT/ oQnh6Ngygqd3NxuiMgcYulKx2XPggmU50A0F75R76JHzuGqc9EEwT18IYXnJOkFS7235LcTndBo5 Lcn9YbYJQCgLUhyk7igXMPbORaHUjsMp+VaSnbvdH8hf+K/v1NOpP0i+fr/topVfAICVvUwaqNCk NUp01YD5z9EZ7WFFMMglrCZKQtfJE7pzdTDirK4DgUDmpBlIfjzdrFYv4syCCHfkjmQHLciFZQ64 Pyj1anAyMWmdLq76PVMj0x4tMZz3rW2Ub6iLSrgTYtkvrFXd6lP/mbCqadu0mUYzfFre36mhKfyN 2ydqYl96jCoLzmzHtawpiFl3CCwbMdxX/4a5s4MNfq++kanr50tC5LfB4Cw81KtNf7SYLlUuBVSu wezyTOLrUoQ1DPw2ejGCmy29yriLlJ3nx/IqFC3K5N/nUyfdukCyjGxpINDakq5zOCX71RTa3Qqs eNk+Tx+ZfLp/Ja/De0ZjRcr1KJgNTifVFyB82BidIzGzQkXV2JFRCrwABFtauCMZbEZ66obKhDGk yifRwxts5wIuhaj4/hc84hFY5muV5gjls2ALrJMADUDyv0Z3GqBrZ9dKtod/0rr/Oszv6zGhl7b2 z0xg6MaodMle59WNPU6G/XpfoPgsX9dEU1YNd1DXDGmZOiA/0U/FzWbIKmkfX8P8DbPmKlFcrMY4 tbdUrm0VXnp11XhY6vIFrjhH97W/TcJ+j3kZddwj7wERcog1HXoMSQ5vXnShzWdRmj53JBehi6St C3c2MJDPYYF8ijtKq9d0qslZyXhqBSKmihVSKic7W+6tjJYIWGrSuyR3heBwmNsDVRAUvs0qTbYd yorwx/0XQBqvOa8vyybgoO7pRSMpzQcSxwSq9fOmbiioQNN6/EhZF1qWHLxz5nqYl/gIM04O9oVY pLUgIqpd/IAsIQSAKhHfN9CKrD7E8NKpSgcKUJXbbLirsFl+AAv4ua3n706JoR/EHpPb7kuWUdNT dzUkCU3RWA5gfq6Ii8jzDrByNrAMge+9h4IWDvIUc6swmrxLa4HotsL5/32URpkkSgb7J2gDypol yqJ3hZ//DapV0hi9awCpEwDr06U9XIy7BQYsueX5l3wt5wZpGSqpFF4DIe/+3eIcfVBb1LHOk7+Q Kyc3keUq3+QYv+kBhZs0Na6kQ4hsm2umBgIEGyVgtwBIz+Ywhck7AUnPqqKOq9onS0Hq54CIR7Kb H/ENIDH4gPiy5oD53w17vm+uO35rgs4NsklEXRNg+2NbgHgp2V9brOvXIj6Es26uUdIuLoUktg+F Ja61milvhfHooWkS28m1vFOX+e85eF91CPRJ2cjM2yq4F/56XviSU4wClqiApjfVpUVAR9JClZZi pTFIwBY5QJ653duduqyrHHTrhBxeaHb9S65J1hYc2PjMPXJXeSudGeDptmazr0AQ/qEUVP+vqA7w mzsaUKdScNs4pESyHwWqqPqlXkCXR1GrHGQgxV/ZGZ4Xmxvelg+Kym6iTN9/FG7rtA45yhp6jNeG aA5ugZFQmtEFAYtQy5xs22c4MNaSg02hfFsUImEbldpvHEM4+OCsWm3UXeeByoP6A9pbnFVZKFza 4W1TvRBsO+u0F4ZlLtd3snq3mPly+ey3r8z1evwU2bmv0ZQwhF+uGucygooa4jxw8mdn2/oK7nCF eicz+cBor+dK/KYDl4JRLnmWeBTlyTK8SOPh7Y6D5UWxsf1zgJl3k+Y5gOk3A5RBIwbrenSlXUJb zAyHRn4oxlQ1VlP31wVhd7O8Chydf56/Ts4VuaeUwE4ES3IN8YhB/8gsZAe3mMCU/YgpcU2cmPkr 1DjL3EzBnq3L9AcN2PO85UA+DkK35VC3cax2Wqw1VtBaai6PAC5rL531HumxpJW+SIy4zryCnzYb h5B0ZO0wjuAau1fr5lp2JD9MVdxJQfPUyvM60P+73ybMe15YabDXc+GI2ajoCFUhtdcCGW03Gf9H he6SQfyzPg2TM8Bg5ab/Ptc7ydn5DuZdk4mxFQ7OWOTbLrcQ/T0lw9Pi0dts3WKwx5w1ILGDJ0aF v9g0+N4ddsv8av3dnv4oLXBPlTJAr4oUsHEv5qmHHSpsBsczPKlO9gIB5lrR9YqPVwZF6H9qdBYb 9ynB+rtfh8vmfdKLKQV16meRYfTb2izeL6p/KDh7uVg+U7t8NpbWOpNX5GVyzwtJG7PED1x5Qtfi Dl58TTRbXO6MGelnbLB2N3hiT0bbRrHT54qAr6GnL2SkXe04QVRvH7PNczGukC5N5N00s0CHATOn 9SG9Ze3E/dlaSvYy6A+D3EVT2fTm6Gf5feyJA514N0Kuwz1jXIV4uOZ4KCjm3rhaq2/bvpYE5/jY F0MQktgbVJwfBqHbziRpzBY68uqdx/nmGVsMbSXH7C+oPkLdYteOU1kF79EVQnVdBVhHEvz5f3/O V7AaBqBB0dW5Ge2ODXfEN/0VRV7YvNJXSLN3gI6XqtaffEti30rt9KdieWbpNTUOULu7ay+sjUtH T7yi+sEienPNeeAYQOqKqMNKh1vffjyaangd9zfn754nV3kCzMNwUePHbP0dsuvyCcY2IUrg/QiN +BGLCgqvw2UlIUoxNVf1YhVE2dEDJZD/Wn570FUAixhlwijl2ln6eaFDjcba+MSfHz72m+koRVHy oHnS3Mw3QEO4gWj5etSqawoVHVWu27fA46/lCGqR12qdSqX0DA/QrZtWkGy7la1dSRTcPDgc+zTP chT75y8+Cb/fHjUY3BBSSlIuYmQHLF9H7rGSCLVtvZaSulkr+f9ITsI40T+0+cuDOcy1xd1vHwVr 9f0Hz3/usk9UZg3TJSfo3hfcJaY4mOeOQF7s+yvEyWJuJx5GfmWcnx7WM2LA6TANMdO1BdzcAtsk it4JJ8cMCEWu0mBHL17YjSzfO4Wv4WAJAP0DZuYwUeX460rbtiStNjanc953YCvRU8gspBYKHbxT J7H3dV6+6oSs3RyCrUo6fBbCuL/dPdVfcpeSCZx35NPt7TPh4A/jnidZqkLi/U0uR3XoFOL8y8xy gFjDmkiFfbK5qGFLnxl0JVnyyUyXEH52GlEmatTm+a2WLkG9hlgmtg1LgAhk6/eTcybhmAeIXFqd Yc6k9nwNvjo2wnil/XwoKnlX03aqvUHpwtOJxFB5Vaq+9pu4V4QRg7mnPavH1G4iK+CHX2StRdWl 52jVHvkMobfDci+jWlg0K7p6LDU19zx703jBFsYOkqEklGz7ITqW2a/ApDg3Lwe6M29nW+Rj5QGE LwtwT2Cha4sbxw7/WQnVsTbChiGWtYnOP4tFjsj+BYLDMptaIpwwxykoBK98OX7nAYvyhIatVO0l r6JajRXALqXLgwYXul1lFf6LFMopKXWaaZpyq2j0wD6wzy/uLM8jhSxkWXua14jkqDbSdJgx8c3j giNYMPclaPSdYyB8kbowH/ze5R8w5q7WfHCo4kt5NNEiu9HyKwO8to+2LgyoOXEXk+0t7J6dqBNF 4fLZxwKltnWyMw63Yjo96RHpU6RjKnox1bqku1A3yrY8dWNfIoiP2xeJX12iff69AJpGPPsKyPb0 OttWXxF1GPKxaUgHf6AnqeBiUptQ8KDfqRns56qD9PBi9idX8t8vNSnTCHFwnghmUNlwZcZSvY4N Q4DpBtE3x3Sjus/GF+YF5HU8t9uEBt4pxnufp5RTwQTEwpkvn1JNiEv1u2GGZa7JBwCq0YFmOIq3 gQtu01YOXdS5o+c0UJhFgNawPSnMSEbMEm9FKuS3Ycve0oYqR/Md8proq17JzNcgJbWPbNHL9D8t CyXMLvikMBwhtCCM+wMY+ysN08JvlmgfSrrA/g0eiO1W+PddJWL61yHlMPRldSbQlhPWQTa0z+8u 5FyE6Dq9E4gx0EueGc+k7jGICz1NngPz7IiUuo1HuLd9lykn1aZtWJxkgZtMZvVCpxNT1tsF6oiz 7ESf7q/ZPiRF47EMzaTDu3lQOgjX9FlVRg3jN0y9J8SEOA8O6y+fXu6ejz+EQMgIEKizR+ATtb06 h8VWlswkhk+7IxTqXv9DS0b5fMh1p31m/pBFRFDRXem9BrMq+UtwlpdfVwRRVFnY5AKQ71+E0soI ugV3CPVteBz2x/b486ZvAL+T6sJwPF4SFT/y6oKIbtVrplT5mh+/8FBUvC/GiyXUPpZwGHdDeZvd EOtYFw26AKwlIVB9pWavODPHdSUyCJkPo81yH4UL4qn0Y9AXuzALKfZQOhkEh3zfx7plImVLkCte Zz4GbSLAnQ8gw+NPF6dpZMrxmFLK8jmyo6gSJMDxiUlTD8qlYviy6wxdla7ohS10lLpA0V/Sky25 Iy5MkWwkj29nyzvb1AoXfghCLNf0/1WFgltR5sH6IB6qQfpNwyh6BzjMY1y6S0XAdibwDihBT6as kPpjKTL6AQyGsyr/OFnVIzpF0b05jW8fwbFLX8hQymGfj7VM8PLSL0fhK7ZNJaHR+wGgilRhbSfG tADxTegoLRqNL8aI+cE/oHwolP20mmxbfFBSUCkpP9ptp5hASU7RZ1CEeSWHvkbuv658+5gf4g0c 9eVWU4HXgHM928o++w16vrgNl9eruDgyA7U8W1roY7KDtpvR+nsGOhGWX/aynLOcQe//i+3SyNuf lI4yci1NMCHH7/1V3YuRJFI6NVPDR9lG0ocqRNLPBq4bK6V+/t1V2s/VMiG23aj7xdz5Iputezt9 QvlcJ+gdenxhNu3kSArdppJFeJB6l/ZAr4mn4zO6UL7DIdgiNZ0UAqocuoV7qRPyZUp4FzABL8Bv WTrLiQWAxI1aC0LmHRgeWw4K1QRku5uI4FiKT4ua1PCyWgdr6xvKEVQdMPEI+6P0e8gTTTlEgQzY hIV8ubFeBg7M8mGOieYcb/Vmt3XcHbIH1itx6/L8vFdvnfiiatGOjUY1H1Mst2Fxxr5c3wrMvs0z NRY8GnqFp8P3l1O/em4W9qlcSJINOc710F6OCspKdQmqi/JN0wvC8D5O+uP1ep4oruuREToLyIMI XVmeZc0ilDG2dFCOdAbUg6oIwxMCaKqDaBY8H+lCLXR75LJWVVLLQrXRlZiiYP3L2CholO9GX9k7 Z9epPQru/TpsANHPNe+HMBePfvtY1syVITDAkedrRuGRSCRRjSAqDFpjw8veZ8HOil5CI3XRcE/L 4dUcaVEnXB+NaWRiOJPHR5z60XGgtAochza8i8NKLvqYbvAGH+2Jh94UKDz499hUxeTq9sOyd7uB cj793WZK/4MpTE+h+bs/vM05iNKW6pEVRNPkpiX5mMu0gTScAArJ4un/l4TVnTIrsSIhKHDoR83U PoZO6UZYbHdktz1hHUa02hmjmoQlTQV9f3nTwH0FXZ69CiZuUpgSLTnBr16sPeCsYALM0zD3H/5X lEF1LEmEjLUDTtuUybQD3HJ3TNXy3YDb3+2LyheEwJR3m0zdLtgIlyq1QcLrsTXlS+VzShM9wP7D +1U5VsjkG5UXDRx7ZIRBKXLZfGJuZtaHqk4Lhl+ljouSo7Uj+3dckUP1/RTH6xvG/QeOwCCQWR0T w2WaIYCQSe42qTAi7Pc927SKf8uvGhU/kAuIS03aVLCc+XdkaImT0ogfR8AEPbErFi13DxPSGu1x /BYwpuuofC9hmY9qvERHOCwT685/TfRHYvWHjbZyXMcBqwNTLpRSNxDJ4M1+zK/4zUUHxzOZMo7Q 4+Yy2WknDDRmH7LtBc2anC4vJ8kBVgZiWukRd0xX+L+jIxraL4yGasPj/Ry/0tn/F7ACKIlqZlcn Gr4OJt3UKz8qrfdf26pNpAL/kiptL66a3iBERw0oEJoJZIYyozABcdjBhCEeg+cMNrxvJyoF1HRx SOVJVR3MbGQWcFHBaIUQIbgJma95dGpOG+LTHNhXdJWMW8s/XlQqtK/AUsnvg4hSTE0m/3a0ZdHs 1NDUh41tcF82tcf/CfQu+E5briY9gM3zWciSAlGRpzvlXhTaAr7BRbVK5+YD5LlQNvteiFTU13LH Jjqa1cIqv01DFAdmPOz2pFLRKEsR6TIX4u8Y5IgfhrITKNvyBmPpdjHNgjNlTNVlnCqOAH9NtxSs IsOwhlEBNLYBxrr2qu4K7uqx6uVx5Gz662SZMm39KkpEQOeq+w8q1V1TseXILfMqwV+iBkCkmsEo 4lYcbvJ4lVcAf3YxDKwSxUMBFNne1KjAPFG7mVWprDCZAmOsxeR6ZoVLh2NfjgIFWav48ER50ayj XH5O29etIE7LpF+sD8hTF2119ePJPtDAbh7g9nFk3Dg7AvcLSe4iJcFe26422IjqSR9CaYsjgtUK SvdFqmC1TAaQ7MkpuECPuViq2iKf3xjIuQkrwN7/ZXPK3FbX252qpz+socYdHZ8hZwhiqyxM2jyg pm883vOwE+KAe+/lLE4+SKd9h6yfFHiT1GGXAz0Py5ymqFEy7etnzucnwYGHZXeIbehu5KC6tus8 hfQWWgHoRB1SyyFj9vxqP+uUzy3z9DSnjcnKdP9Dvl6WvGP2lx78h5mzWEPQM7NfzeGTOr/NgG/u q5s0RFPsK7Fgje+KeNCF5j3OpxMMLpfIEM/uBNUKbA9wmWUU0wTz3UNQFgb2/MKvSLMVI4XXqY4g IdlNWktCqxO51mM3e8smoQ+lsYQiNExqp8ezkg/HjjMHamkaSVBtWJxe4P1i0jocwVWWuNee+vXj nYv8kac16QhgeRpUVgi9q+yq9vyd/qdUrfCrT9NqGRsq6HenVLBShhjSviT8FE/IBwN/tUf8jV4V 9op5bzrIMHRA/WS9pCX1cw4Vx9Y7DKn7bAS8MgEhV6ok9FnGBcHNpuuaAybDe0M5IegWJV5Xq8ms /otK0G8C0j+ZUt8pzOngAEbiROtaxsM+ttcpY4qNi9ieMOrFOfNY6OWL0qAgB5SDXHVqUSFty6Rm yHKOyO1JV97dvpig5HbqX4gcdlJ1lQeWCO9S0he5ZjrXtv4xgLXP+sClEOWLEFjaz6AW+VGqj39z c+UL0JIVdLSASvv/OgC7HVi6awsYqBDJlvjx07fLftUBKrNL51c5HbDcDbpVXIrF7ufGZqboupPD 54pBAm6+91zp5xhdvzJAgH4Ocmt1yFnM3tQLYnh3yjKjkoDJDOprwJaGo/5wqs0zr6Gl/Vu8rd8M xgfr8ANbhJybi6sUYQFMMk/4Mqnz2hrnABTdKRVAyBBOXVlVYsr7FLX+Kdr4u7VflHI5A3RBkqpA yi9ADyNoNu5h3hfB6AuT8VXNCOp8zgw6G0EosPwvh8UoHEFbIDyJByHslmSpi9Wsd+oWZQ8Sc3fJ 7zCI95kJ4VeUgg8aPHhaPJaWsWIyjAYrfHSB1qx2mNgzrP+7oiET8bMWSGgTF0gk0qUsIeyHwjJ4 mU8NjWPeUDxaOcbobTvtpkseXaP1+3pJj+cfImpcHXCTCw7saAyMotOJD9k8atIlP8Pvu4uawEM7 qecF8Dp+qkh/br9lPUc+wRe3VMo+Ty5yL6MCLCy1g3q2DhGnMLDPXQpKOGUdVAF8cJ+v/XCHrpR7 niEQ7+wRUy7M7FfYhZke7hpdoFfGEK33VTvE7GY1/eIrd77sMfKsE/G8S7Z7za5ZnAwNtIXKNjrh Y1J0DAEBQnEoBuSSSOR3krfzLPMpLWVBPDhzAwmmqqwxSgBjB38emY/ajQetvc5wFi0ZbmAjUYU+ h2CV4TYDuCRWLG00t4MSNwkOiZKaNT+OOqYlw4+f2/NDB1mDbkybWBFDOzDOhNW4DzRqSoXSFbk4 tgTOipcTibT9DvSj37e4rajAik3G3wTgUrvqm9AgmudWiW9X2m+jTm5XilSgU659PuUunmONGLN2 RBqfonNUu3KnZ7ort88QJSetLaWXz0yvc1AeJT17xLvqvdN90BL1RRvSq9vL2RJsYonJXs7WPbav i4uIVinR3kNHv4pD99bcaUhJddfXjD7G5pzBVQSAEIr+SJoBwPwcct/TDR3Hscl9V28c41p5h+Iu DJdCaVl62qu3tETpXjjw4ue6HkzDBqxkSf6n9yscpylK646JXbvPVn78CAl4TZQhZR4ao3j9+v/N hwEW8VLmE+esqBPGVIZcQZ98wb3Hc8wpBwyyvaZ+5vwnre3xFvOr25hSotmgQOAofEpXwVXK+JU6 89yx66Y8MnO4G+O2B7yTXkm0ynukUqllshmGdv2pXIgVfAnWrI5hF3NQXsNrGmoxXze7u0AmaMAi teSza2l+wE1szBC4tfRtqHWrebAjR2pM11X1sJcy9whpUgik3TNbFzwF5qjpFQPO9MVj9B0CL3yT /Rgke1u1vatj32xw9Dbxw/Mn1434Cl1nDDczPfatbEQ5brq6suu+gvkcLEJyZHZhIBGPc08CWsIs U2qMZ2jW/2eFLC+qcU7h+SAjM/QKOvW2V40r/8WXD0iPx2IKz0FMNX5LL6+LXU4C3A+1g3uwIyIw LdXWpIRm3c2SLks7ZCAiM3cStA5yCR/VMS48VQm8hlRF4Te8K17hJQ3UtG3eT4Ytgt3WglbqhP3X vr0VbCmdMYGags6bZOZh3gxIuwLyr4mo4ERGeBeEFNZ5/F5OiBPJz4vBy4Hh9e9bZbugO6Tet9R6 0zGOCvbVN3A2kjFCyTBMSrZUVDX9clIGHoos9viWLO6l+z7GE4E4/yDHb8pug+AfRME8UJuChBa+ BrjRajBPs2HRIrK/UERuSXVAuD68wMT49wjdV+pKiQA4fHOa6UXuWRpAaYIJ/EpCL3T/mvvOMIcX pSBOqGq/r5n6E8DfaFkR7RUE7a7Duiv/M4qfKOqR1vOsMWcx2jvFpPxACBRH+QGUhqhgxfjpZSpr g6JdDcrL9qM8iCGT99lD6QyXQ6780E3ymOmXD+wYGwwVcntoVFaoa//mYM6oN6pE5cuVLtDOuWl0 S0xF93KOB0LgzcHBctoW3/WfXA5Jpm3En5WIfXW0wI0bzvj5PkKU4WZkHhyqDH9XlEqDoF7BqRLX o79tXLY1kLqrif5EYa4u0QpxHMXuLdTWQzwDid5WNWyGvlpxusKrqIHULAOs6iMdS9PD5EanJp0d cIWaG9tvTZK662WFfImGlo9679cZnNVQdvULj2I/g6L42uljsvCaglkyjl+pWxTDMfJsOl317czj /lKkHK47cYvz28ikW/MGbMR9fpe7eGKZLl3Rycow+Ex9IeYTsI7wIKDJeCN0cmYZJmhuIhzoxlnr tgqnh+qQV3Tnlwrh1r6kAO2cBtlIzJPmYYQrlLWSoWN+wURrVMel3g505Dt1ISNM58OESeSQ4CpO UQa1VWkVyvr8sfyQgHg4aYhOUMQVIz69GG40CaBTSt+A3qwy2edVvCtylRh4JIdGIdK912GVuxvw IrwYmqavS6Pv9TzdAtmYugZZZVh3koC8soiV0JPcdfrSllaCs/N9ymVjle2LJ2n54uYGu/dHUcKk 1Gbfu9p2wKa/mCLJwQr2OjAJX9R2IkiOZ3vg2UXj2IC2BmXBajA/FXwi9jIYgyuqf2upMdh9rlgN rX+zkZIoHepisdf4UjzxUibJVHPvkwkjE0pvyZg5vL4zOrbhxIPTnn8efUrt3BOVKM2iqbtaOR1+ LlvaJPsA9sSZV+xVzYxCw9sZXQIzefDKOnOA2TPcT6bUAAAKLwcAksy7aRPRZ4bw8gQJ/Wi3TOws d2Sw1cJvV2d5H+0OhM1LufYObl8cMlRYzy9TlNldYkLLmANlQ45vw9ruMePalhjgYpwHko0UedFt AhFvzg7z8GfmpB1ZN9Y1kja8DA6X18WbAXK658huzApfbv6YlIQcRro6BZwsXgMJ/o6xDB6M71ix Dq3BFiWaa54ArRGAib3+I6g5qbpByY2S46bDTQW/cGt51ojH8DBaJN2VtUdZh2Yd6EDcHYB7JQOG yZ5V5GZVB++WhTK/TZjrk1iLmbWaIJJCHR/IPjbqxPQROFR+6NUkxb9FyOIBAXF6k+4F/aHwnTYY 47oXHaSj8Mt6OrsbzZLsF2s6qZ8NNGj4tY2S+/22V9Vsq81/691LR2LPfuDzzDHJA7zO3goAbk2H JzrxZb5bTWl1lHWqoLiS0KxMokC0D2+ls6SZFALUn+9hxsVgiFHqOEqWnEOjiaSEzR80MeRl5v2Y fXO4ojvQ/CS0BytcZLijlf2EioiBvaRyVZa1Jhl6ty5IBsCqPxQxi9UTOZcIERZD3Pi55dTxpXb6 OD+RoBnQhtpQwJM3uiGNnW1lSTJJhsRxqsdkUG3qOgpdUPm+XhHPWDxZSBpXGWJjPS2NcWwX9ira cFiDz/txtPp/7FfYPFmE2SQY+eYUnohEaqQwz8f/jjIB29KTdmRbrmzGZQtfPImqSI3gpaeBVN9I 1SI1R2LbEhQm1hNe36+qj7o3J8LGN7qs2/hwI5KTa0XuMQ5TVpXSh98gBnNHCOzhQCSPHtrebpCo LV4iBiEH+VFYZbIY5UQTYqYzSd8BG0KcKekgWfg0wvzDt4AbNGGq2kFHxvrSqyA4IHhj9orpLL+W aiNuv4zYveKflPceYEuTWPFDbfBQ7OIdOJQ3EKSlZbhxRvN7HWwvUa8vLV8D9g4C2nAwXIqIgcxJ 39qFX89dFx24K9UxuT0V5i0YovMs0B05zIEMVrvPaSRw/15CO34UsLqL1y9FQoNaa1W92T2ys94n yLgAKEamu5L34d7llF3BM/NVWDn2FkaKdf1Ne0m1DE/aPEhXGemYaGG6CukopSmCMTF9wssSxb+m Xb4UBk/CLGgbGerRWpdjRd0mW7e6MdAV5YBVvkwM3EHInD5VNHi4zKuDL6yri3KpRRHShcS78ZnF pjIRh2ia2RddRzpbdbcs0tcgZepD+pLlZuV9bFuKNQ2uXL32rwlj4WG2NGZA3A4roosfa9kXmuSZ +gyva8u4FR9ZrNMO+qlnfBQtXTDMFNUbIxPyrEuQRyzAPBZPD/yZ59v0OT7//MrdIu/RYbHbuJo8 JDnH4e8gnXXaYi4GKl/pUsvBEcyLIrMVhATz6I9amXnv0A7bZWz9jgxlD9qMYDxXjkjaLBQ7Y6e6 ySQT6OJDseEpEHfokf/iuM8goylnxvWqx+kp/phYSdYKxRWlTJGEEhI3ZGXGupYOH9z5O+ddp5Si dbL9qcJE3BffMPGjhWGpWVZm9ZQfWnzExqjh2zAe9RAOMZHmiY2PzNqlLXvDcpXcMKoChzdpZZnO EPnqF/qYd6+gyXEPCb/wMSUgZC4ec7aZj2EKfta/jP5xKdWhRoBZPS6CPARGD2oK7zpNioVTwM74 5YTa3sqT+QNSQmxzN7bE+da8BYZxx0viR5GV8cv3SzZ0mBYvy8WHNZNpUdAOINAAf+A+uMopxxXS 9k7M1CgJcE790P/hPer4yvvkRqf4dSez1cpbdKYiukcIMJ0lhv/ie9H2BrPzHNqFvrE01BOe/Yw/ rmnon10WyFkIQ/8opPhTryZSg3FM5c4Cs2oK8t+0Z8j+bmM0pkB+3bomT5HYOR9g/foJY2wdUeYX EMbG13ji2+vqs6+A5JlWwThR3mUr4suv24s1fb3F+uWhcNu9x/+tQ/yiCarZBo1UemgQkYIirHuL j6To8otH742XinfkGjffEWmvWN6/inAT5cIKWLfddZ6exntIjNym3UA9hZaF74sWzpzb0pECn0PU blE59ZtGivuEWJ92f0bRAI5Sw5HZq1qE2DnQ7aoclM52n41YfPBH5C22zxSscJyPu8Q9HPJBxsmB q4+hA7YjV0ULq5I6I2avThNRKd39Iyr090IzvQzR7QkZ7JMfdqanT1qggqOggyH9EuPz+MDLAoNu Ml0uTXcV6PxAEkJ/2187KoZ3nrup0W1MFATj9n2PabPDuE1THKNvMwl9nlK/2kMfWu37HzbTnFOc q/sQtHwTjJrGrty3p1MnJFNeAA20wHSW00hCeuLD0TNVPwPNPyyAqaeb0wOjfMNtpipn18qT0iMz VoW4Vb88ZJkyLslOMdH68tdSBJ8jt3IkilVnEEDoPqPfhQGwa9ZWpj2/1gMzDyNVEusi11p367QN U/6i3nL4FE8KtjkhVQrL+xEvWTFm7rcAYarM8Y8QdgomY1NVgT7f5bN+kJ6kL0N2CcHf+bx7OPxY zKq/wOlu4hRAkO6q9Ixba8QI/xBV0g/MVL0PkciNr7c2M7abKtV8QrwwLoXOntspOt9iIqIejzM6 tM3xiKdJfVhk21r8xN6rtfQyGaF2TsL/wnsi70PN8k/lQ5HQX+ab/ma9mu+LdYjK3iVcHnpkd3Ve zmQCvzvBmm+ehlGuAgRHk2hdMCDTM54or3wysquo5jhqLjA1ttAPT8PHiPU+pgHDJWxvJZmUODGU 5LOyLZwC0YTxs66pqkilm9Axi44bTJ/MRzB27aQMkJjTWNNJocSPlFxJEQ9b1txUaCCyw1YfP/IO e5x3MSeLA0LWqwl3Sj5RGMu6Ke5RQ/N0rEZuoYi/m1dICU4sD21dqgyzq7m8eForogaUH0frNuy9 CuzRCyuLYC820kyW8CYNQ8ccD7qtwv2C0VJd7F2OjDK9RJLTNcB6CzatsMctnnjYt0su7sXgU5C/ qItDWYioQXzpUq8drPMeXrYUptbJMxS6yy9z8Lev7xFLutXUZSINnGyolv0Qeoa2I3OLqMUAnNBc NmGo1vn3+02PQHRQMQnhP7kWeE7h7Dku/2LM9AGdwr8zKYmc7qXaCApE8p6m55zGNW3xd+qI9TrU 6WHYhc9mBvfHBzkSZVU7Wyhv9NS12v7/sqnaqkRBXbRENamVZ67YoBXtXCxlqXJlgbzztpoUqASE DAltSUoC4e+PbCAu6qNzatkXjWpCSHZJ9uCDEw5auIiV9V12UhDpOCAMBw5BxZHd3LZ+PTjSsiON wzOAxVEtb1IIoI33sZSdcEpY0LyI2TeGPInqCyyOLt2+COvt4dpUFfI3vLoiu2wpMbRqDOkWjZXB lLiRAuCbAJ2Fq96QQOG0bWc+CTPSxGrKW72go5qfRRc1GO7Fvz1qkbMSmiPiXxk55SHRKZy8g5xQ rfQZkGYDV2Y1Dsr2LlcZj9WiOel50gdG2PqGu54m8kCD7LJUdBmmDSWmXPLilNl9n+7zSy3GdmJh on8MORM2gE59H8nR5vlieXTgoaVGptL5hGNS0x75w3ymd6PZR+hH1o6SANozqcVok4M2zaRL3TG1 AqDyJsn0TKt4pa2rU5AH3A1HMSAWARfYIMCHrRUcFuZK5AiDyebI02gyS4TZsbWdokpD6ivKaD9k FEJKf0xLMdSggt/X95xZ5MLMQ9MwmtNP7edUiHauQ+HLSRGZN5xB7xPRncV3QjZPrdTORhODL2v+ swFcYC57NS8T/IUtfi2aVF9s6IH49tXaiFz/Vw1O0YI/5CcYBgVyPdoeUTw2HA363PKh+1SkykrI k/J06KhP+HqAR1SApPzPh+irNA35wx8Qig2rI29okbOllq4Fi8dRrrfrmS1A2vwc7ofn2vQuBD02 Z5+hbtiIt9b0gQCCUL3Mr0ynuTOFncZAYMhWWKl5Evmykf16hsg61iLvKRY4+yoKpUi+7HzZpfH3 uIck37rfVGuwhFXIE1WBPxqkVoUCj8giX+VDNbIlrxM1GoPJg7aMl7njh+fNrnQEWFWVU+eUJMqg TQclWly/P0w1qg/Xakt/yNSYcpNFUosncbWEAoe5JIfrA6svdAync9Kv8LNxjFDuHLIKGBTm/a90 erl7W7XAHuvvExhsj+kjPDKsLRrhf2MlacPYblBUZ2uYgi2LyxFdaMuILTNb5yiXhCc2J+53zHC/ r50rTR228zo5hKBsa/y/pklasDmnTQlvcQNE+vW9P9stmYPiTIlPP0GQYS3vyUIT6Nbr59/oGpFA hI967/0xnp0x77mD0uV+D4Z5sIb42DndUrz+ow3mmMM/perJKGu3XA4vzBYgzXm8LmtLogkwmwio DZGqmofpxbwKRJaRpPE52M4A5c5CkdIlTfMFw086p3LyGvgso+JAbb/iupFsAWhPssjNLF/aYGhT +E2WbcgJsCdHhHRaP3bgu4X7lCTD7W2IeuOp30lrbiuAf1d//vcdTnn3Ggu+Xy6WFGvuAX0nXG+v ZXSeYRoA2mQAnq7BhyUzDyrPYIAv1LpYsJLX+S2w6NaEXWi2UkBLyUDEw5slaMpVs0/T2IW5fJVI AGu7LOcFtTv8Ovs80/NT9aCt4JKJ8JHnvUNtDrGemR41G39hgS7vYKa0V1CXpvRL5q4nMbuP7mp1 JFN1p7g2okXNVTsx3nfXAeOg0jrDLuyXwUqxKPvW/vMpQ1iNL9MdWpnSvMLbnOawg0Dkq4H9lzYO KfdsDiZUso8/FMYMAygrgtzxo+mjKBTvIBif9cItjWGiBvLkl80zVkSF2seyBh2StL7aEnhnUb6G zCwaROLMU4adlfdw5D6OJHV6/WltSpSKyUXo0qNGV905HeAy7kD84V4WLDHFY9JDe7CwOQkKeAgt Sb/+fwSr94z4nxWaTLYjHtFOmxb1qww56vVZY/j61Yc9F28PX8WCpDv2smo1kewtqILKMfUId8sT pPFX/NsR5IqzrzGW3U7yQomwyDEW6GfkvSJ9XsaIUkrmkh15qB8wJaykt/z/7lYiEQSz7m41F3I1 /GtKC3c9G2AP62h7L8m28YPXuuz0UyNS1whPqzaNl+40VnKmubUuHWJSxnh5yLpWDMRY5hOa0pjU ky1kGLEQ07/7oWQ+cNTYI7r/VF6SZtrGDc/s2ae9RSLrWDGxcb4Wpkqfn/g1gYNAN5ePUijKbQDY ZfIbaL/0xT9qu5N8fZmc7ZcXwsu2WoJ3iZhvrNn7AZRafjGCP3B8JLGQST153Xg6QBCnUiDWOk2V +UmppJVNrfQnheV1KoaAR8VdvBWIoH/RqJitt8tnpOR+T0vFVSB+pjZHGfJ+83uD+KIVkvWKaeMR cIEfkJ3XhOXgy9sObR89PPaZYq2ncsYn0gteqwfUyNl9bhk3DUuCE8p81YaE2UY0BHzR2aS1Bb4C cPmVBn/BE+VP3gVsx0xEknXRf3ejCm1J79dXkqAfDoVi2SewxHAkwDJhYNesTwzXsBkwwPSCQ9mH TfSVSyWn3taA+mH2EPocfEIArfFe5h0kZYwVeZRc13i8QXoGGmfj/6kZD8g1w3Tg+xSGO1TOEWu5 0Zw3xL05f6ZIT5gEQ17PB6lNOrhFAr9GCKuD6id6llRjvVULSAu+Q7SV1oqYdhln4CUyzGC09nHO GMl/C/eUDsv4f0eI5nqB5miTCi393Yq84MIGCE7eyja3jwIKcLnmmEZjVuP9F+rYp8LrtYIx5s7p w29qx32IHSjbJZB+hPuVrLo0swblv69NxwhAUCCeoGVk2FlZZSTnWXzGJphrUzYGZ2XDgPrpl57w ImEMY1ynLl6afZ2WIqTe8QpVMmkejzCRVVT80116N5stdbmK4ezy2gfkTKdFzUC70Kw3ASHYcHrl pbF+Hphy7EXdyN6/z+8EoybLlD3KlUWhjCNrfBKis1Xh7jNm3FxkV0c2bS+IazzIqJq2EoUik14q McmXiXRtaFlw2/I68gXnlaW4EgPrqbsV3onwxoWKGHSJCNgaGTd9WDFMsW/dCh4WGHqMOj+/GF3+ 8iJe13MLt6ei3HqiQheRPYTwoo8eT5AzrFGedEihZGMbCCm8bGdq0p0vYlo/tG8CvMWCgdqIBzya RRJ2QWm9dFJ/DzX82/tIa3Ka628DLfPn4FD0CgLmMsrgOpIoWid80j0MDRqolbWBGetFOg35W0ZT AHyxq3915aun6bYUpNvcGDUODow54RKh3wcYcJjRqIrVzE5UI4XmgJhhUZGjXtLyeC9RjoqP2GUm R1iERXVa7+4dKlVVBiW+0VfyOBrxYcDkR6rYpQHy2bveL6p11+UsEW8P39eold/peXibk8h3tGvi Yq3o0OTkzfxj21qomAmvixdA+YppWXVrfqr5YiN9sZgElHexlHwhMVYYp0t8LWzYWHm675XUaKdB v0RYjaE4/B91nTVLRxYeuVjmpZXkCgDFyQw4GeVmP2lstzWjgTwKqwT+pVFK5r8GezBhAmqdbKJR +zYGptpeTRF+YDd1Lp1Y9iedBGE6Z50sl/GvkD5anmwg9DUO8YOyhU92zQ5ax2ns2cJAstjtfOT6 Bh585l+5WVeG0oLh0wHkPLnq6w1OLWw+4WoMoWcKXbvq+VM8Fa/JB64PNdXWfpPwHPB+pyRW5j12 q+75TVNfyzuUd+/oRL7gdpcRng1ARBB2QuAXQVPUE11GXGwjduwXmGFwN9R6d+AYClBebeH8t04X jOnvGev184juKNbsS2SaIETPoEgTVdiwdifIq4RgB2TsfHa4pb5/zCPCkW6zlLcjtWAQ1oRjdjpE Em5jzEr7eXc2q6aaBxA3tPktB4StBYY8yml84Ba34CIH8PMgsZgNaqhsUc0KawvicPP7Kw0+ckxM hWCdWunVigYkazOU4EL+E/am3yod+WczAfJS0B/XUym92i7WglY9fThrmNNZthw1+x501pVKdjjN Zn3lizbw4uRXPe8NB+1Fvf5UyQSR1DW3kLoEsrOstRr9wJMXQIAw//4CSqguBmVA+gvQAYgwPHBm 7qZh8C+il9+m42nhVn61XgE1OudpEBHi+6G8z0epQ934WrErQUNKpa0N4DxYlmzwa2S72kEnKsCM eskyPan/34B+vze3812iLTBN6TFU72ecgv2+OAUir43bFNwWWTgZKKaANWu3nJP9yLO7b54CR2Ws MlOCIPi/dIXPEDPTjYgWENdyUR7SxxftcYY8jgT6oZI/ZMAqwi17dpC8g08CwLkw8KXyR8TWQptU SIpt9Vg/ek7BxqlO7CrJd5OUqdNcR4UFNtHsuCgW7XCC9WgqyyStVwVnpjlpT8OQnZoXakV5B6+o 1VLZ77qBsmRMMEQaQnEAeKPEAvhGIh47dcDYddCxu5E8WxsucVmU1kflCz5L/vhhCGEQa0pFNTcO u8dGuod2NHvVP/xw4qgt97cn7OnviiRG8OvffJD1HU+IUotQzxNRrTahyrWsx/tnyP4xo3sGTIRe itgStO6fyvW+VtnC+uMjZoD7LNBhssxevq3samL575GR3wF4VyW4Xjr0F6ELCDf4pyhq4z1owjzB twLirq2jbLLHr5/Y9K7YtTcgepN8RabO01HaVIvTGUBQnF5HgvuOZ3sM549tAfbzBzkUqSVxvXES hX6GbojGcRlaB8M7rQOtsNME9L+VeDtm2tXWoWtrbteJQQ5gpF4yG2dze1R/8Qx5L40DI2BR23Pc oj8h8DMcExvXuLrlwfd2z75HyuF0G6wsg5ULGTDKPWvQmS8TLxz/AceOGMicaDVg+j1mAGOrjtbT +qN6Sho26sr25Mwh9vlc/wufYVivOCHtor6x0DxauobzvnH38D5xInTvy4BhTuQjbew6JY5CDWt1 qJHPx8eCRF4OmUp6A7c/wFpFE+Zid6jLe2bPXZ4iO/d4/MT4Kw9lnwSD3kpiakSnR4g4X7ibmVIC bUxJY/YBScZbfSj/g5A6eTZhSKt6sWuBKYGCfdhEkvAXGgy6GxBHMdOwmWFkBFRGS9Ny1M0DXx2R yby8Dmbst8BwJPD6gUuh7d+wC85GMYr2uTDe3gjG6Wj9pUIRq+V9VhDg/MxqqT38YgYIAfddkxl/ qjK0gVHlr9phZqX37xWweMVr8QzmmKLD6rH3lQCBnen/+ayb3PkzWKMod1KqBGdSznKKx1JerGBo 00alwX/Pd5F5mTftvZIi5rMA+GvAVu4F5H4zoLNuRmdeiM/tnOVklbk6URFdBd3Ef84gLgHkD6A3 AW4LMkY2phuZ6MN9kX+VUJkq68pSXLErxITHLwDB8WWfpRwo23DmcjibkvYgLlR2GBzQVuzGu344 KQshKD9tKW5g7f5aofz8QGaxo59xuqius7K9m6P05quuhoM42btvJjrG0PudwvoJ7VOmup6aQPIa 9vPYOJK0QvIv53JkytNoBDYaJo94RJZM/MDXs3/+82P/Jg2Jbs6kwyY78OhtanjSPzj2XrDvNSd4 JECOxWPUtOjpQbRWt6JqlnvPtwYJDWvJIfuaRWVMhfpwPQwLFXJec/bc1E6FUgWKPVlwNsCmA37w OB7i4jqRcjfsxvxjWlYUxNQfOmlFjP/f2nZ9hLJ5XxYjYExDHbvgUVbl9rakPdlv3Z763ar/nX7L cnWOvVpXiXnD5Ge7aiLIVtglZRFC43DdW9OO4wiqpXBlKbFYJYzbu0bbv3ydPo33ChMKFRHoHDDF e6Lqrlk6yYzbFAdwq0mqEJCfY0Sb6hfyun1JaJoBCvX/T1ruZizmlBXatyNTfxf7bHMxensM45xf iivXw1X0W4BasucKd7y/hQ0+yaL8U1Kv7AzGpwX5s/sFEpGfK/1jd6etiqseCJreDVL+FoJ8ajKY 82SQ/ie9nRpDUcNmZv60zXgtb/T0CCcuvFFDlmnIBhLT92LNoZVj09GVOLQ5WpSW5MR0hW14gdA9 WB4xfYHmNtNlyFlPdbpahXSDCj8f/KPW7QHdS7BmAuhscKRCkXVPV1CTdJpkwe+/IwfgbG9wv79W WR7hU69/v9phdtQml36KMd0unl0hz27d//sinbP9WJ9k3b5XDxmyRux4DAY38/zdLZsFMC0MI1f2 lUgtjsRBgAG24GhHoYp828RiaVYUJW+N89H2ZllbBuRQIb6fN7k3xK0sgP4k5D8gvu8f8CHsEaF5 jj8IS1cYL+VEz8VxH1Z4OhO1bflUOv7MOOVKvx7ESyslE/+h5ZiXsni92R6uS8wCO0xZSGD27kFO mfnukm7r9zSyk33CW2a8ogKG3v1sVqXLo9A6CdD6C8abVZ7MoG+fSjntKlTW1Zn4Hkzef3xsQvI9 QsdCuElqTF5by5aQJYT0s5MsyFg0cF5kIQnpWGxVrGbwJeAHvA2k3VMLR101pD9ZlLf1yUmeu9/z mYyIYRU5Zz5IG90SIJX+dUfzA0HrOa4wV/BWm5dn8RwU3TS7AOH7O8cCXfhk5IqcI7ZwV9JYvn64 NGy5oUyzU+B2fq4V+Adp4bcGB2zpl126T4l0fyR7XT2r3z/NW5n9thvfSwzj4g3A89SH1Y1+Q+FN UFXr0KN5MTJlLh4iYaQpkvyWecze+RJguC5elTEy7UtCvnkDVUdLNMyiUo/o8TE/p+JQI1Js/8TC V/aa7vt44WdHluBE4A0NwYw63g3cPf8HI6uPS+NSTO1vgSLhWL65xrqT2dzm0jum0HzsuUkf8Slv nIpL9ogEtVPQedJECnVknCddDVLX43chEPaMp+qDIlUbaUOxD8hfYKZTYWw7eJtmGOCpqpLZeFqJ ZLKDSgDi8zaYo1PmJjBgfzQJ7jcAPPNOT0IpjJrRAbuaaU1wq+W/98vHHoYJ9SvB3i1L8HdCguOf pdyS3a6HR5bVUQL2k6/KZ4wajLgts60imzF48xHBvwDH6ALpZD6MbTb/Y4tz2emRLLnjLo0kKS73 259t+yW/zL04a2RMRScSDMk2m//3mALHQ1jDkYYqozsnuyMS1YZQOjQLnmnd+gvjsG1skqdaHyXN Vp8VgouuLdq4qT2Zuo80vkqRMSFv21Q8epAFZBa9+Shfp0CrsmCb3o9dMbVswZBUxbnYJmt6zmiB ruLwKVzBq4mgNG8rcoJ1o6VXcxRCmbExsw2+c/CG0leLbjXo44q+pKxP7JR+yFM5ImMBJj+AHR2z /a7ejYfuE4wOUPgig5k2u+BOt91h42nUp0esPTh13xQdPQ7KmyUIH8FtCH8ACwmNGQAz/kA3fXM7 THm42iRRYzOolzvpxnmkSu0DWA0AFYy/ZYti3KmYBkv6ZyQvll1qhmnekt7ia5VM1QAyuBZ+++46 BP/5JQXcXUtcf3NzWYtxy09Sc48T3RinrTrlLdcAiXaMfF7LtozkffO4XEJvz2Y+FK9LKK8Oe4+l NfzpGva5F6TpkA1HmmROao8s1bZHjJCZxdOOfVF9HyIvPnqHTHm6cIjcu0wmgJXmz3uW7BEOtBwk YLuCRh0+Tm55OsD83kAkwMg9C8TGQaZHpIFPl7vIlw6i1gp8GImqT8X19pmpC5895Jm50UcIse0N nL5wXrpWxRdgpkjuDZHTnOyVLTNPXO4fJJTMUAekTGszElj+NmxdICCMkGekCh7dZQT6XoZjvCLE GnPRE65PPfuvlmIz2cx1yeGZAx9T5xQnZIGXml9XsHtEJDALWBbrovTIMZKaq3SwcE+gZv+D010f +W/H6O2p8V/K4kOnb+eNfkBwAYS9uje4mlClzh7yLpkT7tirlbn1gDepJNjZKuWeUjks+oEho/yo Cc+/saY1lWryqt2XLCi+ymied4KHjU5TESomFfAJJYPVNA0p23JL2+ciC4aAjxjikn966HeldKcg EDH3kIskUsRzcw6apRCWRVM01IffxzkpiTZ94uxYMXV6q9qW64UcybS3O1gLfLfJROuitGVpX9zW IpmHiBaEOk7ewNi1ksBxJL02wa6nptovjykhOKfsuYEYj2RTiCaNivyA+EnBOYiYb3oDvk31gURZ zwvkCdUlcVtXETqr54SrX+1eK95TYB8btiuVAP3XgfB8FPTUJRsX+V1ENiEp6/OW5d42uWRyXUvY pN9nmkazjK3liDfNmk3LBI3x3xf72HueOlOGpMDo45lBYXRHMZqnSGivoFx+G+LbqHXG2+V9FusJ Fp8EVigNwKezLoCgbOxkxETdbdr2t2LqYlxEo4SVl00jDipoH4zFkKTu+exdSuMnc2tEXi91F6vN LwF6yI34pNYwXkJj1s9OFCVyNS7WfM5qEHJMNOsxBqY1bAT8ozBkLM11bWo31KFJYukTftwaV2Ci UpsO5VnHRLYMGd9XfHvzySzpHBCT1XAY2F8ciA/NAF9l247mD2zm37GELwEZd+ZYjFfB2RND354j W2sxEdkVsfclezTye80UgomDr5FvzODg1sQeOrWadJk0qFudpPbwjgzj/rrfyKW/6GURXT9CAzrR zyLruxVJI7wyyvt+cyyCFn/Fxf4V54bgnn5YbuPcuUoXYVc/FqtkRE74riY91oa9c4GWVfEBQph8 +FGVF4dSEO5hN7CoMUqH56RjY8+FO/fnLbfzD0XX50QOooY2hkpS8jg442A9GOIVJukUxhBTT5jx KJom4xazb/pnnHQSXzMz6oF+zpHUP5iWqCwFqi/x1YbT1JJO/wtG71El9Pc3nONquA8DRCjgCOpA ccqBc6EFkEqOzzz8Lm9Y4hZIP0UsgZswyL+NQl9aSoDgkJHojpOSKBgBsnATqdEApProNCqxIieJ jhdwmvd4moiM5W7mdYj02uR8m740X2e7p0BGUc8DXfLJZXgO/p0AZ3pLjfnp4PcmYmsNEkQkqIJL d9xndUPBbOE1oMfy9btMeR0RVtsmKavImYvCXPjxuEupHguQpBoJr+bePFSlzPpOUomJMPtcBhLQ CB76h/9Jv5hcErKoykz6uy5NMuno68TDOtv2+KUCij948eb9N0lj8WUrk8h5YuiQvcQ4JN6ueqXj xf8nxF+e1TC2vovWXF0ReEGeqtYzMb5/PSZ5swecIpjfeX1iCft7/KJK+DlIJOqwOI/n1AKlxXvd MzhFNJpRyUuJgw+Sa5IY+eARVGklfaX6/n87CfDRtltB25uvQVWCdngAJrERnewOFnOybUeXPAB1 8p3Y0hz3+zMj3CUD0DeJ5MW5jl0+jpnIuJIRzhT9mot0vxh2k2BCSSsqFP0pDBNeLAHwK29Ne419 mI/02zh8V7VZSlvNfYLIHxQ16WWxYSvDLRsi2p99Npq+7OTTe0/2A9uHiuQIe14ZfN0XX/OLGNh/ bWlzZcYkosKBQC6nqoGkLTAD/IJmvL8nUuPZZswCUkr0kT8OUKryGQjSJ5VgFVEaWuGx4guX0fwx GnmlslKMfNq+WDU73460p7T3QJPOkcgkGtae9kRmqD9n7wUjvSheTJeI6j5ZOfrl6yY+p1XK+7yq n8qsnaPn/VilYrMzwc3ez4FyHOEy4aEODtpy/Y2DuXEaItiyFUmovrMTR/f/fUgX6rw84egRupY7 nQ1vruNzjcVtALuMKzzRGxK25rDSO58RF/L4bi+2+8WEqAzUJB3SgsjF4R6M4F3O6rfqjeUvoMIp gt/wOKzEB3R+HV2pU74roqM9cdQFvsPUPUPd/JqwM3Yo9FFNdLctooV7Zz88aCZor5wchYHACPYw DkxXDH0WDlpx8iad9CJwoGW9/Pegf/yzBwgbcIlQh6FHF2Ng7ZxNKcatxPxtd8dqz/2HHb40qLYD EVEn8tCHNRBsu1Hz0BVMB9YxBLs0EgwFuBmoNZWDRh/WAMaDtgOAGoIlwavCQEnaABUJikQ6uwxA k9iYgnXHryIv9QSI6A1t2Oi/ReFuJOm9EBnSykDT+u/IjiMJynJiNwf3doSA7Uc8LbSNhWz7jB4k 4zXHNCnqT1R5jJpQzZdHXFpTQy3cFs227U627drZtm375DrZtm3btm3brnufvz8wX9Yccw3Z+8WE jU3nGj2gS+l5d1oQyRxPbX5yFi5yc28vKWq55QpZtxMs2hSB2URko006BHQgNDL9FnOhdlVZEeql BdtFvAj1wt5QStdYn31nmyDuLqTCsaYaEiE9sLMj9A/g+PpS8HFxmJNaPFGrX9vY8RtET7QlhqE8 PJntQGIysDPKPK2AD0Zid56ftmi5ELuRK7/mOD9g+ms+Hw+fRc9h7o1dPElKTAfw8OhqwW8WvqCu 0kv5wzZ1zdYEcWzMmyIduzwDtsVwcxqIt11YbERq10uSkitGwlDGXWIDKUQTmpUCM9iCwEejX2Wq 9CAiINWf0vMnrzzulsGsghGzTNhDcb1vGrC2xcONWKLF9Z5R0ckuNDQ55pUemKVAduHigJ2qPqSw wEV+oTq9sKpJ976fpiQANusaUHVi5rt/aR9aNqqTNJbHBTF4GZePiHTCNOM89sWE6CWinImOunoN 5hw+xJUfArPRnHIH9plOHDgWiWKVyWuMGuzt9XxEqm5StGtZWUUVkHcEuDrj5/FS88//tirEBMV4 rP+S/dmL2HpGHG4UlcH3G3ce2X573bN7pAg8zplobBwnyWhIMceBvMXElH+/iLs/3wa3gjYmW3n2 XyErXpdF/50oga10Igi4XxFUvhAE9wLPH8e2fORFMLelC4b5LLAHrtp6Ixi8f82CiLTrWln+NIL8 YmjjIbu9Pc/c/pXJlQJaKiuveNO0tPumAXbfwM9PCpSIiLBZoTL3RWckM9nleMvOJd5M/7mhWUBF XnUQCGbsXIu3eCBz3nrKKrXOzsAVcU7KsHkbfmWme3OTtEv82YE/7wkrs2uvxhviwbcN8B+bxZAt WeItvNom0XVXcW+/eIx9yBAMz7wI3FTDMyzoLJEG0P6Q8p06z5IhFbvxYjqx3CAzLkTLldlEuQWd FvEnyboldiV73lTvOudH5r5LN5J/XC5uZZ9Upy+N8ZvxrOIJkbPMRu51l5a6/38hNwtsQfwcZByX tFdtf7pkX9MPNwwDP520CBn84j8CBvvMCeHC7KWiJbBJqyiG5uGlKyB6PzlJ47i7vWHlPLMZvW0s 8SIPaYl+A2lyxmd4+d7v83GybCSbct4iC/YHoQmGgIK7PSlL7c8bDbVKzqXAlISJ3JRU4p57cRjq RQNyjrr+YSfxc0HjLG3jjDLJybpCw/ysQIX+6RtNS0F38vgjxiLojHrbKp7FfHCtLlE9nbBVEImL FmnShBXRppsaSWRDLLGdvkKrArb5z4v+4DSUxU8qRinj911tNujiiDZLyZAO7ab3CD4UUrO+j7PK 3q9fA+X1uIdmJfXtEmqcZj3px74dPFyNIaXoUUc4HjUn5HUnxFfIn3Wom5zAlXo/IxP5AI0rTZbG sxostZ84CtkEGzJ/WgVhDlpSk1pUTZIDlCijAW2N59uH4VwjVB4BAX+24ixvslMH56AEqaNhRHmU 9gzIfoCSiAs7+BlkzF8jDFjgj/8GCkaMaWyepR1TEBIriudkIcFxNqF21G5Ar/HUZCoeSC1VJrTi dPnV0areUgwFuIJb5telrOigqqjdKdipG+nZqj6zUmXiRNAp1heqTe/F5MnQwbm89yt2FcsmJmIP YZaaecpS1fhpWB54xNtt4JGpuNLJzbvMaTr6w1dNydHS/KwlYJ3mU7V0QG7MhUEJIjezCirWwj/n gicCjJv2d1PUNt+dg8Dgi6z/gOGfSR5wjIapzh2Lx6GRO+35BWHXOncRiQZ9G4q2YpE0v2gdKSEJ /5ZuXga0cij6WR2ARtJirRjWPzwv6eMNxQ9d+oq0cWL9WHOS3smDr/JfM39pU9DkM33B8ctaS2DF n60Ts9BhEwFV6tjKr1Gc3HC+tLHWTYIyjcMo8GrdLPNxmhG9zKNAmAokNTgEHaYUEanbFqcc7KtF V5zJYNqX1tkQMHNj+RXqle54Rfms1vy2MzLGNSC2lQETClxb9uiAy8Hqj3RRIHhj5Zy2l3rky8PN qnjEMJI61j5UoahTsfzbXYG7tSzFuQ2IVrVmWUrTjLVZ96+edIbGATVuAp1MPHzReSzX3BOxO1PC EvW76TFQ6tEVq9+FDf0K4XcOx6nkb6JPn42PxrpdzM0Ihtz9o5M0hMUckWkg3WVCidfP90Rkfjf/ whDW/WVqdCXPa9wej0I8yzVUu64cU22/5hoKdhONXDmML2ksJ0Qbva27BfSMcHZPGMF7AFDn8hfu xY+GU7a37sltFumaTN+eyJ3ODhGyLXYsBr9IHJiRkDXOvcclVoD+a5zJuPFNio2+O2Pq8ZfvlCEK VYEDnkJzaFgoTy1s67Wg7eKnSQTVKQf3ds7kBGn4kcshDLye8I9PgTtxCnkKvuqlH5KzDEunZA7f 3Wtt+ihHgsB8VkGFarsykutHdB90LjoznQQMLpHmdFSnzdYOn+dGowiDD3jo2rlDfef3Prb7gBoD IDkwBo9tR2kqYCpxzjrnOrfcgoltVw8ujV4I/5iwKdtE6VBHQePrxYsV411PY6E0MYYXvYS7uZCB 4yyS2hLcQiFESSURdZH+KThjEhPonxYEsiJYIZ1ZE196Fcv/lYiNPmDY6/G4a0bXXzbr8CkVabWj 8zPLXH2x9XcvYIAnoTkb4j2WUqpW8QuOyRonbQoTrfD9H1wErPg5dX/1GJVigfAs0V1PMjUOVihc sZU2eyx1Sp17uQl3zIjm2OGfv477NKnmf9AoHj1qQWtDuK8oCQ2Cz7diD6k6fRuqhu8a2LLxtxZl bwxLynEQbvud5GWemui+i38ZtkqLk1ghen1sjxWvVCaCyZ9Fl0Zg6s9vlKVKIK04y5UvjihuBphF tAe+1S50BNfVwwhfmmoCjQKKAOLpnL5+F47iawpnKVnWssuQBf0LO2/CdJ7TdCfgWPz35quzGXH4 clvOaBuNBjLYqMgDGiJUIq5FUvbcFtxa9368b4OEvlBnsQmR9s3fRMGeqpHfqVFvOlUjKMsRfd4k GTXu/82ft+KKF7ZEPRkpzPaodfFi6xWtk5ujRuNObK4C2yNkad25NN9yN38hmUdf3uuC5hD7Epaw Re14y8rBapJKS3BcmhV2Uvxl4+bf2W1Hd8Fqm3kDph1xEfArIhMCwVD5XiRxBbOfZ83nKnWj5DR6 UM+sBdW0qW4AOl0uxHSfmC/W1xoDJ8ZoypludKm6uxwKU6yvVtS4NPgKuH7tYIMxly0zsbUWlouY 47II5DHRhk4Er2Oe1YHaCxPBGxbc+dFx/m45xou+6e54AVXoTzGclybyUUMjjTXtR9KSF+ivhEFh 4tDLK4eszYnP5BGwCnWMgJ40U3goXvME0hJ2/hw+IeLgzKfZ4wyA2obUTOenP5wday/I+liyCk8d b7fEKGAJhcDZworx+54GN1qG0SoWGqenpQXd3O1f8eFdA2bJKffLrjZAZr2pT7j7Z1AgTajDGZGB GyJBnjTBMpl5pQea8TYboFpXWXmQnQzr2V97UwAiHqqZYKIMaa0MHHN7caQzYhRt1PRsF/xSMyI6 smd0BykTQkT/WoCBWvrlD/u7t/thf2rX0Al1SftQ37aT8nVYcgdN9l23uSiuK2IhEGMlbZku1DBF xyr4ZXOOCWZtuNO7wPSIeT0AiKwYDrPqCBKmjl8I/X0dD4vM31BgPFF4BXivIkYibYE8bhwJvIGG eGUFvU/gsuOTSOLTt1JwHfYjAhv/I/9agR+26u67GC9of3ecJ8noE4M5Ebqs8m2rbrn+KgNd499M xmH3G3pCpAlesuod6g27Oi4jTcByeBklBWrdITzBuHVbTZReAT55lv68jeZj9N8H6nHDBYPl52/i WdyndaALMmbFiel1h1XwwxpSykrRp6JIIwBaaXdDKG8dnn8sCanQbvNM5FxwbtCmJuE8A9Kn0GPb Ol0UfAJCJJVs5SnYJGAvWh0PR3ywyCZnwXw9X4OJydx4jVxDVdAwKau3t8QU88RRSu1byKv5GJFG 5hqSbo90MaOe2O0Bi3dzFNrWsal4A4KfuMOcaOvPEJYRokqXSnW9d7hatAjrJZzgdEmLqonALVlE 8S7SrBkdUw78Hjge5EXBeZN5s27+OLqLddUdNoZW6a64Dj7brJTPOVfhMkZb8igli/+Bini6dWzO UX9VS8izPRuazSgO2gitpFVtyEush7/9F7dfn/0Xh5rjlsgOE5P7UxLyPQut/1bQxjtn1cp/KP7D yw1d9kNJ3SMW68ojE12SSDnyU3N4dCjf53br20Wb5x7h923s+a5PhKKp1dCia3Q6LL3hu3rdP0hC K6+RYgGx+6oZUfCs2uSuPxr9rRP89UZhBgEabjbW6gh7lPLg03xm3uLFYAHLZedrhayMmU0i0lCN JIusoHRg25I8tcDU9rBnUkztAF1SzA2qE17V0ZW8TSdUXGygKNY4cU9zPSq/zYi9Dfb40G5cmNDw sKw89AzkC/h9wUYRuzj1td2zTC8Oraj78tQRQpYF30W3mdiyNOpla6fKOITaFwU2SQc9lRm7mrkI eqzhmqY+rh0mFnNwDrm/NGbjmj8D0kL7YcEb/e7tAkq6ekyzh2M7J+4sA9RF29g/1RkYtK9Ol8b9 tckMM9aUX5G/xRdNdPPPYYwpOfcnh5lUsObxNAIdnk2uLOUfX6IytRHQ5txu8nFyfnYRw/DPmBEv XmHt3PZlO97tQ89cYQczwE0OlD2qEd/CyjGfvHzmS1OAdkz3cLYZ2tkn7+Ym4l6ZfOkXzEFdCb+t oXp1ifV0PbuHR4t4w+ov+6DZGDDmA6grwWVSKSkv1pWj8eJIjO900vz6T9qwudAahGZJzPfeSLmZ DDMkhB1u6VWGzTjF49nRUkeUEjXWjeCWFdFOvmrMD6ui2OAhT22dJCSdR2o3iLeocWJXvFz1t/w2 S964Sl5gOBrYIZH6Oqq0TUe2E3viFmHyFXd6DpZEe8jDKpaUdQdWF45migOtPRLmLA5jKNN6U8MQ ZoM6p+GshsqduxelFMbkzu3DQhzMFvE/Z8GAQm1fDxQjclBgfBmecwmLZPqWq4sF6kUK4S5zea5S wrUYGvmeKxyBLGaZnKU6Xp9WR9eKVg5uisdfEAWbM0dcTyj48prkyVuYriNnXQCpviI+DUXe2lpM hAd5z6ppO3myWRbULWNcxR801pcQZonaW3DKlN+HqSvM/axhfz2TInE5W1Pt22LpPSvXHBxuG9bF mo94TA8+XwQ56dkix9v+ktO3K5qgBRHu1VbJ8w3uxRYSdd00SIT5bDsvSTHrZ96n6rhNdC9Vq1uu ql4N3o1Gozrd7XtvYNehbJcRo9WMCZhMt+tuSPx23kQcLeIGQThA7C4UjhIe6dcJiEScRfH5UaZd dVbl9u8xqeHaNoRPKlqRJ4frRTqrwq1qjcqZ1M8iWkoL5FwqHlnqQkn59BEQXDxRvnQfUsfkYGmi r9ltbruM/Tij5QzTdzPN5yd3wfs/00FaYTZ/ofnArAf7ICWXiedLjTzxK29PbJuM/NN9/OIysrC0 pg+5aVzYPpWq+aWKrf/6WyDKFq4Edz6ZBqMsMYLwCTDciIXNDEbblriNkhJaAgCOIXxJo7Fw796P TOVg+WwXvLnmbJ0tAYtGwB5v4uf8OT5syyhGruQtvgH78I7HdAeeltusaqAGu3QKILq3T1qA7x/L PVQXwYj6TRdal8zk/fo9WZe2SWlaaRAqjmE9Olo2jySXAiKi/Whp7oZedZ/C0Tm4vuu2BNEkR2OR fwYaNw6wMO5RPZFTc26pU6/Nie0LdTpSFRX4QbmsEENAy7e1XQt9/s+z0qySksxvzdpKRsqZ0oTk NWZWR3hSyxmCUDu+9FBYgq9GXkv/EszchipIoGuDTae2rSxE740CfKA14v0X+hje08jZmYxw4HJj fTZ19CaOU79O6WKnMXoAbhLsLIUJlA/Ze/p4WB7IvzHIYBdtQq3Sg6lsjNDbf/ZHA2IV//WbRV1P pdbIAlNNvHnN3nbeq9ySLW0WaKNLGOF2rIppLoYYDvc3QAOaHdEW0T35o3g/Pk77tFiWvsKbtxQq VPXdTMjOp9MB/N8su5BEYyUx4srNNvqXtP7B/EgrZT38XlPANfR1J2lMbedUdQZ4X+1j+706sPKG /YancLxRlchpS+HRBc071hKU1PSg2VY9sI7W3DlMm9ob7GPzPH2xclRt/S2i9jRZZN4KlSwap4bp kSdlrx0f0GD6yMuPVEOKVpT9E67esxWrv+aY1exSH7SkUeXOlkkn2EpbTaEwrijF+fccFxp9hund /L5GkT0U3UQXOzFG97qpSyWyWoFtdqs/p76DptRIcu06sbD7SI1x1Cd7+GXnumMfeP1mFc3f9uPf fYqLe/fkdrJL/1rFBF59IErCMAg6fXWsn5yeq6hEArtncBczZDUbmyPkvoVBfg8zzrFEfLR5nMfC cO7NaW7gaAorpAJz0oHHCTLFqMdqQRVjxjpbA6Dyrg7vbbVfhMFp2aJLgdwqVhbX/496jyLqNTrg XHmqw79Tcpym7vZE0z6UED4ybqb4p9DWMKpcPd9f6wgxbEgM4Cy2+Eh/d7IDjQZ1Ah+FQk+KHi2h bTVJCFfwWv694wue5YHoGt5YZOxB+2kVMF24aSGOHB75K91eW4WC7aNFFjmooUnpOrRLXcAw4nRm IYO9UjMFpeJApSxZ8zx0xCHYOJ3Jp1CrVFaNbDNWWHZa7CeUZMw9F8fnzl93mRDjGs5vQrUco7T9 tQfzInSdHxTRpzmUBJZlW47qaWZ5WyVdgS+JRFWmfnnRN5/A/2i9UIHykplbOB0rsJB+qnxCDS4/ S/vD4meP8Bqdha7Q7lo2pzCElq/h4mSGx2r93/cyBl3qolhMUwPftIS7NY11miKjZQwOwYFDMfir JxmuRXZRp9Z3YSoCqfgpa+LZR1ZEkJzGxqA2zo2NGLIOal0PTcjeoTN14c5uqYB1FGPQMh98Zztm MWYUNw2tyXBCaSd4KSoUktx72dszhk8INPINC0PxccPnAA+c5FJu/VQocN5IDRH9k4V+lwdKapw1 3lExrw6Ly9mDgOcOojrVnVJ9tFtpLUPIDQhErT6qp/oT/quqgH9sGf47ML4aQIKhJsNmc5f+AHu3 FY2PhaDwRxiXsGgUPhuqIQwPQ1qdBvHmB/ZIDQYH5ALa0xuaUUOjhOaTS2Q/PmTfVxkpFSCBiZuf 5k5cBa9bsNhCzgoqPCLRXd/FcdtT+NNBgmDdY+EoS0N8jUtpMPazAmMd/OMY9nYYujTCv4MRuGLf Rc6bN6L2UsxhRe6JumjEjEVlxu8Jdv/atXLjS4uaH4n0KzH9t9DiK0AYqYfAiIe6bV0AU3kO8I0w Ke9C0J9Lb8bUxygtNEEG6DTgVqJf0cR5+qOoZX05nDRu0yLCOu5WePooiYqu4ZyJubbLnuaM67rW LkXNtszUVOmPEXxklINeNcx3GR8JY8o06wyRtNbiVAVle86DENO6m9XavIG0bqRgYLsjPi9qemFe idVuEsp9gJt9/m9bsNw9b/45AsOfm0kQVcPxYUIgGO4r5FzbDBt7S7dL7JEY4nv/HxmxTXJtf/Wh Upzy9Tglf1Lt3TBquO2rMXJScvl/8UV7ocJZJDBBIFkFcqH1UFdoOha8KkbrGzXQaI4IGl1sWcWR ZsIeGCxVyQSDtDjIaqhMRGd+k5MzKnREQXtCv97a6f50AwU50kjO53AG25vrkRsdlvJ9Gsfbz+4V dAUNINanbww7FkgTkFUt3B4+vU6s9M0QpC1gmvRTnMehdOxJgDTaMYT6BQhMsi1zLpmjNdm6scli sCIhrZ5b8iHj4houUBuII3p1z11phMnLnuUhD5eZSZAwYNxirzuy5ma9/ezbNTK911HIvTddEXXr p6VbqRVaTK+EbAHqe4bg92Etz7XI0Nr3gyxnFMeqNU1wxs/64CRnp+zIESyXsNJ/INBhm6FFwKEO 8YigdKN1Tykv/ssP30b3qVFXdPfXEki5niKZRD6b/rBPw58o8BfOJJbMzfm8g60aPKOLotwm/MHw qILYcP7eLMcAvyGzadZK6Al3WK8xgdKfT56eAmoTCj+Wb7VMrsVMgx8Nfbr59yfYtpW/TFSKP8QY zQ61TM3MF2dyaR2WTlapE4R49/js77ONmY7chz0emde4veVxIFhxoxueqmnhKqQJFmukOFnap8Nf pW8d9pLS1WKZoX8A/C5DG79cehcO/v4Iya/0toXjXa/nUJyHfRMruGOfxXtwP/5Rrh8wGYC36QJZ ksPHl8VySLA1xFW574LeUQPKShEcjW86h5ZaeMSKxxlKzrbUFHFlq1+ZsAQUGIrzk0ZcXoGx2/Mh S+ZfiWUyyc7x7EMNJWS3rpL6VZFGLDv6SFab0GUPQlMdE2i4lf0zDNlGAxWzeZ3W8tguZmNqGk/Z zDeK5iNoULJHTzDW1XJWjOF98IH5H1DVcaEoWY6+Cwd/Wn/qQobZ558v8x/XBa5rCBVKlDpNiYHE 9IMlrAg0TK4Xw+JhWFn3tmnfDTNTT4O+jwmbSSXg/96rH70Z9E0poznV7Wuf3oapmGotejxG09OZ db0mkJzGglCU/jjFWZJWRfklb9ZvwxYEyrSX+W08bDUyt2TvXVtmI39/iZp563OM0YZAK6Ih3Qtb 8PglS4SIXGrBHEowPgZWJK1nQUtyB/UHgu+EGQYzDtLL5lleom2taNyKFtH3wxg3PlvYGnVRtjoI p3f0tVinVU1wHEx2g5KLJkJFPUp8Zwd/lUdHuMIJJ72LpHE/YJVnu3H5P2qpm8Ip0qBzmfx73fvG ciHdCa21DmA/D9gV7QaBTWo2oMPfrkkZrD+RGudE5usGZxzcnsXgXiKSYJG+aN0NEn7CPsAJhCfw L/TZbgbURqtMMMORO6FosEdEcO0kXeaQJXVYHohTtcbspm1Qq5ozdPUOPr2UyIGIwVJMDs0xlMYf 7trSmJqfDGMJm9jPnnoRkkel9UZb7M2gaB8ivn1wtePsKxnoog6eDF2lZL68GJlawGSfn6mp+aaL Al9vnvRgRo/01AvZUca0q9QJGqwf0adbhCpwO8QIpipRRYc/jvmewL8lNjAylyH1wMKeqF5ye9hK rWIwFyfA9ct7z+TSmzoy8h0omXDuiEhSOh+1eFCde6tDJJDK8FjsojScNSJDCTkS7IZ8fibSxTay f/d7S+2udJsor0/2MaF+PKstBDXzMccQUbOnV3QSmwjDX4GIvHceKp9cQP1m5SJprjhGNmGp+F+x N5jtdh8MjSQ0BiEl4qpdlvcLy+4O5mBc/QchsAI5Su+aY6iUzWmleROC5+vhZkuoK0G2yHwglfkO Wo57+4jBcReBrlPHLBD++RUx2qRNED5Z+oAk4oD33vIP+ZNHVn9e1cT4H9GiRjahO5/wiRCdmN1f wP3NjUDiPa9mbP3euJGxjLd67rhNcJiUWkcaLRlaeTLK4ueKa8KL2BPCCTRF9X0V7R5l6TqyDRoj GpHjhpyfrVXv/oCIovGiDoYlwu23vXZnfxqs2walQU+WLW1gV/0mX5IM6hua19Wb+uJWDRCTPSxr CLpw2SY4W6KiD0LHKa7/4l8mXn2BOnSZL79vbCI4saYY+Bq510OxIGCPSTpBq3pWTsDtL5qAX0+N tmasORdbIKV843Nw5gOs3bNA3LgxHKBf8u5iUCWX35Pczy5NNcFLYYqoMpJ8Upu9pBr1tPd4D0II sZ/Mhuejeh27LVttNC9qqnhGRDJu2ObSKKy3Uh7ZAEyc7hBPbipLE85K+B5Y66/hmh28+mn8ZMJ0 XoY24I9+17aFiKvmRCONzXvKgbRQtXjdxLWy+2Wl4j2/tEU4E2Zn/2k2MlGR8q5osU2iOUSqM7gc 594NrIoFpGNfk7PBuWfNjjl0gfH9sFljVYDVEKt0O3nv5wr7kamhfXoMSJuAdmEQW4QgqXxBL8zC NfhCOPFt6FfYisWPHnLEmcGa93cUEjkhOvhFr9oCjoNWTJBN46ZyssHcz+mzNHVH7vUpwjEDSONI CmkXU6PjlFXJ4288Y4LFwxTDqO7yhDljosge+XC7QwITRmKdb0B410FUi+X16k9ecQ0suo5mxAxD PjprL3I3fWsBpNJmrBh1oSTtO/KQvA8vFjGsJ6hBZRUWVAqvCJiZ495Qj3epckPLoJUdx8MkwI8x SQKdj2SReTSO56C80pNqGrEch7SEma3xOkAqX3/MMlDekcQIjZ+KuyY3s/6Ld+QhHRrXrFgyso+5 cPDj0J6NfSHUKt9sAfN4kUacKofRBy06DLKuhZWrEFwTWMdzSOuPk03GJ9Bk0MSkBR1psAR7hnyF m/J79ADy3mdLQxeW663jlm10FLMxc+DEDuv8EOG2Em+ncObAiyFVXgdQDUVvir2D+FmZ1nIWLaQc yUXo/+5Px5Yo+TMyLXLQlBoOpC13royhZIptyL2dz+jDFvbsvlksRHoP36p4ZnQmK6XFIk5TFsri 1eocjt07+hAiJAgxBZ1Q6eBGDO85kuFEuZcrMa1wotdSjcj0acsPwiikRzJDuyABG7vYABw11IFo dvv1mag3wlBnPAZBkeequOziFMFrynhfhYUaXAyPO+XIzQYfDeeGSIGFF4Usbkoefdm2SEg2bRra IYNxhbwQFDpj2AQj2BD5ebzRCMErtyBnbq16BPxABIlEnDH14SXPQhV6B6rOX+hkqcvLx8AquFPa OMGYcmtkEQ3m8ptstLWZ94rbUnFF3rr07zj3/JQoRa773co47TeHul6J8bX+qpcALPv9NZy5369F dtpZBEc7ixjHFxtpJbcGm57QmT8oscZ3B84fkVR0VsywCuP4QxXfq34m/oRxZCE23HHTWuFhBeSF VT7099QB55zFDAlQi7Sfnk9xb5cXWNKVpecipJEzTdX/9TtlskQLZFP9vFwfUNu1ENJtjEcQs8xs zZmJneupFzCoApdK1S0llJ21GqvLIG2PaduUEkfC5lEMyxBUXr9rzUUpqtJlBlF148YssDG/FZsr 9f6rP+7hb8cEfkioZ9AH2mVF9Q9B8F6FFOnCXj84gR9AZb1TCO3g5j4fQrGdwzmI6TmeHRB+HX5U cSm9zN6i0/kZZoF1/gwlc+jIq/YCGm7VpqarNnodLup+Rq6ylkZI8leOBGZ2i6IGF/2u3BM28LoW 1BLvE10Zi+FdG2u2a30FgWup/8uvHfGgiIwRylAhaRoYT/SgozD+TCQUcTGxxGfbnXCiDWr8l78f fi+6PZGBRHDcvZ2WuP2pmTXP0jY4wfGUURlAkULm5QntVquQMRT7rt6cGOiW7Wmiy4ndXKgC1SsR QaphqnxvQiC0B1e5SvPT5q4S8h1O5AOqWeptbdxgaVLxVk5FstsIF/gtB0bFCno87kNrleua6btV arjAYCEAiOmca7Gn2v1X32BcdQCMHkP9cyEUxS3j5oGiMLDTZdKkbbM/yvk3Q8TPgZan4Hlie2xL NpPR8IrECi/YaZ3zpTfSlmxz0C4l9ac+zkvDNy95ewWzbSlYhPb/zpN8Mw92MlqhTjcM0NILBBZk zTSh9ovoXIn8tgzP8ov6l1zsoWSKX23DDhAmlKfeeFSZETWtLTxMWN7+qEKIV42meAH9o+1qO3dB fnQxKb+39XetNMF52XjiofCoGJADknH3j6+xqFVFDOYRRr48HRY2kIUtw8mPA8nrCMDcP6QPLrxR IBMDHTCcPTMij7S45ZKVdkjdXtMT+IENBraHmH3UW52J8bMH7cCOuPmSEJBmd7F7fTq6xH/qbleU NPigZQppV3AKsPCqHDLK6HXcFKnm+msIWGeJo77aEfIzrWlkEW0daBsw9uX6SzbyK2MJ6qXyTajB 9p75oT/7ZdDDIqXQ5OoMnGPrZlzZangGFK38WwrrSCl4Wo7EfOtQb+fSbY1HLCX7cfv+cXAOAHHJ 1DFP2Tb6UHIFXu2wOQ67hlYC0TzsJY3bPpvsDU8WH+zwtNH3qYdtijo+dVA+UZNPZ5TYfpX3j+EK op6iH9Pm8ABMJVv9hBOavATNO6NHFSHmQnqq/HIoWJE3GuO+xdrXfu6oBnMMaSh/5DKhjjJlLd7L ajnxcevo7FVxdGNCekpmmSVTMDEPInat37UFR8vX5bQnM+nqObNAVcAHNJ0NLNfM1XR+fDolOAvv ZHQTcFSpo9o3TX9OZhhnqOqW/Ooga1uCCqM9K+5TFJGMJuhzZaOKkHdblEUXfHjLo0wAp2ev14cl NN8L3F06pzOESLDYsT4Y1BjJ8qGzKGz3U7ALHAJ2ajSdxsEbzUzBaT6vJy+C1M8nXQBZmYPeaYzJ q5TxxRnp9TM5tTFhEshYFK/t/XTSv3WVjHIFhMKmZltqaWkWDErvIRHnCjn1pJX6xc5rpJffimhl jcwiceSQiJN3cyt8V7k4TX8KBu6Zs+56hcYczJaZEpA5UXqlLKmdVYVb6a7jHSCcDhIyCnYo4zhV IRnHJSzAeGi/xc+JWZ/n47inRF9p3wQSd7WOnygYlSdXRymZ/qbsU4z2CvEOgyrTSyuIOAedVCoO 4GbsI5JmifZRWb4jKow9e0ZeeXJq2hdeTTekFfKkXvob0yTezDUVUJIhz3bgBRIDI4oPrVTNtCVc Ngng1aEXcKpWDmFzLUV3rYEmHUc7XNFw1ZWf4mSYc8CBVjcjNFpiELE22iAqtn+wXhoWFLDyZef4 rFJoAj7i24/n/dvn4BeTQlOo2mPBaozoQFweuKYI5YOTLzKYwK7r9kRKpOLXPaBsFt3m4cbvg1FT Uyusp97lFQ3zynN0pjxbEs/KvMfv3vBoAXNECskfCnrYWifkaOmD8lfPv+VTyFvLCS4Yej5+h45s x1PU10AZiOVMj9QILUe2+YAB81Qxukn3nWbgrCnHVRKcyolIQYoVMxEErvRsoYhkuWB/pm+Lq7YT I8tmTjwYZN+4Qlwfr5s0Sy63I3VCxFZHA+6SccaXP8Uo1SfcX3wzsOq1ZxPx4WqQriMYUMtNvHX8 TjupoXX+GakV9AqEmrO/47B0wzXxx1h89bOHoXcAtFZYS9HRGOLMQ+LGKw5bXKpHCpgw6pmfJMwu Ut6dBY2KqpXt9YmreoJpma7BhzLI6wKYfQhmzRX6QwmpdhK1iNdSKBMWv+NUjKwprFr+p2fgw5M/ uh9bMyQQJQMkr8ayHvVI8KTfBjyTckWGUFRdSo4IoYgR/52v7ItklFvW8jRBALIg8Z1EqmC7kFx+ ZIkIFxcC9BaP/r143dTcJPf+YujH/si6JDnWoT7ewFVfLfUH2n31pVt3Y5MmDncztbXlmqP1dMG1 5JLSF8UrOtSdX6lVpjVT+dwTso3w7PUMtStU2yp+kZtrdjEbgd/dE8jGUkiuZ0f0MEiLv/8RzgT5 4AWDyDwHz7ernq8TPGlGgVZqhkuRCZIkZiIWNBqfACWf8Bz710xHqNkT8rbziLNJNZuIC4SIzBaC S8PDlOoGxjuOjDksNZoLqzddijqVWophNeOPMATZ05NlK0X8h02TyMCuHmd2vtU95IDoBiG6k4Aa JT2NMdXpjbWkUeg4euL0oVPTDceXw25oPdXne3iUX4GM4+1B6wGBi7qsfzP2UwWoR7F0cLx+qapd 7KXc0h4p9k/BXdY6x8Jj4EhGQB+ZqqtCapUaFS1+3b/5buz6PWu7sEU08W2W/H/s4fuBypsAYwdm 0pn7XnjdkIjH8wDHXg4aoy4KFrVeo48HiZIAAFMwMWg2NMJ5mMSYP1UNdivtZxSDuwCEVFHpU5l0 6gxrOqKziEiSjnUFJV9bjAQiDufinhjMSUH+UGDZVsr2/Qr7FdD5YUX0d/hSpjL9D4IzfgM5qRap t47uz1S7SQwFDv1ZnrnvgEQvDqnz+mptYws2aq4XMGkpebipCHeGETX9N8pClJGJ/VPp26kOSf0/ 0r7wGuecOQw8BRQjaqAzeCPxJwm1G5nATeEefmAEBFObD/v25SO6v6kaIusiH18ozM78xpukCNnK cRfArTcuPWIFjIVEthMT9/Sig9/3rAKXG59iX3KsPPxFq27GXmQ8PowH/nEbaEl+cY9vLy9N+A14 pnlo1ezlvxRlRWJbteYNpw1Y7zki01v1BIgxkEiICGLV9YQKWkFWJf/lp7slWgVtx+XLfVaupCYs 0WVoUmyaXLT8uPpii4PxCdnL0VPMCeH56XS4xuQA7qrJVZbVqprfz4jeJXEG/DxvCPntcRiq5T+V Rc1BCIJPgCElRC75fFYJHk6yxPYlexWgln7+Zmay/n3HN30u/Zmq3He+HB8WuX2IzjlcnWmN8O0o sZYOgU8UJIWr+WW6bEuTasEt7t58zHKYiTxGLHYDDwpAfvYvTwgVBJLm46Ok9iNf1STY6JvuZF7B Jg1ctaI+wmXdz106KPwbRGf7QspmYPTY33l800wf6UxU1F9zovcaR/q0FEekPXaPHsbt9IUcaYOt HY+NviyvInZiQ3VK7Pdy3SNJ1bgZvmsqnXgTOI3f//bnEd4+7IooO7CEHBerdnhgA6HUAzFJtmno FA/h+ZqXoueqqIMXlBbvUFjsIiv4jiUHjurmYhUEsy8TM3sEHQih9AGDaUfku5l5DYgPH7Vj/5QD f0GeCt2ZVlQ8h7Mt5xBlbMswAbuEFODpfdgynaBi7fgvFndLYjvIlBt2cLb6uzKt4C/kJpYoCQ2l ELrPFjni+5f7jX4pJt2Yfns84FxqUCexsU4QBmOqxu4lhqqdbm4QY4zstJQBZe1555pyfxhEOlbf AIlDSNB9zb2d3MgfDG7Ivex0EPn0P2qwmC7gE39yCRWYvCjcy4yrXIhl4r2M4mvN7g84dHRWdyNs IwC88gx3ttnMQwpLnjns8BV/z0fTb22/eUDxWmmdBDf1A1vgV3SzDMY504Us/tJF6rqSuSHsnV4p LrdN5U112qygyDdo+NBcX7EYNe+Tqzjl6cNW5I9gMnEnXR0c1TGDmpqFlXYn1hb0qFVFAW8dLSMe k709XJQO9q/4ZCJf/F8B9xF+UDSwgUa2tM5UxRwQ2uvlOcz91IKie0lKNPm+v4/pNBaezf3av1PL xI9Ge3EgWdpjk9yKPDMoy4aFsUXslqAVv6yDzkMdTbESvN356UUvNyIS/iyon6ukD1+uNSrf0YQI ZHY+TC3yhqukT44VNcWC/SivGHTdpCXBo1pDkB/xDDBXWdvGdMvrJNvIdNg+K2Ey6txIgmZsd7VJ +IZxW1lnEr6ok682th9k8HbOIyRTH09cCtTh7srDX7BmAUPz+Nq6O9VtRet4Rs/YzFIm2K5+SFoV O3OahEV+uwlik41XyNgT2sSrpMMWinF+NTQ/FtjhTvLwhJpaNoMQzybGDDTleQ9lzn78fAiKGevU /xYl3eiiD4Gw6B/nTBUwaonhziXo45QD8HCiPFahwhvEsKkOjIi8l4QftFAW20UkjS3tZ4KZaQtF ZQEX7vS4MP/ewA403Sl9fxn/GCQg54JokrTG3cVNTi5Zp/URfRxpndnqP+/8V88FTaMDqGSdXIKV k+FxLhPjO/d7JmgvLDS5Vyu++uQH12702gCCikYZYmuv364r/R76NyDyz7lOCw8/guvCZUPoUMAK Z+8WW9SeEk7zghJvPv9WR5nWYZwCRz+Rwm5HquqvlYrLapgOlMm+fFoCmWoen84DT8eOFOoTjWpP iGLokT12odiw9H+R+OfkT9oxltIOa5LL6t+Z/633L33tJiOx2TJRkZ+KVPG45gw0jVWEHPG9wW2c 7xEOnrL13Cd8N7eRRPj9AgswN5slOCCUqG9A6x6y7j4FjBL8NA2TPXgXkCzC1eByj4RWdVaOfZpY JrwKgTvUbnFrSyLxTPYnWx4Bq9Bk80o/u0Hj6bDGiABYYhLmyAkJ5JBCMYvkgJbIHCxCiRo+xgQa AO9BZRm5Xvnd1ewxIt1MUxJGTrO6R1aFHiVNJnv1rZc2eFrYk+TR7/NCpi/B0C5ZatOCiSw1grhF z25BJD262Lls1rOZD8spw5h+8jQvqxCIkI5vjz/Bpu4LrhCuK1Nz9hfraLETomqNz+9SicOIn3NA XIEjjfRB/zpgoGPgCZb0rk8auMA3Oq+G+HhoLR7AqSiKTTsbOz+cSlPVgWs9uPOBXIMYDhZ6LvsB HItbIIqoi+sGYlZAWY/VE53u4W6PplqEY3W2VPHcwRf1r3vy1tTkk/w5YWah11Y6ztiHIV6V4Xbf xi5/p9sYpWuNoz5GAH0KiKJLASoKG+PtiEVavQJyKueymHwcZ//N54Cbn/uxCdV8BPEBcr50Q+UI F3IqumplM6ykcECr1povAKdizqW7oDNMzaG579GP6xZYcsGa/KLXWuoRWnYI+7ESNYDwGQU5+YG6 W58MPWcMOzOL+htU6olcGDG4D5z+c8LoFMVYUnHee9LpSZjPsJqaIzUQHisMrjZnrrkKNP0jF4Or gfGFUrp2JSgyXQ8vM0+D9itSPPd9lvrAPFjcdlA3GdCtO0cI1db5HAr9tHod14BTkxGc/mhesr0Q V9kc3Z/KaLs+man6ZE+Bi7yh6G+bewzHdx+2++C6IUS//XIvHF8THz9Mejn0rj0bRx5ZnPNFNG4p Mzb0P5ajtCSz7GZ91tBCJI0uSV5xLIhtDDXEea5raNOCKjjKDWJnyhN2JTo7YJ2OKfuz3hDxgeWQ n2ZPkUz5TOdxO9XuVlJ5zABW2QMoEN26N69RMhLdcT6EZkpD8GzZmLb4aXtVkgyJ6iyrwAv5Bef4 v5oiyeJIB1/ixSszWvGBk39OFoz27ASqAps8tIT4IA7IkWmZmum0XW5iyON50yCWMsoxIZPafsG1 dD6KeTISDhaB2ufx+F2SxFRE0WVUjtpEEFcKTz1PoLolHWIwYVsKo7TsuHeu1EdHvEDErC8EYF01 S2rkIiS/DO9dykt68IiBKaROJv3ilU3SnIF00WM9FD8KdIq2rJw4FZWudnG4Rl+C2siBFx9KDcoo Eqb9L61IyVpIXPOPNk5q/cdNHvy9QFoX5r1ggNeV/utHGsNqas/dp4+eEsmeUlnEeHPV7duPKkRf Yjo/bjsd/VnBygJm0u0xpedgBDBp5gikqZWmV+l6vgVT4GVeZkAvVNSXKjHUnnAXXNIohGqvAXf9 17+pnyrQ1uAG0iqZuICMWA9oB2e3TkJnEJAexfd4w9TblxdxpkxRE7j8Z2MClA+YuEnuNuNCFRI3 U7pDOeN6fi068FC10QjPPVGizeZDTM9tktAF4XVvwI6JtTQhYIZWeK79E6/wo+XxlbuOFoAf7o3T GcTmY/WwtIQuUNvavbhCLZndkp2lTIqm9c9n55L9JxQtpU/1Z4iFHGHc5hClzQy27FQksB+K9ekH jUCRjEdkktk4VTL5FtVBH8gEAd5FAAjao3i8Pd6sL/uunOUH7TwUIIjKIaXyBAUepEXkcy2B2lEW yIH5fnFvYX6VfIJXAhnavCzMUxr/haNc2962k4KWE2I/1xxigfHqZ2XDVRHA4GPTz6grz85GWG+v TIpHGB3Wk2/kwgP5N7lC04+vvkV22XpISp72QWVNPQpPcCd8KM/2lOvvqNEq5v0TU141EpDYC1wJ OkWSADJFVxiMQWet7FLkr8bbxPtFyob1UfU4ntZmI5Gb/AV32lux5f44ipwrrYazU7oZQvaWZncX CvVcLIO3Qfq21NQJbaOvXArCkRacit7JUpHV2M/uhPJAlN8TM2ScSeya7D+koVjOzzpf9lnDpy9K Yk8T6Q8pyzDFI1hE4N1KYhTSn5g7BOU591FJMmv5UDpT1MxaNHijOQCw05JPXgS8VxPMGjoS6S2w Inq74PKy1KEFbLk+lgFo+kdJZvph+R40BsMfJHaUeC4JvWvapBMQNzg/uwJoC5faCqfQjNRyYvBc 5rpdTfM3S999AzoqIEVNFDtjO5PhBUu7Tgtqbh2x/Z4s5yEfmz5cDZU3IJ37Cm6W87EFdXGMsrx7 AtxX1cyRmCRsZ35+yknW46NpOqb2UYApTwC+IExOQwpEXl9iQsMWqr48WeM0kF+cOYO8Vz9Wcct+ rHIb+ykSKvjg1nuIhmReN+iCKUvR3t6GYHtTeuvwke/qV/nCCHAefgvps8mH23gWWPV5td/94lOk qIxO5uW2hxNbog+lX8KZp86mT0HbzJ3kfM6iGMXysKCQPoOtMG7RdMxi3fKSzmzIhDIsLua1ZjfM WA+xXaH/gzkIVW15oYaEDFVkE2j0etV9AlgkeJEnx6fmYP0t1Sod7mNvX12rMhk9Mr11pN9/+Vhk haOH7aQ59RFVwrmzuM/m+pph0eVg/wv/AcHWPUVoS7C5Ojn9TafyWDY5Bgm3ZtTowpTKHl8amU2F Yk6PSoiL/CJB2VXvO5PLMcgVOGaQtv8/FfEj0q1vQ1Ya6oX+AcifY1DEy9t+xHsBXRtPKdSpewPL YD1zoZwmRHYd6QghsRhue0htu9hcCn6oHU0T/1ShABGisu7cgjfjk+tK8WdEBzixftjyERdFuG9Z BYtZ/v/mLaxARfJ3TO2WW8KcZKrZ+XjulH4FbFglFhMoacIArKoJ5uIpeDjjzM16Y4irOg+4klFz Xx3qEGmaXQMimOZ+K2R/vr13oXQrmQvcHIqLD6+V//bJ9AHOdVtcxzHxYxwc/tBZRTi9y52iVu5S DV0cuguBluxI1OQCO9mB7ayfoBpGFUFBkak5tbgjZiqtfdaON6TmYau+jU2j/7iicCWrc1V65wgh qdryB45YziHoQ5ITnehNPPoL/IAsiziAJz94D/2Sws+UoA1czv9idzpbdmWELDoiT425GbYJiSXc IN2mupDyWCDWy0P8VtufWxj+2ZJvtcvMblC4+sfMxIjVD1mHmnf/3/4TCnLaaBEP0U21/gj3fJZz NLpJ/gB8i/v/b4ET9IFVwTrM2F9hWpLSKjO5k2sAHVQOk95Q2rctNDKpZGIbNjmWX3WyMn8OyODB JSQ1Ubfm18K/zr0mPpSd2MUctjJgCI/ubAknH4IwMiFcW2cKF/fZI3tMAf9D5ctRR7KQe/yHWH83 c17wKYoqnlNc/Ei9R3HthyI49b+UC4ZncvpIX+pgEWYUsO1UmpSCZBZRORZ3EOCKBeLdFiD7+cxq UcltXky7bfAwb5xwkyibjCuW3nKUQ9tHh4Hr5yW4BuTumcuwDW3/nb+7oE5uuoGGy46qAvf4WjFw Xwzrs2m+8LZQCQjG+G5u+m8/uJOUXI3mO6372eTShrVEWDkbqdGPmKd485xtTkMZjqf9v/9dIxjv GfkLLTvwfcymAksWI9KdCQmm1aOF9VZnnLU2aL2UJc7pw9zT57CQa9NyyB3QOIypH353yKAexdtI l8lroHXYJ03tjZ1nzgF7NUD6xQSoHloKFSFtWsEyUpI9meGOMKc0Sz9s617OwwY6OU9STvqjVBsu m4Ux03WsuPZC7di4uj5G0xBKvT6+OkuO3qqi5ZhFgA4dO6fQhbBasfBJQBV3vYz/rWXat4J4/4BN vkW50Y2UtQ5fvy2zxNBjB7Wj5TZacSnDBrbDe2599j50yvteBuNBNEeV4etkKivRL7t2dkvzp9Li +s/GdJtnF24mk+Gri0I8jmEXSKCzJuRpktYrtHDFHD55QU/kIHfEZl831reXGbADIIbujquu6qOY 1I8jQBMPsBIQF0Y3UNZaYjOj8gd8OMDrtVKaynZhkeKS1dABHveleNFzeXtlwDZxln/Z1NzZBV9+ UUmoVWCBAvqFfrboy4O07bwfVzXx2Zj+xTgWHoeSA6+ipoi2IPj592KQy5ZxZz7U7hPd9zgl9rxh J5Bvrz/2MSScJam+LTacGrFY2JIOvGUkL3QkKvhSrWmib/iDqH6GmSoVW5jWJ2kGumPMyIUTw9Yd Dg6M66h62mdUiax3frfxieTMLroMjCOiUS/nsOqwjxypnizRCj31a0kdc4MIX4RM0igyz8C/W38p bllweHSrs0lH/7zksEfcZ7jmhh0vdRnMolc+4LJELOgTlmnnmPKOQECLh5QS7jTstpwz5/ybMbtq VRFu29jMd9dU7l5om3IJ8HRQdHXs/HdVWQ3hY/TjztjbKexXyWzuQDDEbc+xP+TfLGGzjnrVvpC2 9oy17mZKcoifTz/ODaYkot/BiHh+4AX2ihZ7GaA1zyEBCvYemdRUThUOMX6aXAI3wIiirk7WZrHE V/LvAVY/+x4D+UOgu02lITFsRkN+bTFI3XyCPqkhHE5ap2oCF6ONBiBUydi8gAj25ylXUPIMmBFq A7Vtg9yjpuJPVK+RrhhQ+jlbaQv4ceSKPiJp1r/Cf73YlH3+IaAbwkMc8boWkzoCrtifDJgusnBt +msTSeVjxQ2Zxdn72xsvmO9URVhgp+inVUqv11DGGtWIBEESaytxAZQmGTOab7W9wNq4T0XI6pdE xhqoMGRLFPhRaXX4svsBOTcSNEDl6+ddHv3tcfBBkcapftoMSMisY+pAw6BHDVuX+SzKJNpq2cZg e/muCorRIYIMo/p8nsK9M0i5KZLHDK/KwePSAgVfOlBwEKgUFbhCE+FHu2Yw3DOFFP8oS+OttDd8 uECiH/EqutLmvud/7IYN/yxhYD9OCtc2x6czZvrS9QT1zmEOzHOlehsuyN9+AlVHDtYdkOiBTlO4 e2TaiSJsjhAccG81ylve5mApXRf8gyWQXsSgnBrWDVPkGx15XruvCpaFMoPQQkvOwK/7NQLB9NVr vZlUx2sD/nB4LLKm9JCoGUCYQK7gBr+7LC1j/atOqVOUtvDf/tsFvaiITNgD+3YTDY9fi0fOTMT5 gHvROEL4yN65FyWb03/zPxe7QlUi/ULNQOaq/cT5S0szuX1dDkjWjjcEv5NornZ83JGZrcP6c6AC KpMtv7Giv2rrOFRiifJdpRUOtiiVScTl238LSmosdzldQiBykT7kcqSDGXoiMbz2xYNN8Qm4fpjR 30KzMDkz9mayVC5wu5BUL153LA3yifwzkp6xLJbSHMl2iB2a8njFVZd4VdR49lEcaYv5zpSkdzTu y08P07S+IF1n8cjiL6Wp7++IGmHCxKiN4FeY/rkz7zu2Up3gDh4btMbkdg0BSGgXobL3Hq8r412u KaIc9Sgw6wngNIdouZoKiAkPzJiNznHTB4mm9yPEkFlxzIxp/uKwXFiArDd8mTCvCGs+yNhp6zUZ agtU5Yt3YAYB2Sr6oD52IKlp9o/76iNzs+xbMjH7qGgdi+NzfE7K1R36ucO7qozkzodb6qi33yGG 9MkHwmlNxhH1/zR1JM8eBkduvp67CwARivhLGcHTG19YEsKUFF9HhUYaBMF3csKo38vgU8602hBm hCD6jgIl7j7RAiPVO4Bsgf1dvyIpZGzfIjgxRQyHgyia+2//qRAM6MdfrJmioVPnVV5ifN3hAmYK 2e0j+e8MLzFBbTEBXEjeoXw8MmOBaE49dEol3WDiq7bTrS7dljJFpL18ZqPHOrhrmjVdvlw9zzZC QEhmcHgJ7CAOUU/Q5oIuTZLkX1wuwsuw6ZY9PKw/Q7Djm8olWHOpfvTrFj0L22qGjxvpgXomPXCf wCdvBv42p9bfLpr7hyLwUDaDuycn4JjURhAHKkTJ3oUCRKCvgoPO7qmUkWN1h1NYellWosGQZzHO 1c4AI++L35rOxDXxDXloGo6n3PZ1pxZYCnUmohQyIKLw6YRbtGJ3wp6APaUSahitnN2ftIq1ROBt /mHZ7V4n2ZBKSDlubW3CBUi0IECeThcrwyyKFy0k/wjqMB+2MLGHwOBURJScK1vKLZGrmR8fQRMi ENWBvMWLZ46llrdd8OLaY+iVTQWAjXl8hs12zEVhMlTQBVSqeS917q+PBMsuJz4jvfJ+VV0OD5XK jZMi9gB7BQMBvsoG5s16XyLp/BgpkAWAwb+5IkACj82WY1BeJifgpJilXVuyxgpdqIimA2z0lkUk OvConwH4cowuVxWHppqkY9g72wJIVavYJ4mV1JU1qGdB3EFCfwu3G8Ryd689Ja4g0kFrJImRJNGx vwH5qFhQ5cKFy7YIHaNw/yHZ8hIxbga1o3NvLr9ncSyXm8Vdv14EyOJ/XJxDlCgKEkPbtm3btm3b tm3ztW3btm3btt09s/77WmRZyclNqpsG8QEoCNMfjEpjtmOr5UEdlzWXro+ToZCrAj9KkRiEqJXH m5EjkOPxdqJw+8+CRYFyTltfZ2nXSmD/aFPLDA07UG12r/534dErCXk17MR78rtNae3HGIExTXIo z89ERLup+52xCqaiGBdgsLygT3ywBOv7Fl+xUnb3CznvQbTdtlKypJFrWwt/UW580dE8uasl7lVK zXUjeQBkldiGHkpUbGTDMKZTddo31yPXYju6Z37JhlJrblIE7zyDj1Rn02+mf+FWRbKzv+cYybxm 2JJ3/+G5SPtxUN+pqmiuTmGkHocDHcDlIm+j0n/zdVwRtN6HXCnA0wxaVGJtDMI5hKw/SgK4vh/7 +sCDXce8//7vtBdN1jsh5RBVLmqRuljlagPrenBto420BiDSwOqXqalVOvKrPZ60ZZepFRjhHvxw OIuiFykqhNqt6kbhxQhFIQB1OhytjeWvFxVBwvSWt9mGqJ54P+B4Rva0TEKh54X3J6R2HnfLr+od 5yERKEOybhTWjCEz9g6x6n722ypOjkbhtV51MusCLQROch2OMcfKmORYBlHGn77hcMmzM0gRJMZF WRYZh1rWvVYLwzzGE6N/XPd4R0Qza+YN0PQMPNNEsMheTG/RY9lY6/HZvcplTPWNm3Ku6Lo3d49x UtlV/8gzG1NSmHKPhuJV63Ag9fMdV8MqLSZkobUDXTGunALIAcst6TMgYZ2NHF4FWieWHHZ+Yq7D XS7fq0vrC97zL5XR4i8H8Mrtv73qzn6vss7QkMi6MU91E05D7N2AE4OfcHvBiuCI7oWROmwaltjl pHjPUcQARCjcbSrh/j48wnraWE8pfhoqMKB/cMpyuoHzSJDNuP0rCOHvxbmzx28YLc79i7RO1BaU rtmYR04eCvvL0rQjopCiHuuquLecRYzbknlmOjlD09/xNIpFY9gAJqQryZZqfvZgWINwtWMh+j5g g9eA4hiyKYrFLWndG5Ie9zg+S87EWPoCu8mHdI1oHW7ECkYdl13DHn+V+LFr4PpyIRaUqM40ouJo nZUqmd/9WrHXtmyMljToPh3GiRs/VLf8rVoDZkns1jshIszSkNsOD7ZygBI5UyZDNTAW/WSD5Wt3 IqoQArs/cyISN02ioAv8Qk5sCwVVhNEiAlOIgw+bpHw+SXWbBYPuPbvxrerpz2D+u4cU3+4BkSoC a9kmIo89jGaH7JXvs1GHY9E5VtMaGpZbn6excNh5D91mPie70EajvjXLbXMjjIGzyy5Bt52qXjkK oqGDhwBxlPkDxF3y9G0CG/7ffSwXvrrzEF65ld1n9nh6McD0h2Fj8tojkn4ZyFALK3vJcxszmK+h z8WgEBXXwpGH6/G20b2hRLPP8d1ehce9qXQGq4WI5uEc0u4GLt16TydwGJRn6yHuYM+XamvVO1ZI p9eXriHU7XcHewPlxNLRO37DaMJ5QCgGQG9bJLx8Zo/23d53fLyHkt4fqSEGr/loOKOPDmmGwOpA DvKBSpUFi7l+mNC6R1096z35VKOr9gn6qP/2ycWcD3iiUz/QnJXay0oEAKuqlE2DjWf/JawVFZpt SDmc29hb6HOmHkfLsbx2cgW5IU1Kha07NdzsXGMj2JRYMamdQlGISqK4nldrjzZ3N9NEPHAPY40I AZp6Wplq0n1jxr4b5cLdlgvTUn2eWEVwcX62lzm5QjOy+BUcYdWmAK9BWoLCsp03CJHhrx5lwQ3N LNk9PnVCV7TFTeVR7Q8pwh51hL9LTuFq1A1GDyX1OaZcaWRblyoVVb47I5ZD1g8o185EcfXcqKQE ZSwrJf3gOte0dkAT0S1vtj8w/nAwj62i/5cHGVmwBn6qGDlobxzVyiJ+kbYgs7j+FP/qNzLfJ/23 kw6Ua3A3WvxqZ8iwvtGvUUTDPu4AaKaL2qIUHoGCAI3ps3OSep88VgHDORD8Qs2p57b0Xz4rIz8M oqLFah5HSCBJ2KH8tPckGAjU3JFetS32BKujJf2//GZJ9xqyqTnS7rOdYoqA86Wjrc80LfVHQ1a1 JKo3wy321Jz2ruxPbWirECHS0om3+NkByxFt6BJ+OrhFCVUMiBejDXbQMCJDft+HFcnWEV47zH/7 yv69rCzGqoiKesjexgfFamFBjFo1QByA0/35FhXcCsr00JVZcuTtoP2dJhaKtNnR77+DoWKDUp6o kiiqOvlA5GUKq2UOXimJCVAmHsWasM+mTl+j7McVgPTXup76OruIQSmnovas/iVnw2evPgEPuCXo K3tVNbpNIlvMLEKAx/f9FIcL/Dj2pU1d/SAlfC34xXwEeufq8lPdVQl5O7ablLNo6UvKoxGiCyMS T0ZJ0EEXSPeCUGgXxH1rf8W8IiKYknXIRpWIIVgoxu2shNmkB98YDZwsXUs5lmEz1J3G4E/T74gi 8a45RqP2I6IyA5Puxnycj7Ktvnm8qDrL74VfDk+JyDNvbiOWnU0CAeXs+fEYaZVoOw2RbTw3yPSy TmZSe+gmIBOAwtlUVzEd3KJnP/yKApDpq4UzMSWXaMVSPY5nAPznGA0GJdnxQG6zSbbJ3/vUK9MX t/ax/JLeF0e+icFjBp2MCyFiwpBwYNc/lqKxS1v2np2JTcivBsOTWZKFe4i0NZjiulN1bBydK82O 08zkCmHj8U3XPloI7kYPKpAoO7jKxGXZCrbVGmSyjO7GRm64y+Wm97QNeI89bzKpxOcqC9p4CGhx YdLrB7xNxyGZGbcrWDY1zfx7IuZGP2D3J19vO8yM5nMmaqRjw2DdhlelHuzjAWzrVwaf4SHoxJqw TFCQEyIt/hdRFd8j3+jZvy0PDSxtofPgJZ20CAfbP0AKp0ol2OGUAtgh4TQGIc2ALSig//LcM4TQ kzFYovoYgXdyo5qQDRVCne5fhuq5MLciS9Cv+izqOY+nBQGukJhMSvXzplKIA1hMQNpJaeWlLsy4 PRw+lKJOIdiZjEIOpqg/N2qGQKqmfYIK4F6+dXhojXWQT0jjDaGjbxM6IZMJJzmxF6PZ5GkSu0zs 3hvi9U1aVVQnUnk6DX2yLecGxWQXpbGqeNVMYKaIdnJ+0XwdiOGyiS+o86KKduTrCBSCVhPDUt+z j83lBTiqMjmE6eglMYWTeMmfniKMQAp0EZ86QI9AhkRYW1iQzijIS97RdCgV7Zyzs615b/X04XlE qALp4MYgPa7nGk7oXiJGjTjiRhpr3Zq+/exbiXS0dX1w3OwNZearzTf4R/w8j8J/BuaaDSf9kw1H q8T7CFybqWPfsZ0m3+ddjRxY73LSVV/HHhKma+D+SfocuJ5odTxDNKkfrQsZ9Frz4LjRlAnDSM5D r4Jz1XX1nfjdOSikxeTTJREBkr6M/TQnEGEzGF9kYpsx5gC7l1nQ42fZFxEAExmTiEM6b/uGz8GK m9kyi/5kf597tIguhccsJ4NB9jNwBGrC9BpUNPdUjW9AI+x5ZKdQvumy+Rz1NYzYZ9mDIhbC83Uw DBsric0dUY7pBsfghmu5lV98tx/HTfsKlfGfDiXmtSq3gHxJqZLUsZDjCWvAjeC2Iialt6GAs+nv XJX7sMLMmLXYqRWNq1MJm/beEl36e2aioUkMWOaDpx3qTWXUFpS3dEVnf0+OGp98ruhCP4YF3Vk0 LPL1J4szHCb9EJ32Ndeal7N6UXNlUhzp1kwL/FiAnUVqj3wl2VJiw5lowGDHFG+WVMKNwRt1KTfN R40TbRDR+FEJYuiN/sXTALBmMcBLDfkNi831JXLFYOh7tDPSalyDrRefGnLefGhqzP3mdaJFkpaN 7vOzVckLSSzIKou7jVbLIHfVElMUKGs8tgMQ3PGAo0RH3MAjhKgSFNBDc5IS4cfeyIuthAcOAcwd 4zd+fkAXnIiw9pnGQLZOWRXCX8IVaJwa6NW+Im8lo2SkC8eslsXvHH+EWtl/ahLNIzx8Ol7cuYQC 1KH8AlFU6vlQRtbqcVBFf/QUvpqChWAabF+yB9lEdKRgKrEiM2u+dRZv9Rv0kKEmLajSZTkpZSAL DfvST60jn29Hk1pu5iYFXWfXug6sR5DGOZE1HWZvZwzSHrhL8KlIjHAh2nyKp4zLi5lHx0nV6A1A n9x2oPcNTIrDnQ+FY/JTrfIqDweFwDTIJ6uFSMNRo0L07/bGXeEGxmgmHSeue+7fEwwbGYodf9V+ XS/QvvwIdajqNqTFTmTcKXoQU6Y+r8agTm0w3GH+HaVpghlplJnfmfplNf3APAL9rrNZ1MbgJlZP kCwXJjs9f0rFwP6pxbMdmJa3sI9cne7JVOHfSkA5f0d+5TOiuar34tVobDTuKJJpyuDlNgEr3Nao /pzduu3P6ajJiXVLXoM3P3I6ORbkYgf2OoROxpnd5NYaFyIino/Ylr4w3U2xJoi2DpM960ZJM2Ip xrH6tS9nJFk9/KKuKVmxKCrEb687c+FE7LxpVE8GtzkC/Gim/KPs0NmVPpHJcEUxp0IK8eaKr/nc J6fLmcUViXSOfwrjjTiqRqsIaHLRIoYXof908hU/hT0a5L6n/WemASUGu+NEbUAlT9dY4n8BM3o6 vZTgMn7r2H1TdBEFekjCjXmCf0hEcLdcbDAGr9kU1DfgoQn5EFjaI/fP/joaKOrPg12vwkPU7w3C 8m4DFVc32cvmlTzo3g1ILDUjs7HrlEg3me3vz2jv+BNa2AZVdwyolViE/ReBzbhHR5hWNIxeGF6u cjhd6Z7wByTx25xdkYQZ9/M0wOWZDikMHML1xa2nsFL3KvK+dmo/1R6kFHZsq0ExiOTIBfWK6rOl rbTbaWqAvKtC/1/4SZICHSNS1DOL89/YMT7s5Qy+hfxJfzB8g9hual9QYwFWc0YwqwR/XTvAkDMv qrbaoA0FX0u0JrSOURJMN4tywaNU6MHZ3fDurDGwpGs03S12ElT1zZsuRhZFsyy7jitolJft/hym rRJCvDzWhhJTecGAO3Z7JMvbfvD7B76Ax5sZ6MT7GjL7b4AZsiBbUfB7eElulimUWT0/UMrMu5Q8 vJz6dShg4+MEHn1jQ7TnrCydLfoy5i6EtTP6PrerIaOTuw9qSDms4rIyJdmE4paEc/rh/uf+n2hC dVTnHXeSv6KG65cdIxveMG5XygSUcBgm+tWbcI/6CWcivZVztxyNtkQz8AFmT+Tekua4VusYnuJu P13QKMj1h27ybcwkSyN4mAahkLfY6G164mIoy7LluA97NsWVGXUsgiIrInnOCIqtchwhHNLy70BO F5970ZYaKy8UvQ3L3DnXcISAmheoQTPS2gdTJQD8JUDVf/WTa4znHsX4KdnuDA8v+ZSBbqUqm47z DrXSDl0Pj/lKwhA/GJKuF1yyhN2OoOW5ecoQlijklkUzLE4JXjvtHwlXZoKzboC72vKOrp49jDIL SzT+3+tTmWjRnljmnySuuYSbVICBNot5SIEBD5fpF//hpKe2XtBtiPSG2ixfU7P7JheUszzG4fKT m6iC0lEBMdFk+H/BYki+qhddl9eOESUdfnqni9cWrw8W4fP8ICMGFBr8oqDsKJ8ivRL0/O3gBBzj 9WDnwkysdwSHUtQ6K0oQ81vonn/vMN9N2mCajAmyos+j+JgGcQs0gjF12IEGtRZcE/1q31DlVE0Y 5vC0pLk4irDdYHEBNnMSmvADyKoRoWXPz5NH2NZFjXJyrgCTyxeyXXkQKzWumYma+QZcbetKAjKl m7NRFtpVwHhghvszBFJs3yPGbqvxXg5wzaiXfty+RiDm9SuD4NUwgiDI4n84Wvf4PaOGiiMHEn4Q rt4ycEtzgkF8j5SDFTL1FCTdvJ+vD8sXC2XA2EPVl6iMGSlwWIABp4b/Dvh0eoYHRVCfnSv4qptY FC0J48+aySrbfgbgEar08wEkHbI3mVIuKGfWZ1Odtcu8FVp/mwEC+25++fyXv2OTqTmuL0Z9ThXN 8WZ/QFzI/5ZQiigOt5HtqgcZ8vEZN8BI9OMgdUj9kXlG9EFEy2ZTpIYtH8IJze5we9hanivAMbNT RCcp+fr2oDVufu4hXbQoaPfVHQxNndY/NtaMUUgvZaWGGBizPbKyfrmi+VvJsUTa09NDRFXpWiGs XJfD1VUBd85NKp0JCekcoZsZFSiwCUGlHs+zjTnDJORr0Imw9v3hjEfi162sTG56d0ze7NLeJkBT skd5aO2sCBKrboeT2d9Vj0MeLnZ7lWz1WZCW8chVINZzYKfBGsBxC3IAA+za4oevzpbdmIl3IkgX zlfmhOLfhoQT+8sZ6C6nizjQDUnShx4QRZvUsb5qsSr+snqodCHnTjUHl0cnE3uG7znKQJVrI2+l AMRBHFWLnhR/2LMpZddbVsGtf3uQM5OsAsPuC1H5nKNWy72R+RrOmueHW4i9aiKPpGZvyJPp/F4u vwvBVtMPkjDC0TcN/QOyMkeEYUBFNGV1Nt5tnjVZk3Syr566jmVTE8dUU2B7fSZ0D21ygQcnaoQz KUJTVi/YKFkmYIWwSHB7yrwij4VrGBn6emm9zpqUndArQ5aZA9+myKWccl3f55wA9oHRa1y8eZrp pK2N+4P4Nch7X1FS+HRn/5NBCTctwBELDqfTY83rDFSgElzmlX/uJbuUr+RmI+lnZxuS4XLLlIJ+ xbUBKIArYxpVBGFOWfaEJc1V7VtW/jEmxQ17KtuBcpNw9pHRkAj3X74uwvgpXAJNL8Klq6SQb9bw uJjuAWn2jQZE+1yj8JLjy3mLMMo2/WmHWl/1vz5qRux25FGz8mW1QIyZDIGa243wiCVPe8K+Vrh2 C9Wkdb+dzEM+DNFdGmAsH5psXbbE0XbKMiTpewBVUvMiKjWa4P5i1CRDsgR4ak0R9vogQ4tjp9Vx CSMdyqlaXswTggMKRVAXa0qP5Bzpo4dD9ShzJhkgIf7iEVWLmeiJHfaVZulnrvPjy3hlol0K8TkE trBRD8hiTzkR68+mZ3o5yVdi7KRQefTBWtdAJIvH8qGdUfJwNKDJ/TUQB/iU1FTsOV3o7ivzaulN TNmEvp9ksrk4yiQAD7nOwSEOllweZLSLEdE1MIMy3TuxzkxwT4a8nNGMXCcZQp0QqGiorW5CCYAH S85t6bdwfKDsrHjuu8Ninx9G3FxNWob8Xc0UoHInvjlP+3esslZCf2c2xHLlXYowu9YJ/ldNcHwD 9A23pfdvZhmfNtTWqhnXF7FN/EjxOc+FLpEDWhtHJRexej8FAkQBXENZTfYmCHVoeOp8gM0zoaQV Tg28c1x9xnKzKny9QGcW1IMjnfdR44VXv8VHp/8+cw1jRK43QIXOd/fQDPAP+DrNxR235NNI3Qsg NpeNqC0fd6hieF105TT+W+mWTmsgWBmQLEG1E2QiCPmLvnYh40NQ1eUXcb0z2IK+O96iS1oIzD0L aILfoTGjVZ3ko4nziXHxVBAhZeKP76Rnj0gV3i2nlzuO5TH2kNaZOW3XgSc77zgX8k1LiB+wxQV7 Vgoo/QHMIHgsgu9tRlkYg8Qh7HGTs03RwINBIoL7LPbumwnzy2g8r6AWfLVZxfB4/7kJc210lfKT K02dhXIMpq/u6+vPgyYKHsVe/BDrNMiUy2UZPy3FnIJ/rZtUpP/utg9uin1wivF+ZAH11079GVfw Nij9G9wvaYUmakBkBKSLV7i6W3WwCEdf6SmE21Qjyx8AdZxJClku2YgUa15Leo+jMNCtRJkuth6m jp50eYobYePVTptUr+fxC9/GTQXX5gO0hoFaByVWbP0pKyROydAY3JgvGEFezwJuMzVuVOyjFuZm NuA+fggT3Vd6WDOg05M7eMi6myPNiSEu9DjKjSxu3aEKB7QR2rND5LJikmPRTfvg0iSsZsEfunMU We07U9XxIEjNNPTDe0VOEwL0uYmxap6jFD2KE9Nr2dEGcpUuG+h9bYYmG/OeVCfCg/vrTPBgH/A1 8FYTR+FFaA5sXhmkkRhO5QnZ7RBJRALqTyNcFF7sJTZUS1xK8wxk4Ndu+AtWr98qbnbVu+OMr/NV HwYeMnUoWWkf87yFsJyUetNr8qwzkHnbYnRZvYHOUvT5Lw5SkJvfQsCUh3dsIWFQ/t44GhLiFkXL E9vTNLgDXc57bn45sUxL+kg8o9Ho91V6NO2fT7z7uhGV7KqPshMMloQx6AK69c50KSCyKVhQWTRg kMHiOQTYhZOPbvfj6NtgzQapjHlWKYytpcdqpjRFnS51Ducuht+G5t4zzDedadKHoTSAjfcH/3FI 5bVV0EKrb3J9Qr7xcobmZimJrRaUhd61Lhthe+d3AK9gKOeSXjni4WXhpIYZv6UUh7kkPQLpoz4F uroQdAciF2sq0FlrZMz9EXySALtzvKff5xUiAIz/ZmZdBnaqDG8u8+zfZMvCMMou/Y3LmvjbSSw7 tuBlF5pk1vT3vCNeX9uq3pZfb+VX+YCndZkGnR3c19Dc9TgSIVOobgju4uuNFTwboLE2cAUPNpVq lnMiRYalfvW4ARuBvNOw1rXQ+mZ1HlvgnvgMDO/iN/O0dh0NDBBUbEjOvFKz+vzZsQzB7NR8d3wV bevkRHAcAfsYL4jFmNiSzqPXXLU+GJg2WeTC1i3P7ZsuP32tS2cUOyNNZnQlMFJ8CwU/vdGE0cAr J/eAq0VePXXQGmvK5OqThNqq81FrxG/DcQIet/jvb4d+3oKum6dwy55MpCyC54MSNZ+tAgdFPPjk aH9YC6R+zKupfO0t48bc/hYCoTIAw0HO2RLwMUKB42jxfYDryECYa9INNyl+/yjHza9RWzPSqiVI yTDYXp3vDbLWzRIFp/6ietDyZVkDMxztohbK4qeezfmKqZrddlnFQiZsgvuTOagBi92nZ7JJyO5O +CSiAO2YHk1zQzveghe1Qyg30TWcjfZSlAWOoSMMAfbTcXB/MKrIYyLu88PILGCy7b1P48BtAS9B M4fv2UwkaU+9MO6fyHxwMi1kqw99xQF0rAD2Ypsbu48u0PC9082nCYdtWarunZszvJ8kwotioDYs oNGeYarTXiJs3s1Mn9AYaNUcf13F2FgcvSbtjo4SERNcYh7Vx+w7dDc95yGkczLqj/lcka9chG1r RfCn0Cu0ltRP1ACFHiGM8xmP6ZfuV6DnnNIoG4VE/u1Kso/E1H4fNJjwAzMkTj9Cba4Kbh7OkDpJ 20Ia490OtFKLMappXQ9k6FAgkw6CjuGLVtnn51CBqtoe6Wr4Y2PjbZ70LC19ta5bZUhQaH0o0bti 06WjO2lHQ5SHA5hj1FvPG3B60iqR1PmHFhBEHqepq2DfyBNJ7sGkuFKbeEGbOZcIi+xx/JODbfoM e+7VFBnn4VDxg3Q50Dqw/842Gu0FA2gjJsmnjjmZ6yxFRullWjsXIIeg0LK6CoF5lxSgYR/97prG EjxwMJtwIcROXa6bDp6YLg3K1jFN7lY1Z57Tu+OVm+sep7kLwFOMBBQGWTE3/LwXa8jSUZFED5u0 3oiPUk/0eT9JHch8BQ5NpF/28w9QZnvIuIJQFtvl/BGpfHTXH2Y9x6tJ76Rj9+w1L79gOb8eEGAZ aEjo9GKGtoCeRuzT146rkcflmuw+wAa5fMExM/qePUK4fZzAUyUMpaKK7LZr2SZFQJUKAfvg1rpI h28a8qkf/zbmU2ZCneaJU18R8PsVSkLXqjEu8isY8iBMgJRNRG1MyIqzsY1ybUfboqKV5sRb39aK YYtIU86dWxbO9E19KPvaXkFj8ivYUHbGFB5wtT5B9BsO+kvSzEKf0JUaOw8OmaMS6/nOEg0ZzeSL ofw21TDeYAcsC0yacCDmUvWsgQ1vsWFH3D3xkdf3SI8lF/O5MFZq2rca/7lI/YY3zAt5YmdRO2lH JAlA7hCPHZUsjsyDck9smQf7DdYDY5yd95aL6CNSQh5n/7R2+ydrr9Wc/rdzdEDfki11++KY0bZX rSHRLA8sPQZ624pPaEhTOCJQO91jStbqsXcdclQQYRtA76Kyck4QrK0gkRXfepDVINC7xeovsDqO 1FHrP4FeT6P68etTtXfgpJA5+4V4uAJLUpoIY+HqcJ+izsH+RMk48bAoHSb1pISO5CplPC+1cqdA You3oy8W5wxgEnfv8Iy8/GxEcTmMQxTQLoRlh/UT0NZba8ZLd9Q/idyc7foIqfF/MNeA/d8S+LEx yek5VI46c+Hmoa5EJL9XljgYcrNAO9QnsvYSUkhhINYorzv7qp96JCX4e0f6tepBpytme6NqE7Ic 4VPwXCXa4zw52xt3SoAVbA/bT+poeAszpWE3QCJyh/8WirKYenabCdYnMzAFDR21RnvyIC8pRSD9 EbBTiLHSd4asP2FquoFfevg6qLwl/IXNqaDKmDzVGz45UhIisJeqve59Odo1H2Ka9/coc5u/RTwY X4i1lG1tg7DJhNVB+YgcnUcDtUI2IlI4haDvXbymU9AIjkwtvqhR0MyZAqrBsK3SGiu7+MV+Njeg HmVMSaOAJuJf5rkS3YRX0RwXNbcBGnn9W1cAtWboMqgfcdIOCIHs5vFnM1kuMd4Go3co1TjhDqox cO1OTaHxuStRbKT2jjDStdpJ4+LLvTAiWfQpSJaWb9BLnt2H9uqI8Rg7NwArjwJbgMkH4fM5a7Tv bo5AZRj65r4CPYyrAviaCGQD/d6fM6EhjObv7XtB1ouUr1IFYuamzXOwQ832ynbTilHRMfr5Dim+ kVl8U7Aa52AbEV7fAbBWsjd+8mBeBJmvSdwFYof31iOh6zo9GozapjhEbPtW3BdOwdz4BuxH+kCP 5Xnvqb2cHxfWVwswIFGdEZYZL2hA9pEBeE1m+7XaCfMnFUl1CttBtawIClfvY3LHfH2QtC6I9NuU BebltiXo6PRUVTKU1lJITVPKL1cG3Sraf3w83w0+LqeOlUBt2U9BYvdYmsv/tEntNZgToHiZ+Txx AO4o4U+F5gIfn7Sz0eRYWq3kQhJdKZu/9qToh65Dyh48UkTiYdWaEZ3+1pGOoKDfgyt3ueUlACvv Leq06n1AL4JR3TLhtoBsY6XQN4lOboCCi2BsoikjBGAdLiMgwmtpJRDwET4qikLubJA+H5KfFWRn tjIrMQrX9+woFa5CUzDbU6+gsjxhW4u+G1TTIu2wrbps9fFiGzUu9Cgw6vqlU5g8dcoLvyNQ8OSV fh7w9XSzYTAkK5RTsGL8OrK/igi8QxXcsreIBWTKIFJZR94P5hUQD5hshml+d6ayx1rJHyBD1Ag1 QLK5hiwKiF00OY0OV9RACB96hn4rjeL+so6BTxv8xLGboCTUNz4fWryYbUZWtT7UJZqIMeS2gwR1 YdSyihTVqMXoITZjSi7EOLupJJLntbXFfEuWKrYcgm+n4990hIpSjQHxB0efvWUjDldH36pSlRlh 216/j0MricLBoIqfDzTehFAB6jZ03HYv80bx/k7A8inuYWUqnkITd/3NVESjoR8khrGOZMu4H9S9 64hGA4Hu1sxYijdPGzDG7lIExfB/YgKZPu8y2KmAjIjrwrlI4LOBzxZkMOcHfeqYXggqzNGhLDA/ fEcQZyRG3Ohp4Z8pj789b0UVV983M6IgmrUsk04OtVnPTBj2Io6Bbi7ZX0DcxGa9jJkYgQNdKD2l A8uZ7OhXGAXrr8Pp2NwBfyTBHrdnMq26pjrjaGwDTjWjC1MOA0knSMf2AhGF1Ryrc98xaA2fuDA9 puNuBzVAA/vskzUh008OrohTCph9+IX+CnUQRJRcJqLw65hHadIrsU4hgB3bk1STI/OPFyA3lFxw Ki7p2Mb/OMUJBAeyufES2eumsOwGmXXEWy94Oxg/CL6H6THlNyWDSYzrUkESfEs8nkRIAZLMW4on oEL2ngaYSDZYu/M9fiTxpugRFEXeK86jTka2pOO3jGl3QVq+saHXMVA3yExbofGtKE1AG9PzTTAY 36+HUF/GEcYJWC8tZyb7tOsUD16I6L3f/CJd1JQLOGpQO5almC0bD4hs0vTaYteEFEkYzqy931h6 50iw87CXoHVzYPXWCKU+O9f4adjP4zDuFhEBl1BwM43UY+488OAkDfxl+PFjN/nuuKGcyqNPDuQh wwLXM5JiP6w50T74wlHiHym2vIMZH+cskJEQQyNgQGKbfljjK1yqSxgCoQCCf2RDl6aSjemtOSOC 1e6N44ssb7HJYJlhOpM0ZO/0HpFUOnpyi9u2CJz5lDP+Lh73O2vMUm0v9Gw/6HG061ymbXHQMAxe CryhxfXMRB6shpngIevotSuhn4RDGCp/Dg/zgagI49KWmrfI1frO6O1623x4Ckvr4bpgvE9x4yNF kWAuExvYe2uIDUPDyBIsMXqx1IPSdywSJ049/3vf8ah6suySyIWHCWJSq/WvfxDIDv8MdsVxEmOz PoJ/mHngXndjyBx4AJcdqBxEXca3rnOyvoaeCkfzLjsVPP/13wrzz4IQ/ia2mbcsCFmNc2oG/NTB YrwCm20Ok0o4lSakFc4peQmlyShly/1kcBKBzCURSfzwnhQwFNXJU3scI8r7yrZ2ObdEjP62CG0Y kiH0PPa9TPEz+rZ564jlgSIs5gFrZCjw1N4Nz1JeSJDbEgTuV0hOMjwgpTEC7SOjfHN1n1ZnCznK t+bv8wEQgr/MJMNnNJsAp0O3EJduWJXIKUUMQxK9L06Ta9Yemk6Fa3ZydqdZPSl60eoqIwky5sep tB67AYaHk84ruNKbTmGjnKVZBxpEM5xl9R0uj2ufbx63QE3c5vo6+MJdKMDQzxQ4xrmltkKQE2ZB ahBGLGC5RMpp+P79rvWoww1rjVeDwp54PDdZjLi2qIPcNl7uSsOtSSfSHKKU3XSxXeVFtqHB5Hg5 RXC29DFtp+DdkjQ5NNoCj6Yj0azmma69KaD4FJ1cTQJFtN1RAtVwHtIK6+tEtDd8XGJ+sgn8Pt5z iu4anBEN6lJtIeEAsWOHqg1u0020KcXSBqV25YLhfc6G2GJyVOxGF1FGL0q/TfAZF7cMpZm8k1qG 38YER5Z0DDMOcWvwdDYsgyjZSUNpbuLZLhKxxXXkbknyKKMXRdklog0iQrQj13B58WQ8DVe6e0e8 l+G5Z+8XzE8UVG55o0cvVDYjifLeGSSy3d0C4MxokaxT4il+CzbC45uGUUxc/jtkulYNdYblqiEv /ipf37LtoMu6AfE0WJJwMwz9lF2w9ynMYplqHD5i80A2CUjZ800xLorRj9zMq0CAp7Gzm0GRogNc zUzCrVhuyWYhKET/2I4D8cz9At4Q4x+8alOYC4ftlKNdIi/H6AZg5wJAjLwd+FxiMoE6FghBmkc9 E1bvOXeHz30fyt6RFbcdu20qVBgAybDYPbCqNCL4wNg0UuHY50yt2Dcw4TxZo+jj/bOn4+3Tvclv MwM2izLYBOZ0WYrQe0b11A35Kuk+Rak5aV/S8lbThD2f//dP1i1cvyCad4IEZ7aqkCHTRAcVIyQG gSXev8Mna1ZtBo97vI2TYGkeVy3Mybd0eIyor5bKlkgsmoXsXam1XOI418qIP2LzTOKeXc0u39mI TFC6Um6UZbvRzmPmnn9hPMHkUjgYPQ5v6CE/me2D+XgGIcrUdO7M9qLkLfIDn8+XzpkI987SkS0u mHAMut6F53F5uj9GTpLbVjCMDssoT5qQuvGIK4yBL1HO1M+NpxOhj5/69dTEY1TYLmghyqe3R/Ur GxmqbVZaysnOR4NxLSh/BSA9R6FafQz1VcyQoxK7ohMip49L5vblBbpz1ZHoT3OjW5betGLwYYw5 dA5FXnKJqnisufyYgQ+crIGCfvz6UFfqr1Ra0fD4TcaM8tMeKegUBlcqb/b5FF0e5Q/vb/rDOdXX mWC8DZZh14WA7R8OXMc22VZKrEBLsHDTLkguPNx84RsjmItcT1gZXNCjCJQ4cpVD3GlrmpOXQ0wz 6TyW0cPUkYQ44Vu7g/ZK4aqoisDAUpRDBIKqQu9BDRq4gNwwZSGOjKhg4A8spJdIlg1s4k6fHFcd mBGvOQhhMuItYPNHJKzkgsHCBsRjSguKmQTazMyQ2743ic+7k718NJs/V99iaXbn07e5HHzsERpn xPh/mmqbZuv3zzYvbbYjish4cjEOY21/NJ5ubwnspllnUuQnL3EdD1daCIW1z4aq/cfnIqmQpmXv V9a3oISv0iw3qWPo1KZK4Thj19MU7fOaSH02u1fGxuIhr6juSVcPDFvP1AD24WG1joleX+nBiwLm REQmrTGBUvoyL1LpydM542244rn7uC0M9uzMcXR173DyHKNSBHDKCYrMtCIt8r7jTLcOdui4aEkw rdamMhUV0XoXV21MqSDItN55JQev6cFvcn/FL4/EMoiyFAXWTlqSENsk7ktAAkD9W/+UEs/LJL+k LLlzVrV/thNiPiUDlG7Ef7HbkwEaPrcB2ttoX5JRgltC6XUuzZFb4+9mYsmY5AHG3lBkxxZsXRog LL51WA9Qg8p7ZZ6iwSY5b2JDOTy0w4+GnLoiTONjtH9WWW1Ncw3OhXxgjdxBi/neKCCg8jKo83i2 pDsRplXsLA7TD8f3A/dnSR15vLzZZ2a2lrLyzAqY73gi4v6qrdRms/ydA78ucLFY1Rnt+qsXSgyH AyTUpZD9lBzM54hjZom2lc+uFG8D6F3rcHuHvXV7pBCYwimBEKZqnqRSpOUEvQCAX9+Ov0Xqq0ND 5mU5gzrQNE/GhU1tNKab0Qr+XGzw7cLI1klrryiPYYFi9xJZ/2GjOdLbhe6STFuZq12jT/nUkQxJ zxf3MWv6C6ON/pNhLqMgLp/mG1PjxWilFyS7Dn0yfbzf7NeHz/+Lc01OiVwu3UikhjAeqb0KnoKI bMg9lqEfn3QjE53dUZWMIIC8Q72DtyzK4vriNb/7l2el2jgx7lda572fgDNTkd1zN1cw3pgycsYn Ci4GGH6GfHYGUMdHT/lG7jaMyYE79Y+tbnQXvzPuEwZ1I6sgRynE+jS3Lvnmj6whIO4VaAxoYyE6 GjcllIfxWgRK0dS/UWrrWF5CChiBW5JL9WjeGP4XDmXXC/QtOFuWa+4W5AQxwPqR8IwP04dL3lP/ 74sfR0Bn7nRwUQOWvtmMKtnzAA5qS6ARf1w1UpIcQXlsa1pHO1ngps6Ui2F3W3YLYISena0nm3uV pIXOF6g+1Ou0I4Ew0sqCoG+/BdGi2r06fy44y2VZi3xYglLCEuqTEMOo4Qt+bfmJ6e4K2m9qRkM6 9UjFmhATqqDTKqck3JSmaoYKk+aZKk9FEaJTbcKFFCbxCJ43hcBQqzoh3ZzWMrsdttSTrXVdYGTp jrSMwJUBuzeS+lC+bu4fAawS4Sf42h8ecrtmE2Zrc78rO0rqdiIMjKnRPej7+rcmNuWFPVP6dlE4 UXu8A2gC0uJdb1bZIEsnI4iiVcOnwUFkLq90JMg5xh4a9BHRwBLJPZabf7HOluezPnILK1v1tcuE mpPchqOQ862MXRVj5EsYijWJoj9WbGOkmTGDI4qrXuKXWkctH5DAeXtYyoXNlL1I04dJPKvsJo3l THnDsjAhC5wjNP7WUeGY2nbAjQgQ4gPEvgQ51BDmVnFBtIuM7FckH2ccEY8ES/i46NIW7clCFxH2 YNVtQcSffOcU8yFRkcZ5T4lIapi/CJ+aQs+QnYD8+Js3c6YByEnRVpKw6llIB7cXOoNx6qYFpwof b4l2f9zl1B4sEWh2SxCPIueFn6ZUhMjUzz62IIHCbIT/OOnKfl55zB6KHcICa/Yc2G2PQZosHVap /x4CEMFbqvhBa8c57VJs5mJfc5fhbNZIzKNUqPH5ENCow8VCiIxuxndEkwguoTi+UvvnjnUgEkUC 9T9lRz4a71JEXCp7Jfy9lX8EIGT5OlT0R1s1htF3Sa9vojZq2Aq/rpWZlgmC1KLTph2xrOo/WEva X/q7c7ahqqjRSBAHYjUTQ4pKPyVdRECSXKCLbgZw9hyxaRhaYOcxGqNw++MmgHLGNT/oEadjA0gc j8fbwaCgZKwxnsgghsG7ZgaImgdc75mMltwTYgkh0GX0C2sEY3nCLzISZYQpN2xM00n65wnlwGfw WvbcZvPBqqGVVkpkgujc4n8s68kolJtwFHCOaocRrmcs8Ihx60NCCbATQom9bkC6JcEd0+cBoFhg Wai7Z4HEeX0g9Q+MGUBZFmDIqbGl8rFgVvu6aWo/t9NjKeDhAkXlqz/9J6trDxiCp0adoppZn+JW gvoXQR79k5u3hdrdbu4FsbDx2RRvQ+SqRjsFNOcxcjuvvFG6lFVHZj55QAiD8MqSGTWeaqW1EFAQ 7M6ZrZMiRlX2RNgP97dmuyugZHP1U64OI0g4hLbX7oRfuQt4iXsDQfd25fJZzSX2DoAeW/DWO8U5 llaUqQielL1KmfC9fWpvfrg98nLM8HqXPvE9OYBELR9eoVpc8i9FvGcTbvt7reoRHpdTsgjXvWBw ovE0ox66/6j/xFBw2u4hsw7ZVMMBajOe0OVps0itwiNNHWk9VAImhfPDYAc2jY7Qp1+sqJ1Bq6ZI 6IDWHaQIK5eJtptk21KwOE30hQ6aT2puQChm5LvHveNkFKOYqV3LBYGni78u1dZhxW6MQ6yKLbXU wNbLtCxTvUHyNaPXcL1StvJuwp9fMNmJVrgnn/TVu1lxyu/fenDarsZrxROSKOqCEGGMOWKKUypS 1mEu1ELWOBsAYE/4VAE0wIvyzj3abXXVQPlzj/o8cPAXRmInYXM0qnQSvX0wW4hkjhaypQJfkk7n R0EtoifBBGrV7ZWRpGD7gWRAL8dfx+1dpyY3U9b8NQoNDJgD7BU+ikV6NqSqwqV4KH05RpFKK8H/ lC+bHmYhOe3N239NFLFqsumyjA5bBf8mQh7OpM41TiX5nATNQjcAPZQZg3hWvfPn4Wfbch5qATgw sLh9Gs+WKyGfvV3lTQTtvVV3JD+mQNe0mbt+z7w2Et430UfUGpTzwuRmuu1AQ8UdnI8iCS+ljqgi y/taN5MI5M1Usa9ZaYN0ob19JGELlX6TRlo39E4qxvPIfa+H/mh0OgSU1fMGUazLfH4itjp5FWZ5 pdkaTUTeb2Voq+BG594huX7XH9hLAYHM+Q1xczEVkWEUQdQXuGfDctOaA35lutRmrzZMTHuwnTLt KBIIWyV7U3Ki68gH222kSblmJ4LsHoguGiOKKgg8Xg+oeQJvpH2ge0myA4Qk9MW47Y9rOVbz4cwg 4fKoFIEO7yq5cnUjq1FuXtOhLqppyHExSeuyelBkUQnRx33v4aFxkGf6Itu4ETPkyqNJ4vyY6KDA 5TaCjDph/lGGvQ5wDgRU3klEw2qRpJOVfwnksewYUM93zItfnK7iLz6/N8dQG+2pvMDDsyVV83us VDEAWK/uPcaHyXuk0XB8QohItkcVP4vLHtNuBkSZu5T1mKOIpkUKWd/zDb95dKr2JzPlFgwoRwn8 Ys8g3+tudxKQyjFkIH9gbx+LYLR7ZdEwp0RlgNhL1iK8XQhKt/NOpfGljagVY0jZsWYfcSS6XZey 33BD0aH/MfZU9a1N60DtPHsQxXow9eLRjPkcHDTg1om6fLfNdkqVGky+InbR+q0yIdhTklIHcBXU SE91Wl6eKfc4vrPN0kDUVSpLdu7QLAHmCu/7bDllVznkOG0Uy+PGoxmTHvmcUMv7yZ9YptjDln9E z4XJ9HtdW+wBQAQjvZcs0ensrQxuUO8pQhXBzt4zBf8E+oGUMdbAl3NfPNqjvP2ICw9AvJaqyVmf Zq0hOlKtTI4v4VnOZ+OPd0+Y9HBvcoj6XcnWJ7GupZtRwSJYBO06N0ZvnHvxnqeRfhiHrVlsMo0/ eUKiM+MrmgnUvhtpG+QNQAwOUXl/MABQerZCTG2bYXJvnz8jXR0M0WCLGe5ZH48VoAqjIFqdUseH GDO160EA8icm0JUq8tDbnL52zGIclke/jkq7KOkAWKDhaOdXHKTwdXPhm7cPLVjIcCgMVA9hdbVg AeWQtXziLwwzvF9zaOgsi7WqqbcRkNRs1uqWrzwcC3bixFafeCvzToGTl43mhZdTJ3oXiUTJVJkg W6HvDnOKhNl66tu7yevilwYesD9BoA39dfI+gLswaQCJzzUyGv7l8AcTVbRniyx/Nxo8XO7m1TvE KOux5Oi6UKjkH5LjzAqznNw9Z9wWGCUPyrqV9qMlM1ITDqAPhOdS4NoLvY6NRt3lQH2dc2EqjXQZ KFr/9mr5St+xRuAsURY29KcbZrGWlU/Q3MZlZeOdpBrFRVTbUKNv8qUloUR2HoALR43ptgHFFFcP jknYk4y3AAy4TK8QKMgiMrIWnRIZG1Ze+OWk1x9aviN4hCqBDKz9oRuTKGR96zJZZgbWx+9LercS zcsT4z3BUDgeJucWDeJeBO0yi1AIL/b49pqImNcNaXkJ0E3Er6clmlXI2swxnlLFdYUhDBcAYIBO HlD6GFQOFthiYlcUFLR9uVuLvM4y1Zvp4sDJducT7yPgTaxbkd7eFqTe/YGSysFFqsltTosutcsy /5bFf/Bb6gl6t47fhpw4FS+uNiDFdeQm5Kn4UgB6R105jHn9eDMAxoTzT6HvqqLFevHh/XUql9GC UnNg+51XYg2TqQ+foeEV0TUOXUbwnfaNFnfMYCQR7fZo5J8PEKHLByN2pSS9EPKQhXUdhQk8A3g9 Mb2qr0HCremRFWBkllt5p+c1DgqiG1+U3fzE0q+lgDPBcnbuvK6Dt7iIROKENgn/tdQg3Bn2lE66 3h/2a2I+DXNc2ZezU8Ci+jMW8IG/7XiI0N+AR2+KC9J890xhpTvoHyj0fFS1fEj+jNyHlSlb8C34 Y3GTQ1QQbmbbrqBOYajfj4jqSMC9SRFE/+CNKP5R4y11c/nqRPPod15y6pJ2GKzW1k20mNrWwbGJ WTp8plfBiOinLhA+WnuRplnmr3f/YlFsWPq4x6sEP7oGuxzM20za5U5sdVwrthnDGN4ZdImDwkOb rwaPvFqnIBECGM6Od3U/s3POrBYddOHCa34xNNg8kxwjUKMk4I0SBXkz33/QobWu34FiVAyuEf+o eV3ZZik/XNVysW5f4y3IRQbKRSz4iQPeARKHb6s3lVZ0a4GAtQ0swUJblyqhsk8YId2w4lVLdd83 tG1haamkN/DQof6QfsFttFVtZw20mdG9H1rvgAKZ0tdsvSZTOUGqNT7FBkiA5UI9gP0lHTnz0nCO gDcP8B9b+SFzh63etcTwmcoOnn55GRAXnO442tmtk7EOdyUZgyvi3VKAHxkTnHlvoLaTsqH6k9DK ddMNujFl+FDah7N53usckFdO3zpo8jU6Vs2KSpyZxSKy6tFOdrTRF8rtqLSrh8Eg1EgN9QVRXXH0 ffl7yXH2Vd8OiIO+2sKjXU5meIyN8CUS/ZM2/f7UCjilDAivV9qSmsHuI+WBmV8nOKzebcBRI8rh betIj5XSzasEgQ4frMF/HLM0GoIdDLmLSNMXjIIP2ceOI5WJggE75eohpMJ+/5wFtovYUr8AGxDc NSfRB2Cr8qeMivwGYGX/Bv0O1G/HDiVjrI30PdTnI4M+UVa9wrwrUvb3RD7zLdSSOEsei7Gy8Bue VP5Ncd9YE9+3hvNZB+ma/UCshtCH35D0MzRdUo0Mro/xMkz5PrdW+TXDIAihuyww9V4BAZWeYfXz DgpsFYZ2NrKvqB9uX8shm/7TITEHIzqD6HHjHLa/SexxMoHIoLfdmzZ6IwWNsdZtSY7+HjFGWcex 853xdSD9POvwatoZlQV/hwR8Qhhtv4tTypX8BK8X0ZESP6FtioG1ujzJ1tt3wWZNchyh6e4SrQCS qZCIYAInU87cAf/zvCAYcaPT6HKak+myy6CgTBZut6x4Duw5+rWcFOMvWB2u5WAWmpoLYve13Tol sRBZy6bd557w1ocq2P71Fu4I5/Ky6otlRFHMEvGVlakGikCZWzFjFz07ObSc4RMF9Exwdr2BQc2q mqyGOd5sUOjWdF3HhVTQ8tBh1hxqi6CdBCoLQSt3o5ih2aB7Xu0jpwLNcJpckUwxKURn9sWNhfSK SAy3PkE/56nPHk1z0urLUBXoIG0ro3p9L2Viv2lEUHwcWCSnJht/7h7k3frCVs8/2Niks7Xw1sOn IagvVDDJlYq2Ha62kO4YZMeIoTr+uQMufwLtrKLIhQ4qB4kpgifUHpx/fqFGa57ch2KtfRoz9+6G GnqzUE7QjQ52SUYLC9tj1EiZL/Bqk3ggeEhrlMv6SoUvLrEiuFTPorG2w7xDxty8LbDjAay7lQDh pboBkaWP0GfIDSp3asClQEChXhjVPcrmTjkotVXJIsW+XxZqPgBy3uQ1dcwZ9WR01DVH+C16WyQe mzZawVy605hLnt1K+gc0kj8BJG9rujwe4E2ioVI3Gf/hjhxEURQfxcQlFYi419GinBaYADC3A+yQ Lhp92bMmbpqv8vw5cQwa6Quu6MejzHwV0WpHct5hJcGuR4wp4bR6xhdP9bpD5DKuPlBS5QNOWYnN ggfyzurPU5B1AC1HzF+oBXoI3qIIJC7A3dE1J0J2DScv638fmTcGuy0/sBBNTVrMMCZxV0cJjh4n EsAR7kSWTaZRptCvuQWMRP/ZfMX6s2xRoRNyHmqHHYRKJMJFrWsmn771l52prGvzUzGg2vkOgvDR yHWqYyM444HTpyVyWKEXBP7lQKi1WJ744x2t1zT+zQ/+Fo+AQYg+R0txok3Ddgh5e/1U3oakhOtC oalSmaj3GzjdT7+Y9CpBuHqnZKEiWIFawrIDsNkk9nH5IXTGartzcj/QHHEa3gTJg4M6/12EkJi4 FbAYWLA+Js1NLXd6aVmml2KNHgvm4Lt0pzXDYQBU13LLloSaT3TwDkI3psH6+OVzoIv9N6Fv23Zs kOSpQFrMVErpU8adLvtUXAG23sV+4Wv73l4Pwqki+k8oiLlYE0u9j6/b13I9O96ApS8GXS4jXpte +oUO+0sJSG7bWmMwYq6WXSqs9ff5j8Kz4HUpvHiCoRTBjqytCCnKSjj+qpE1d8KMaT6Woa9jMD/d zlkdg4uUacQP//zzsmnXongY9jcUvexMhb7mRENvBvWUAcAB1MhS4KSEVD+6KxfE2swGksrN5pMp YHsahRcR2j88o0w6tTJVcGDEnhxnKwZcaDT75J43WGShS4j+t+HaDuGTU2o2qAdL26d89KKcK+gf ZYjApnLpSz/FAOicfRNWRUgGaAqtNsRVmDeo3Q8fBRy6lhVnmap5GftROdNnV6rJhVTy+XSSWjIv Sh3+1K53SUR/p4j1rqJ3NAAaMq0TTPnUuML6jPlxanNbLd/ZGeV1t3bnFdhFah94JaK6lm3hTb3p 4nthI5yqzO1VshYJcUqwFZdPHXToKOsL3+OGwPzfe1VKp8n1DJ+pj9cc8KHXfMSPPi8QLbHvyeOb TX2JfKY8RZDA0Bek32lsheFcsMaTZMIrcBb2dhxeofmvrW1wMxAlHz9X0UAD5xpMOQSv/Hodvm0R /Kc8o+1YziuTJ+I12s65WN/snaOoVFnrCdSEWK0EDW2Ga5fu02fChJLqkRaraRehiqcHt9bRjLeq l0CZYRFHhCApbGRD0b1XFN9HLEnfDNxjxOGUcusye+8zWMDXym/G9ylcQKRHpq6MFEGotswb39gC dAkpS0RZ/JiQSQOVWOL7BaMytNNGlhE2dySziC3Vm94vG19w1e6ytsBTFxlJsi37hPu4yoYNpdBS dNQLcXYMNLSKByzO1VMCvTq9hfAAIL976orgvwmBzwXPw8E2HFTnj3+pVfx0S2Vxlq2afcqeDyW6 HnsPpJaE8YKNHWbluAf1SZJpYcNlsVWK+esB/Eeurwv8i9l/D/5by2+tlLrBpZgnHaicH4hWvW4s GDK58tdTO++zyevigF6y9sSlO/VtKIx3VbmWT9Ih+TqueR6HqJPuJLMhEnufmzoHlXybgpqrxZWd 3Drw1xNOsaNkmc305hjLdFZa322YEJ3oT8MQWIOUujfc8tzWQ/v2MvBPH1/c+XmcSS3+8nD0cM90 lAszV3egjPl0BIdTdGC0kLOVaf9jvp2iM4GabdGkY9u2bdu2nXSMju10bNu2nXRs27aTjnX287cf evxj73PufaxRc1TNqlVr1lpijnVQ8MdWvKDz2p/IWMrcfnuhFnbjttOXmOHyF0Ud9Sh6vCI94bFw Iy86T7qSb2fETYX+U8c/M5BMk4MaabkgZSbZsSmK3VVqw0x64DGaf7xlBcj6+JgFvBNTyeKxtFap y2pgQOgdIYOkbBHfgZJhYDSZwIcuxms4Lo7w5fV6ZumK8rqHBmbIuJRSIHaXhJJbueNxBiO3b14z RYdpxwGB79MilNrKhPcryYiRoBSN0tJYn/LTbi7XK8/5SkaFsBgOx+OTNiXMekCdWQzdX6KABvHQ 1ud/Jrw0vudu1WesCZrAre2lblu8jneZ3OosehxLlajRUrhr7hJs7kVu4zFc3MPZkWWOovjxhhWp DYbv/Xr4FGZy1t4sf9tCAbpl+nA0gNCAIRC3vMMswk8lRvD/RVxRVgeLyq0cZIreXOIYGXm7irXA 5Q80Db1fitJ8sM4gxUO60iat6O2Uwixogt/kg+6JmJLfdNjxqQdcI3jJvQEUZH4IF2Ofry3pazam 7P7EhTtBr17LPaRVlGktJHIk+OEZPl+QJyZsfQY8QP6LmU3SpSl9XfEwpD1wCzL4ZZn04U//INeP AJpCe8QL/QRr/SfGHw3AKunfBNVSvNnPOWipfptMr+D2MeXS5KtJKFGOhE7uTM7oYV3puXabSzYv /ZVoDb+BuNQOpIxvj/K+y8r4vzFIjMNunhzMX9DMpTE14qO8+h+hWK068VcnwVMDVVVTohYH+/a0 eH13frOzkNob/OLMBrrQTBqNFqFEaB/DZZkCRhSulq7HsF0bGYClmwy94Y+xfoDTPMlcIjo1U1HE jmX+VKb6r+eQry1Q1pes5NZLx47eRbcuMsVQSemh/ddVOLuoIyVBxtcHiDR1xNCeqIpxhLOrPD7m mLpNhVQCOi3VL2ZotPglzRixlvTfXUy+NBIrQP7pHeMLDc1oyrpVqdAvq10UaoadoTN7Edb0DZpx aP5/ecqskkpgDgOMDFXWPHvXj42w7BzKDriwGZ31oM5Xqeq6c+zs4lURfNRmGqa70Yf5C0/23+Iq ksJISX98j4OOE05dGC+mb4HdfFbC7OQEkF2MlsLvd14At5JhpCkjUwjNhUQ4qroQFGe/ZjzMXy04 Lq9XsfpXxB85ODM9u1SBLEDsWgatwa97P8WvlM4/xtD3wuohncUPHe6Bb+jDruzYW2Cwmdpm0MwN lBppUkdLLNL1DZn4PSMHu2h1QA1TLxtxvFAZz5pGoePutJ7APoSGhaMCzudEdG02RBsXQc4INM99 GWLz3CNXIHtuhwqSalTJ2eR4/xSbwdEw8OSnwKvdcowWn9lUfj5HncirArv4q3Pi5nhju3bioJYK ldKQ6mc1yrEoRMlWIqM0lNUfgTWxu8m/bSWzuAN0v4KcU0zz4sCK4tfQ+hMaZKDi8pP72ApyclOY AtEKSyG7jpCbwxuw80kXLXLqxOLdCihFdvtMjvDybKmF72PBmoGyb/ihZXR+JpbYYN8afNdlXKNt 5PBgICuR8CEWWT/+CN3Vxf2ZYmwObgTht/kzVgm4kvOzsRf2WZHMujp3+AL1Acc5ZwajjozQA4yn TeuLzOpv+7aETRzX9+tUJQSNOXf68PnKm8/38S9sjbMjtrZzAbxAtUIw3hIDMgy5rS3sKRrlGU7g zB8YY3LMSwmExhPpjas7EFqNqpVm3w7jzT1ZiNfayfVge153WnX8hmAKBu8WoXbVWhqUTB/KgV9+ fKdnNJs5WClaqspdJLjVErQ5SmikIef7fPjKgcToz+mxuormoN/ZeUieh/ybEHfUKUVZhc+Ym+v2 soKYC5ACp3RTiRkzbCqYVjZGF+iU67eD4FO+sRkSOaM9lO7cAw1Bf25kihW6rpdMgA/k10pbfKwl I0E3zF8rjSScaugkJkBpJtwxb2J1lX9qaVMxJmu+zLl5UHJXcXS0yji2WVizNO9o2xlxpX3LTYSQ S+U+pnj1OrE3i6tzC7zQYnnJ2tEUKG/mJi1VBcqT0JKywhKNKV5ldB4d2CRR1Mw+Su3uEcstFyUx 858mvOyb4YVVjchxHp+kAr13GjrgpVYz5XS+s1f0jP0uSCMNpSMGvukyIZwl9bCbxqvnmfhRKog4 7uvQ63YVNc/RvazI8jlqPasHtM9UZW3V/5LMpf0YxgAZE3p3ZaXb4ng1iAr5siut9HsgVRa1UGR7 1FvneYNX0Zy0U/S+e8ClKpTwp5DSNYhbmbQGDXh0omuCgkwUbFOfSHNIxPNfQQStAZ1xQsG6LsYU E8zHewsNK7FdnyoQlEVX/e4ASLMX0kcKhKq7LL+akcYGjgtLkhjNpKRYXa5UfwLsuhOyll1gCPlx Y1o8LCwRfyZ1PdKLryKNiTVovR04R2nJYN3bJb13apoIXUtV/kwogxX2A5UAnkAaBpRjNC1gLea0 7eeCSNVu+xrmmKDrE/s8365FwsCwiTM2m6FQEgIZDVtqeS7S1YRkKnHsht4Kgci+axu5id7Nvp9+ +Wa2b42c3q6QUsGI9027J8jfyDA89sWY3sPtmpEo2XMjT/pRRFstWdjYVkOSmswvnOGccF7F1UID XvhFF9+V28VfkEc3/C9Ume1hcQHSq1RQcjMEWt6OehvOyAY9IlXmPT2hrio+2TDX2NwJb2cJ0GB9 0F9fnUXmZhg7mFZvhB+UlcRPluCrlAzbqKyBPk2mfqBQajvedcOv27e87a8eVo+RS9T84kwuN3RZ U8RnrFuTDFuHRPWt2N+EXJKGcieVtFBRi/PwzZ1VWU95/itPwhdySrM0asnTcYtDe5pgEbp9tbIE PI6b1hwBFNCcaIge/b0w2faA/Cn6Y6cZLqMNUq0SlaQXxVec9UoNb/ptKTlFlGBFLYOCwzi9fJp4 Lo8WgC3mCTnLu8Jq5MLcluihfFD+ONTi/G4ELaZ3CRpNDl7kzapHUL1QKt8LXRbUpYMoyYPJch/5 HF1PUkxCkT68oRNqPzEUqQxvv6LNKRMov6T+WGpapiFOaqD5THk989M4aHs2WxgqKbvIwM+HSmDC vP+oL/9VWAscn6aAlBOvhTvamzXv1hxGAshfm7J9Hh/erLhpdJ7OcOBDup6i3Z47IwkFtKPQNccX ThwQH65rNYGckc+ISjlQtvdkuoiCXSbBUuukiS2fW/YRWZ1E7GT1hQjLTBTUNl66MifnlStvUtYz fID6bc3K5+x6mi1xnx+tsMYujv/QFS+keiwLFtjan6xDceI9WceO8+IhrK4HJ9SfDsoKbc/4rvYo npEeLsEV/Xfjtcql7DBhXtamfoZ7JrwJ8knO1+m8w1VzN60r4IpHVE45c6Wk7YsJdIEQSLZk4fgS cqbqfVs44LENkH8ytTq+EONY2T20qBu1XBWVG0a/WY9PpaSJmQ6UmHYVByC+wkAaVUSFWVrGO29H BwwJeTikS1DFzS1qCy5qjMSlnS2KXuvIbYklwEWi37CD+m0RM/JIDk/OAvbibMEdkwHdgSxNCfpY MNYhLY/7JK9qJMXf4lBbPYNtjnvteDINwmK/hUct/fUpm3fUvc8FRBGShL3HzdV1qVD4XpZW8gsJ wffrLqIgrvNYpLTXo7xKYOrr7zlRaq+DwfvNb5OQuDuUrFLtR5j+6dHr+jeVuRaSLukwu+NyYeCW 0HGlx5YRU+9TTLayd+3C+gCa7Sux3cAsbuk48fgfqJ/Q2X4/OBmWfUH8tTYh9XUOlDHDQya9e7lG UEhd75fsBg7anBDdCAvKgw6OIRmZbh9/nrBS2BqIRzx21FFQ9JW7rwAXTiW19jvFlxonQssXZj7S IDQ4wWWNJHD4u/KSVecHqRb0REZIZBTmobhMz7KrOG3/bM9SywFr6Yk5/Vq6VxEAt11dvAPqtYnR 05Qc/nmL+F/PfTbVLRMWQHzh+R5Qjy8XVRLbH1Y6FqxArOBK93RW1DJ4LHb7r5qgLkC8ZxxuFpWC yzKuTxjq9xKr2nJTOYZuKawNyeJjh7kZh84kQc3yIpVQ+gq9GXWGENzAlHerGYM2DU01nF0XwUQP /JgKhfKPd2puCDFZqx1zMmzA+Cu7yXXl6khAXsfzB8S2xi/j8OvAE362NPFm437tP8MC5w2MhB2C f++DWnm1IHl+6DMF4ejBzQxyIq2j0CIT5D6JZXZPOjF94KJ+Ttk/0hPYBs6L8Rfic8efDywK6MvF WlC3NrDNoWroBWGjYMapVS35XQvqFzirL61tqWtPvOJPAOL5i2UvhevgNM2jbzcn9rB+psLSa/HZ f5U9Tb1RrGyJQgLij2uoZ6nuLOrArbGonVBgsUPWb42FDdstHdyUtp3btNAB8aoXZKS/x2HUV9eD JgKUz/kVj7TmchWLq5YfviY7X5tVAPH5RDN7MDjEksJmvKD7YuZp2/zWKx3rd8XccnOKnEBajID4 CpnTxdhrYs2TcRRHY82ZiDTUJgQ8LdO+O6ThIAHFv2aAeIJgbhEavLnZa2zXth8kx9uTcww921Pg N3nwjWw/fuefAuKdwYc55lINkYMXW5eHIqf+Hr7yZ8IvQ4TWZKwpxIiFLvy3+LbYIIcGiy70dQal pRYdCbxilKhe2EQs00I1j9Hz1beAeITTgpidaxMX8337gWIVyFRCyt6ioyMhn/Nko0E/BeFGQHxn ZLyUJCnLbjQeq1w+d4oQh5iSjQvNNxWaBVnMLMR5IiCewxNr/GrdZHz7taLqqwjtFiO9EGTtBto+ w8qE0sI+KRIQX/z7jJCgeqB21T2Z0iEPZaBjM0lHev6Ynsy4VJ/CqnYDEF8eXfyYVtpWB3ZN7C8/ E/Ys6D+knZujRmoMRjduUQorAIhnIx+RxSnJJCWoy/xAeEuoOKfqbLc1j+96QLsUBUvAYAPEw4e/ DVJT6bEk4Kp1Mtr/+bXy7RMikyr1ZpBwKG57+OaCjsinckPyQt52vhaVAz6YDz21iehN0eVBD/n3 0WLDU8GPTURJZgvGfE+ZzH1IANYTEJ+KVvfxlqA0/anjYtV4tAfkTJieZie7kYaorbwX46AxCIiH aZSQzrn+br7FQuxh1f4VyPqeYVEupCQa1m9ZeCAvbw3IH9bqRLPqCkO0e77nZ2m/Jn4nYguyJvmi zm6IauBNCXEDIN5hmlMSYt7IihR2PcSbTbvt8ZIMba6QzLGix9VLupBGHxAvZsVx5sMytYBf+KSr u/zTy+cuyOmy2XsBQyOA8kCSybxrI7ZBR+ywLSB1Ag77O+k1kbo63AA+DGviJzEKgjzbxu2RiLCL XYwcO9JpVRHaH+v6eGDFpqzMPsdh793gjjoQDENKm9FWiVTUjG5dDWxiDCTNJdiHRvt5ptCp+4jS pfNtAp5tWTf0HWxLsaMElkhtM5FfD1nOlJuZHt99do5JQlb3vs34dh/ySA1FcUzlinyKlxuCUUss YzAjlBqdKwt9RQw+o7Z0Hu3rzmCNwpH5SiLVs9O0YW15BOQiBfTyiuDfIMK7MZ9+xQWVdKe0F4+E rHsHNbPfJwNmBc4w+OZNtzDzqM1DZ+Y5tj2vuqg+f0JCl4jklb/b4ZDY3EiHRgX5RV2ewn2k7x6n Bc91RFqKTAh56PxqXNxfCAjErA9otMjrxbwcrs7AfTYq7H4ILDE+J1PHK/BCFNxxscbuMY1XgT3b OUJS8FzpboQkF4iEdcwnyT9Pwu6ySS7RNd+2k+XRjlKLf6atKnI3UdGlFtyQNqnds8I7RThQNmzW iiLgO4iirgmy5Na33q6pY+Afqu+GTc/9Pcdw3yHCoG4ZZwGdgBl+3e1NMPHoC5roLCrnqEs4OPKF v1BCV4zmQ/WumDdtfm/O5enV4A6Ybg8oyEu9S4HtFVoc3u7uxq7lGkheMaHfp4B/tAmox/ABAwY9 5viUJ9oU+EEVRgDJRy0MOjywb1Mfr9+b95Z/rh4mJZ/lWU/+R7NkkkWHbUU0a8V4wZY1Ihr5yypN vE3USCL8cebW7muByW8+rw8+FCbFAIOmFj8xAordgr5lHicyhss5NVbolFr789JJKGjhy2t/PbA7 zzMkW/K1qv5J29aZB/RV3WxsifCjh1Gcx1tKSrrop/TM25SOtKb4O/RBOMaO5BjCWVNEkxtloF2e m+KGlT8SIpLvnqBpjuybcZmOgnY+ROtc0gO4RPeIsKu/qV5enwESeDqhSU7AquRT/Jey6HErHP2o 448QRO/axiEkJQw2w42DXJI7SSvwGTZOfG7wJI3KQHqdrywO13BhlnO0Q6emiaWN2y1JmR+8S18X GXcMOX816Xg5r/WJF8rQmNacPkfDF44X5armOokbX1fp+aeDa5/Eg6K7PqLNpUNFVumNvvJ+7HkI FEhmbJ4Z1A0o62bwZqL/3j824VyS1L1J4JN5/7Oeyq8gcvGjvdmZRV62y/sEwc/f8KHoXRqhGOdV gT+7MlYySb4josgpFjhjl6RsJ4B1iv3vY39gQ1ma7ofkgbCf0jJnpiuFNhE3N3YF4cTJtKh+nTg7 PAbSaod8aqxtnsVU+nju2PQwuMbc0UHyxDHNuikREHPessV7Tg6a05+7DRj9qBQWQn74MUiG9OTW wKgHStLEWmq1yFOXa0qihFx/41v7BZ0XMN+BH9KMTnyZl3tLtwW8PT1j6SQW0eHECXO5EyGCk9by rWFF8cy+p6ggq5gmv9POhl12J6Fxf/EzsWfutMD6e0K4WH4YzvlqcIV/DDyBMljTy4v9bO1quvQh PpePWGvFWzkKI5AvoFQQPAuWqlfNx3yBKCv85sJxAX7fYg6dYjtrUDwIs9q4lyxXi1oD+jz2T2lY P0FTkTWloN7sSm796WPJJXObVsswLW2LBMH8HFdQeshy9v6zqGKVbjLCfJTg16HLOyX+9RHwFvsX +PMyX1SWruKpag5yoh9l0Py+e4DTnGCCtRzYyoERn0T7BstHWRzO/U+tc7SsGK99rsYUrw2Ugs09 vo1wHKuQcWsFgaFaCf1P5coe1gHxql9yaEbWvXhCgcqnmEY3+EuWzUwi/rhvTLrD8hcda+J08gGi FWcEJOT7tGp/COPMvAeIBaQ8etQP9gjCBGf4cN1CgCrk5m2TtIfPsoFOcckoeN83gUyo4JFfMajT zCysF7ylg8oGahc5IS6sfVhR9b2AyUdfsxQIzle2aWwYTzaGJpVSEA0og63hhXI7exZOHUn5WAht +Cm47n9gsZrz2b3ALNvrJMmRhO/WUdbxiLMMv/Zq+D2VQl9yr58XyG1w/AFxd4+Ce+8yjAuKrJD3 eVg+GkhV3xLbXa5WNxOpvhOks9tMfLT4haM4aBLCR3OwJLx8Sou5Tk7RH+4cF77M+WWGbtHLIvye d3eCnwg0m+TydZNudUCK12JpY5m/7ql8QNIV+lHmJWVeTcjO1NVZkTBWoPT1kl/4URN53x016QQM TEYPxX7MctgNkSrSYpqOnryBT/tVIaoVTomYUg1iRt6/9/cUpHlNvWpvtFQf7ZW6nIC9310AX3bb nzEHs2n2omqlJiMphd0WHX8jULbCtzPQAwcicWqxSYSbz4IxI6tUJyCpHKa8GpuLeJTQKAfpDpLR 7EC1GkN6TzOwNwb0fYk2WnEsfUBVTWTgDtlqXjo3z0SYPk0kIHvyAP3WMf4FJvhUaihmQwXIxjkS VwdEBS9oahW5NEVB0R394zUfHWWEZR92q1H/SHuQO2g3L+aug+jn7ahiluokThl6slYIy5cP5PmV 5pAcXRzhMVPsDKsxXBqnI0YyNHBuN+XIb5OKa6ODktuAaUvPJ6rOth0Sn2LMkyTfR8aW6KQ4tEdy sKUUmxrWakNVXoxF5H2oUAX73NeZsXXrOw68zMgMLaaCxxuRnWsKAXJOQdLnfHgd0NelgD8jCrxS dZl32apSGHUc6IF0yuRLNlgFSUqGU0tdJvB4Rs8Nh+BbWeGVExDKBBHP286D4YTOpFPp9ULeMVqp xsYGBHufWQc+a0E2YhJbsGSqKQS2MkuQcR+c0K3MiOPXSkFDRz++V5LcVwYdz48x3v33/GDzQLlE IFzSHWm0jMf94Xx3vCYyJTTuLOR+9gq5AdNNimf4/L7O274YA2o1WI3CPf3YMCf5mo3wxk+XISEZ 4SXvHxpTzvOsWXQ5TE/MaY+Q0ITm+lgnuD2wT6RJo7q3nvV1QhsH1r5rD5ZWL/mLB+60/MbUvqDf dzfCLecAa3nN1l1haqsftlyooLkGnsY56DAqO7+S4xQQaXXsFLV8MozsHUifTE2FT1J3uziqJD/1 ZIad/monGpYmbl7yRCByTomLmNB7E0taUAs94roiEFw7D1WKMu9TZMv2Y8T8dJeyO2vTOcdGItLc 5WJdt+4eRZATL2FzRr8zcgh09OycWAJG4JKt2r42tcAoASm2OGOhe2DEm6a7BalX+TobHNPF6i/L m7jbcR6/qRDrsl7pstMtDZ4Jk57NUnKN5Gp4RVto8giiCUdTmkB0JEFI48m7smodj3GjcALZ/KkR 0BoMeAptQ/a2+gZchEigw0ME7SHXEsyiUFKPUeCY4Ulx+h19SOv+YwrwaTzQTOSxAqa9uUJ2ztSR eId6ExM+VckhAxGMItS2hQKN/y2cRK+Z4YrGLoiSwNEgc9V+1rviweUOMG4vq3TZ64rxYUbCr1N6 c6iPkglD3jPuR/N+U5zBL0XinnfjtY+rpgABla1fh3OjAr4yckv2fYZ33/HEKwglb71ZThv1jcCd uu6HwCR38kt2ashVCRdwMh1I9mfX8uiB+TFQ4KRvpNnXUX/+nNolvE0KrOKPZIyeLP/Ju+tbjKVH ZVmlpesj1MQyRNB+qB3PZyOkl2IhSHVTfCvFnEYDkT3NWZamvjWYjP9r/Ts6/y8dWHd2HrifOBli dkFTF0GFEbpKM6UNyooBJJiIKV+Pg1AK2iSwcP6ikwDpXbIENaMfUk7B+yUfeb3+UgZJ0/WoSLLW LGKBBEY9b8la72lZyvwrI6TOXPZNQjy7WkElxUuDMlnjIdqKOYOOojyOi9mkaMtn21+BSrrCnqa+ zEYn8A7HborfbFS79JoNJhvGTiF9bLwFuCg4QXFkxIkucAO9kqGfUAnIMmfCNuit0v709BhE6fmX BfLBqwN/ZJnyfimnlDQLRBrp08rwbVAnZk5Ze6yFhvNvb1jbne4WehJ7f2ryjFM6MyhrmpRBTU3Y 5fT8oWTT/8ZR41OusV+rMm6GRC4MtfKGqkzhydtDMAXSw3cyIcG/roRbd8KvI7c6q5DdRG86L51p 4QufHY5OM7I3Y61OQmkwujFAMyKr/zKvuWK9DVxydtZDaGETpL3C6ZB8ecEFwVvYCmbcu3Sw6+GH IgeyBCrUng31JJnnwLidnf3Driy2M/c47q8eCnlcbm+8FCNiD+VuEXVeXvR6JD6BYQGj97SPeaCO +FWAV9sXmNFRh0tb/Hzu1NzWCfcQXJgMCuYp5diYFuxB5efvGSERPoYRodRTs+hQ0ssOam0CxYg3 ix2z/Ai5GqnYrvtZpD2nRmj5bocsgcB5nREMNNLGnxTtmwXYz4SwP31t46MoUZfc8OXbnbg2YI/Z spPvy+SnDU4HB9f6ZuoikJ1YxhX4TNoubDTcUXJtjuT2n1vTw6Nmjc99htxMai9PyN5+rU57m3gw 8f3T6ME7UozMHrJO7LP1vtmTBo5MQB2ZKGW2i8vRZNTbyHU5ZaacWdziyP6UWgVnB6zKHQkoTXgZ Hq+YCeYu1Kg7xS7Q+X/LfHKR2+tmQZ85LYc1R2uOW42lMpd6/0IXvD6A+n6e3k5B9LL00UEvfwYZ 3Y/TitN2qJ3XwfxklLnVBp78LKl0U6CGE173eRwkdJQUBqx3d3ebuTu3r+vptd1SOXbp+g+WH8IW 88EAf6s1cM7aESkgPo+2x4/K3SrP7V1OIbaJbdNmGqxNKOxtntwWDywjXPxFosdabE1pQStf/CMS vPhZ0JhO0fVhVLYO+4Ov1JTvR4yyh5EoBmzDaGGD4S0RTAWiOR8J20ZAhUmw8BZava5cs4KW4x/7 NAPX4gugbL4zcFxT97C38elwsPYSWgmCaNlTQD64sLcKEX4j2E8ViZL+eZ6B3xTLT5BWmqHGWwiC zMs5OGbRg+rdmTXIYfn6QL7dwHPB5h+nNe/gagQVzjbIEGvj5cfu1BwbfaCznvSalCROC4DxxbrT fR2ljxD0eCiZmRAUhn71XFwJ5goiiynnUSydzXyTYT5vlhRflqpZOiXvEgYIH/XaJ2VTEUHv6xdl qANpMPbjre5slbIPw6Ko+0D9gqJkzdadebha3OQsTz/r69RbgHZY7UPYUUOE9aP8/u2u9H5GYxKz dyFhidZRGo9dR8t03vqSit8KLQlvBPeLdiCu9UAJ5Tl/DylmY/goDQkrziZfH14HosD7EoWzhyjo rj7Whkyv45bWH9X053BV9Mzy+xl65RPxKf79yTQkF/RBAyKgXy5CXtFu528rmhYBH+LO1y2g35uo JNx23GluXvObVqdbdwrQrwncvyfjmG42sNXvH9Gl5AXox/psabLaSeJQZdSuLHeDKAb0+4xVVvHj 9bxYs180hEhVSwD6XUpn3g9J9DvgFiinGO5BnAD908xNVl89uRbTAfPIoH3YK4B+uH3eFNAL2nKI qdk+Xu6EJkC/Hj82OoF53/zIo+a7riClMqCf6WV3c7FTnXzXWO7gnUHeANBfdwHfS6OsrT2OcJEm MXv+3+q/stUFiVZQdIB2P8tUSNJpB/RLsvk/jIffgN01s2GYxWTwA/ohwPUmtLp6r7AM9EERP/nV On76unUsR13H6MPfVOetmL0xCKSqlvO45XLmhfmapffLtUbkd9DY9YPHDj1gXRdvNe8yMnQQyrOo G/chKNZCRhN2pxnccNMyftrubFBPwmUHlq91CLOyOh5aQap/kzgt7adhh27FxJ8VnOI+GXj73pLp yt+eD9sVML0SFtwmd6A45LNDDLkc+LuqJx+JQeyFOhRAPo0LJwvOveAI2eQ1z4FvKnqi1uYvT+WW 9yCa9j0C4tEO9PZCqw2w2nfZoXNW91YHHSWhwWsZLP2mMuImdZQ4XZBOfv4hzr/2nUB2M8dWap5c ep2HITShViIZbU9oKRpKOq60/fNDdEZt83j9N4snCKC/je74mJpbWgfvfXEQO0j60WCneWCerTJ4 p8uY5mQ1yvJildKs/2s9NVbAkkYEc1wsKTRU9qhXXeXgdzPIQo/pn7fwYkwWkRq5TRW08sri2lLT DyaH7y1EHBoc/m/ZgCfhoJVfSttI3SDbNNShv+fic6ZsvcmrUXPdJ8wFfix0qIstmjs8tIBPPfuN CZNNCQqNZ1Q0aBFJiFVCS2tAmJmRHBAdr84LRNS6yblJZNoEoET/ywaLWcGFuR0aUBOq+NWfhgvS Gj4ji8L5A0Ivrxtu09L2L3sEEc6mClZc75RaGshf7RI72uGrp+fugE8xC50dFgibQdnZJciv7lb0 31lRQMKbM5P9TBfWwmmvvAXUAkG3vd+qeNix+6CHt4+2OD/6QwfPvi7QkXTydvjtoTwX7+SQJolw bjEbkmrS75JMVyZPlvkeCHEY06lfrqjFxanxf98DKfF4+SlJkmQgY0bfDFQwhIbVOrrno9YRtEwN OL2lHjID2gK8o70kasURrd+1Be3uSpj/skdrTYrElGHCC6txTpGedHyKCK+jCqr8jVaDmUFYgP/k Y0UuzzijY3MsSZsuzZ4nAD3AIBymKgZLZA/dwRGbUYBDGsBfDeAzjLzu1AYx3QaQuPvNupJK3vb5 fmRpu/PE52Ei/urMkfVbNWQEScY7Ve8BxLe2pYXZpQhibaWiX4BQE0wD+gHtALYhxvIccsdtdogd wrfgkg4IzmRbhl8lbfjANzfOSag9lAIXWaQHrVPnAaAuOBw+gqgxiIoqJxyPNAS5erHIQqeGRJE7 7dZyUs59moZjnJfQn8eFrtSH/E1CtcuSc8nWFxHspK+d3VPWlq8QrhrtcsuNzLxnr9mgg0rOdglf OlqmGOvwsGYv3ulzf9M0QjP1k36g943rPBfpWTn+BO+3bcWdp/k5HeLnV3JR1gYyXLOZdAr+IYuW s4hoLa1WmZ3Q1tiloJTlStvYcgtqx3JguW16HM3RINx/WfDrceXqMTtqbOZIc85cKaXRH8VjuTkP joPTtqL+cVl8EwP59T4YlN2pd3WKw0YvKEVPykRxPaQahhyLWIDnOt6e0nYViDDlGWIPjuGg5Pq2 fiPVU1GBwe09ExVc/HuYykVW0MRE/gZcdCUolWwnMmZUnl1ZjqALs6Luy9azY6ypWI0tFAb2WDAb mpRmCqNLZbDAMsrMqAOLWcYbJK+b+DYx+yTO/sW+V2uprYGryzpUo1HT23TQWjvN9cR/fal4+uK3 RfgdywVyJAMYO7yHJBNLlW7RzA1/AwTPL7o9mV7J9bfTc2Ekfbn6n8n6SqFbbpT2lOq2qZDMUtXS SW4J/LaqyLfGlxVdfCSronTb4OMy18uMqxVcftQmrp4v77zdXh7zCRH+G7FI8IZitblrf6N+7R4g fRQtuT2xCOHJfBJvcY0ttF7IhkD8Cw/lcargHsb+dQsuwpxi3LG5aCL4Cfx5L/FV6uGmRuco4L0X n9C9SanztSz2d5Z2LV7jV6TAyM328Ei3l2I4G8Lx2Idrd1B7qXpKybpvyc9JUr7GDBplaqeajwSF 1iSUOfrgXeWXPagNr7v8Mr4LYx/Bb7rxn/x11hjgvsAyvx+3YG9vsPrriVadd6+SwsRmyajgJaFY yPUViTsqp6WEIGj0Ut/qLTw4WdUuwixiG+rEIjDveVqJ9eWiBn2gZEgHNyCNxg3Q8xjeRRH8I/c1 oZY03DBiUKcdBVJPFJKmjY6f+YWhJ2EELkxEhvGPQeNm9R5rZhBrfouGxugECNV+JWUfoijOpeRt gJuw9XaAm0EbRH0BEUDVvfrRK1TM7/kKkYTdK8lb+QUn9iMykEdrAT2k2dMvj5pRoYPWwa8HeWw+ lhh8sm8zD5jCDpFKk5oDrwF1rBiH2If1gdQwybHdKp2B69GfUMFKRDNLIuLNE4AyOhCSxbaT2uXL Own+gn5pz4RNU1A9j2UzQh0o2F5/bE5ulRYdHUsZihxvbMzZ4FEjRDY0CP40aZrWwOU86mYcPkvv +sUdc3ETu+ZyetFq0X1Tbxx7M69XY505qXYpbCdrSrOzPaYlG9C/8Ii77/A6JPtjV2W09E/isYHf GWtO0J+aooj66GPIBOkSrNFJ82vDCWaiJv5UooavZbKCStrF6JwSeuf5Z72xb2oPt8mq+RGVRVx0 c1bf2oWyw7cVXCaFwFmbpGh9K15Me/2DQSu6gLELPLdUctE/Pyy8pyMIoHLX9wD5fnMywg92tvGX PvTr5WlcrAHyPbVThDI7M0N2qFxDsbs8VgXka8C/LMZGnhV+E/eRKb7DfZecqtgqsK5dhTGIcsv2 m4ZXfK5Ua5B0Ulj61nPJucfDmiK2+NBuR4id65uF+Tc68mB54DzVO205piM34RLhSqff/HmYmjdh 1FYstggHX2DoPOB5EH2KONcilVBDtEDBjddeCpMScc3MDkMGwRWAYcnpdnsB5vuB/MSmzS8+mKEb jcEpuuf9n+ZrmMdIPESdeogeGp2wZ0ZU/df5/0/7+S8+d2rRmhvY10rGAUZ0RIZNAv+qfwBqPLoY cS5JyUGlSBXxdvw/rZ+k8FoB/RxXnw38LwkIfLfBv/LZUcXJveMtjS5Q5LtbhRbhAOazudWyc+OK D50iy2OK5Q2YPUzIZ3Ot1wZL23XMQUbQJ1J8+f3OXa2/uvz0vil0lap/JjfoVnd3JU6q9ggiN2r8 hbZMQok+SbipBmLPhOhIHDUXEuXmEY5v97aABCbagHGXp1L5I0Oj1B7uZlosUr+18QtlZqhsQgPe 9qjvDLySlhHVpVUJV4xfWpP96f5LLFftrU/aOPQMLPFXmYo9VGxXzFBuw0CSUnlXb3Oeym0UmcP2 ATMqyhU4nZXbE5/5KGi4UxoqP9P7w8NPxSQqHLt7Yh9vwbexINRIeXiiyhsvn6eHjYI5vmAlyQ/E 08iU8FVENqpU1Nsk4hzfXS5iqRWqiL7oMGxNsYvDXyQ5h94YhB3Xoho1d9BVDQ1Zgd2kU4/eGeT0 D/MvLE7HmjArMUyX9ZKLsU2ch+3Wczt6HlDJlJH/4rv7IWzn7gwB25QiqkjQraUcdlPwaPQ2zvA5 B8aNF013qHZI8yvejIDXRjgfNIg5tf1BztMg8GvT/zFkEehTiFtojL/qWg3BMqe77VB5wpwdLxlH fiBcbONPkzb9jiwDTwnvaIBTTevvaON3Ua4SRBPWlIf1Sh6nyuXm9RJkg6A8Wwg2flyJk/1r1ouA ABbJMfJ/5WvcrGQACiqGjavEVtHLT/n8Vz6mdGKg4FdhLvxUEUvKlI29nd/VGU2hdu+LElqEs7yR 04DngaWxDb3LLw0xmp39ozc0sfhf5yGbxhGle0shrjpFYJJkxWmwIWBXljpn1fjX7nK1fLyeC5CP 3I98sO6auncNgcOD+IJH7X/VL/FxKqNETTkV0f6BG8778dfzEMJ9XydD80srTrV/m8PkX/Wr102E oFZTqcBEOuItNS26/af5KGGIJ+yR2TdA+4d91XBBXJp8LKYt8mouExt8ffsOa9JBpZmSzVfLzZ3l cOStlhBbR151W0IlrhndX/F6Ha6XVXdhgL0Kf+LqMpNInjLPzXA78Tqt/j7LA8XLruUYBsG1qbAP Q1d5dDnPttVwqJ/BdfFgCl8jdtilexPfmdaIFOuLyWP/U7HlIfGpeRGPjzaW+t/0s2Kg4azffXoR WkEjM1bArjPuGaXJv0uO4VALM6ea24hMcwAR6HpVLZ+Bq7FOZBYC4aBMrDdJgyOjdrbYEz2qxEEv yukIimHImqpwuCvh/KslpnOGKBllbuYqEL9ZIJaEM+Vp5+uzxZ8k8mqphOupf+3mdgUtg52AGdWr t8Fzv3VDRGnZ9/nzvh06wFhXwAR/ISJfVvUWFwa7M9jt92Q05XI8bjGhzig19K+tfS9PRZ6+qR95 odi+v0BFg6C8TJkgboOLX9ZuYSftKKrkKLKbff+FF+MkeMZf4gQKt5GJDpw7XS6YlM/UjR+wpKCN dvm+7tcRaVyQ8HhsNHpO2C19/wvrIwObNIWReRzyKhjl9EfTkb/swjXqntcB60HFEDmY9NzwX/1Y qMAlKyHykoI2QPqluC2N9K9+KE+O2j/3uRFIZQjkSVXp4HnYoEIiMnqW5BEhjs+JcWcA3p+hQQxh SWYwP+8IpeBMxzSXhq0NjHKKNwFzC1dR2SrxhRip2sdciJbe4gKPqoMP6T2ooUYVKF8IVWvuJjnI aBmN27zrqws0S5+76hkQgp8xESljimjo/X8T6swyFSkGoFrtDD83lUo3MVY9P4mbs9KZWFkeg8CB XxLTgCiOjzYTJNrZzXyXCS4cOijocT9V51jJk5xPMTYaWSybGxtWRyTXba6urfrbZmvBfAd2npOe 9fnUfoaNp9xwfqbyg1CHYIQKiuKm7elRaMHTeRo9O6LJAM4nvaHI2ySyPBsRRWAMDWnKHyICp4C4 TsvkxbrSxcTkbVkU0gDjHuwyT4jyPQ9RZzrpI/dQKTijEHcl0YWQhAaHU4LqxB6HXx88YHrzWKc2 4y9g4ORl3w0P4uVdf+YV5b86EufgFTM6q2Zqssv94XKh5wD5moGeygMncaEP3ry1lazOFQLyBTwP Hi+2Rx2NFHf6r7USOVtuXEC+xfPpexjy+j0jxmI+pZ1zoIB8AfvrPUJ5bvqUSGmwL/7Rg9vxe9PX tZpAihoXDwWV3rpXrApLOEDVrALia94kg616rKmBbg82SaegqNwspiDH7f0FN9gl3iZUhGM7xr/W xpH7ggCwvzk/sGmgrRhwYE3tUrsHP38A8s0m2VjFlazhaxdraBHuWL34F19YSjXayWJYYGXjUxNs HbTRf81D0CEW/xQTYTUdBx8jx3GX1077+bmDncBRNcxNYOE4tyzgfZ7S3cnV8qHdQeagwi4FZpSP 9nrzzyfMlChIxOFNgMCbZc3bm7o0Beu0/PnoZHwLvhneABq0dyHK81SN1YN9gxS1OprvDfcnzBBI 9kPnHgzmgyVBWjI6Nse5RAhdrfMAVGaxMFrT2+O6DHS1m2cHqUJ/QfvGMV3I/FwEYY46l0RoejlA hNqctaJWCt6iKvgYdn2UCgRWvCIJgScysDtAJyQDtP169QcKj0l1IK7U4uiLNOFhTHPD28dbXZVn PtU2a7IS0C36rXKQ3u1Yqey+pIwj4PwQFjNMHC8TLqJ14psi7b476YYEJSYq6qko+eOK2K5p3F1V lR/zV4mjE+WyQSZjhAhUfIbEK8YcwljZ148V2fQ7Fskk/oSvzCzAGO5zr8poIz9HB/MG0r1i0DyG H4/JFHO4jCwDloQ/5KuNtmWHhN9eTaM2xigd0JXLDMdeMDAYlM2cR5jofqX+3GnPqIHHkEf/Fx5e ObL5pd0PUnGBkMovInfPBXaHzSJsY8TeHEkU9mfc3hD4rwOYcqzB6x+pd9Lj4Xa7nneciwIKeuFq H/duhFite48BKWWhQskNHbQ0xqhfb7S5MSH6/KqcjdIl8Tfyody/2on08HjQvceNjsfTi7fOKPFb 4/e6m/dHCZNIPiBEaWT++E9xSJhLiruOUDh3vh8D9rPm4wFR0PLCzjNkiMfS/kYA0WRcmQ8FpR2T mRq93TnxjzGyX4I5WcfjXL886QkJRPy/+JrmsRd9/eX9C0lt9ncV0fsNhtpGsmHJJDccND4S3GOe O9WMhScnzTP0ZMA3zb7z6hP9Zun1k9uAipGh+bI/KY8fty/Lr+VEwwvYIVIgRBszOsXGFUoxNWSh 1HI1gmRtnGemEcQBu79kiD8bPhqNYoTt0Vds77rB1s841oLwxaUwLU8DRwq5O9TWpCPA+dpoq3QM lzBoTbq44sNP8k7prbhj2xEJZ3EwYK0E61XLeW+qGDDfgPxIGq1g4IJDDhaeXD9Txn+aL//RdOMh Bc+mdDCCv/NOyCM4foh5X6MQBcoM/Oqg99Djf7ufgHwGdBhB42ioeMvGgyxyNmj0cCxtHDKhEKUu sB4h9/TC4P9Vf8J6a/vkdbwXz5WNKKSFBeO/6gfMp+XREVAIgUEQpAimQXCnfPKvfLmDX6bv+Jqp 8kYV2M6oM8KkqMz6HnLHQIEv4aIH/p+G/9vzzU4WVZ7uOI3sVxLczHIQdAjIJ8tIbSjoe0+TyPgG L3edHfRf9dOo9XYWrcGAC6+mEg9cEVOMjWxOy85Erzh8JhbIZlWL/Kt+d1r7fe/o3LhmDfTDEQqT u/803xNswq3T1Pp0rQA7ORLBNtr/63kbb1zHn7kQb6kPiR0k+lUbvZodTU33pJ1i7b0k6VGwvP6v +vf4qEyiXcIDjGfIQshjxP/Zb8B84SIhQkmbHa5eYcSPUZ2Wd//KFxg14ja49hxm39EzclVODgE4 b6h06JeVjZTQt58Q2UOD+oenS7SZeS9SQeaTrLk40NGJjIWuR4rPA3u/1BpQMiAtzOnpxH5+Zsv4 Lvc5wJSPDdx/1vtbjsIzoJkqEE/g86PbKlB1cBmpko/VJGeI93cHEj3k4nfvsE/iTznP2PBiGT/Q arll1fRWIiKHwe2Njf2YTx1dXTmObcdCuoduA25O869cTr0QnGxKrXDulIIAebIs2ImCHO+HXOvD WbTvSEFeJYNc+8D49Lc61DYFP8dGrUCgioSeuUqYabCBQ6J7i/9h/nkGckkpwTnlsr5sSK5BCRth O0iJaESaocGyIr5dXthCxUx8+sXHHNQdrXUN/MWdighUNJIr/m15T3kiOsx607JbboPMYWVMcbLo 26o1ifQv/0ED/Xri/PWA9eepXJ4OO/ed7H2TTLSOHalTTeLAN9CwlDyCJu4HmXiBy96J44iq+rk1 xBT8gfuVSQeKzNxVMZNO6XqFEbVawo1wPus4ZMQgYDxcebXc3BMD7blK+rTLr9VHwHjSrHoeGTFj xzm88GX3MzrH/4r3SAvEPKqIuw0T6vjBWFcz+z/l96zIej1S2jXtgoeSEHgGJf+veMw6uTp/4jmW vMlThqIOCc3gUFj2Swd5i4+OtsxXrH1m4XAmDNWTk1RcZRmjlyaQzK2xbS37Pl/kVz2wSNtGM87e +Q3rc+P+HtwG+tttYjcf+lEkhH21Plk/A/1VhdytDX+W5+Umuz03YEnssd/dueFXCUlL74Zi6iPX 2WqEXOSgVGiRMuMxaFOaplwkMg3u+lI5P/Uj79mxX7mApvRq+KuodBBsJM+PTJ0u0RT6O8mnRJ7w jglLEu986UzScK1a98L8xUt6/bBNS0osejKU6gPUq3+bBcNCTJRf54mcFBQ83UvjzFctDzyJiYQX peZ0e2LH00tbjlJoOHYLIQVnLrznB+sSOkdGRgHwjLuBPEwgqIJsfjGaEOExA1FqZRIWegIXTz01 8wi3K/N4DLcVHmxljREBthNY9mmr6pZxyQK7o/CjO1Hmtey2q/SI2bt/3sSuiuP3EMxL5Kxzx/ir Oex/s0US9/CmP8ZNXuWORFIP1bH8XJ2cvpq7sED/DMkQEbSpBrtVdeoI0LQff6e86xb1l4WL9pZE P0UOKfIL44ve4QQIfVjNBTa8/G4vMTkwH2wU6HOWBMsiXl5ED01RVmULJQJScUqKvLnenALJpzWb yjnmad+Gv+hsBK97Mu0UIiAycFCKznEdVb1CAQn466nLCqR8/F7V31HxeLrZCSwtT585Sh1T97pD IvKd7UPKcwWmaHPPb5yDsDTlduoXBkShXj6umvxTl0wkmyYXaGFKgYmVj1mXiEthUI84S+hNFlqT 1go7tpGFb4ix+KWtPsFRKytgrNR0VFBrgZblnHJgv9TsXzZdrglE5f7lgiSroAmOYmafwJ+xksN7 DAUX2TWRDLJKX99VR4jolpZOElf906DK0ZOOr3w9DbWPF1SE07uRXsdFBDob5jwEoYc+2H7qILyi mxjuClbRdSQDx+sQzi0Q+DeGXq1eEsOcZCa9VuwPxkt/K5Wb8Gjxr9DMpGNl7HWwkZV0d/NLfqmt OlaGw+27MjRmyeXLimm0SRXXXyxg6lZQpeZxHb4WqL9qgTlXNZ8V0ewdOtngPCnbjArDeqDi5hVB PaBSK/yALEfMMfFh3RY30hf5Boe5J4sJlB2vRuFyuUoc49iW68a65r4LLGFyQs8UJVwomLCGomj2 2ByeQIK6+ZMrguEVarrzDTux8nMMBP5f2y1DwVSdCyiKVy8G/OZBuaEFDmzvdroGlBsmKcNoiOGM P+qyOLcNm8HxaIA7GCUHndze8IAocWsyI+yObBE4jAZX0T6R5Nu+B0Z7XpK5UTtN8IKNyFEz2JX5 16KZJHDnBAFteL3aqKQCSMU4c3lmZrHGKHsKuHW9S1Kh/iEGWsJkuNKC39xoGgTe2QhEC1xmgTS/ AfVDGd5hcHkDRVdYjwmBDbqmxPLcuj1hiXIMGkgIUthDyVX/N01E9uViDZ7x7TOTA6QZoH74wJHh KsGEmIFIbgJ5uv7eJEYrofBGEd1s/RM9PXbGDyYxpXz5Z94KRKctcqIV1IY7ejwCZEYN1P3H3HFx CJW/LO+xVfmWtUcrpjV7zLAcK9W/7HcNGspjwjX48J71wbuZx7IvpOiGAqirwgz+DeEi87DAUQ3k VZk5z16HLmrz33xk/v3aMU2V1K7IdmzGILp/xXTjlrLz9v7AO5lVV4aZOLA6YQZvMlwaQT3ouldU IA9aRas9VhhopUSkrwqRaXRliVj9S//aRwi5wHgnCjZiKJYF6Jqskua+lh4mDFMtZutP50ccylEQ 9xfyWQcD9Jrah0Q4VM1aYLw+MQznxuPQwqfjYYwkfeXwnTf0XSMC57ACHEWdGYi+P58Hbb5zqfK8 5w5PqJoMhjSUF6XYHwSndWjpNkJ+yWplbnlLFSiJFT2yBUzshTLgE7NkaP6QU3rikWP77VF+r39W egmnXy9PA5mfnNHhWZrF5uLl19fF0EFzBSkn8oS9PR3+FZ3Gxg6MrnaW6mb1x3cw3ZFALyL6yjSr c1hlgqBuTKvLYSraqSfEDSJrX+aC/uOAXOLEKZS1vKa9oOnrX/r8f9sG1H+EJD+wJc8nYth7N3z3 rnICQP03sNLnP/tu9Arl6relomyT/2rOKBBZbzDaX/Zh8zIjDOe+7Cc6KRcfjZycTl9Je07UAw79 kPKc05mYx/SEUpfBfv/JXmoPWn6EfHeiR9GhNfkvG3CfNK6LjdtORFBTkXi/tTrypgDuE/Q7m0Hb Kud8HNYeNkm310LAfYJhWgILxkNpmV6yFCX9var3tvuk6TkFNOMVB8GB3KUsjSO+cPYjHZemCHRG Mgi2FwJwn2SWlOWePQjRRurDojR1XNL8p/uBg/Nn5Izs/b2S4UIskBcvPuB+GAMuLir4fGJJHfBm 6DjaEeGm+hOYNUGInePjmmsVpntoiFG+lnkvh4u7wAy+VVU5DLgfMEWNcC9Mz3XFW7iC7FRIoMPs mFKuLQWjMPYmMQmqSj3vuU6ugi9weQrNQw5ury71APeDReE4bYsTf8Wo7MC2I0PMDqD+fmrC9Kjt 5RwkrjLjLuhFFYZEbu3OFGaeOUr6emS1KhMLYkzqk1fAvszT9b5PLaDF/EtPJVYw2DZHMX6phNKC TgrJKEUK0DKopRxhOsVs9M8y31v+S09lQrbkh3uRNKUbLiFgOFhsvSZXe0SPFKirUVqW0bAW9/ta W9K6xRbPInDE0Y6IFOgA9ZTu5nWaFgI6MsAiR/5kJUwQUB8DyMWCOafDZ6zf1dWijEInFZmDu3UK jE4tu2LEjLJsd/5Tvf2/bRdtHNPSFfU8Z1gVjtskqgID6nmrbhp23Ykv/xHkbghGFo3vdcEYHQZv 0j0wxRGJQU1IK6C+/6f2v/aBoq1keNpDtpOofqEhsdcD2b/2gYDgHvkJGaEgpxFmQQfIieVBb+KF 2GV5Uwrd4aAzsNfo2wSOvCVE4DLX9PtN1bRoNSEh3Rwd3AB+C27oQe9Pzx7HKHIDVhpjmAh2Zjdl raoMQL1/8NYXhb7outPjeeW2qSfs+f9aj///pvdHPzcxudXTmaOuFLYHrd+B/qd6/p/qvY+MDzeV 1SN5EiT8McJjt/J/qvcOPS1NodVP6wFEH8k86RMjy0Fy5vi79xTZndzH70aECP/6P+wLNCoOwFEw 1qHRvF+C6Fj/T/8PcaBcBGY2dcgmDam/NcN4GwD3w/utGk0daHpw9Th3goTKfS7azZ+90qmmXSCe cWcoGBkFwH2xqdvusMurPtT08+Xq8gYr7qzX5x7PyqyPCjwpE3EfW/Xv55YM3zc1PKmgaWoASMGq oa4qVxmbAnB4uvGSen715g58FikE++1TrkPK4p4uaCKrb9BzvJlsnwVHUjfsABMO86HXEN1VNCSF baLqdRMxonW6b1g2SHy665fHCQ5Wb3VqAvoD0E1VbENMLvtjISP28FmMfcoRkAQej0YXyKtMUFna LOcuunRqnHi0a330w+FKXBNSBFUDp4EvRtmGW0APYDzt/jzfmhhdL+dpn9AtMDGUf8XrN9wEb7nH 8zbG/zLKKsZQ/J/y+zSjuw4ubpEu+e606PqiW/xXvHUlqa1+AXmB+ddi9luiWgMwvKW+/hzXkLkm 4DMRI0toOsz14059YcusYjhOeErCnk/KrE3dlcwnL2WZ0l2X0ShdTs6JnGuHDjKZnQrGX0QCUe55 Jugdix0ZiGMgSNx+eRJJbp1Fqmt/r0d6QjhIIsJBoFXqFKTQSBOI0b+2aMXYVTLFrgzucenpu/8I zB6MDuCgKQTA/zlQLlDzjKsfJXAN2YLeoseZGKX70ff9XxNCV2Z0Y6TcPW/TGdChVN1iYqfu9E6L QdcdoyzpfWHRSkLxUb2v49gRpCj7Oa1EwxUX8Z/+17NwgOwZRCZBmRcqsI3Wj9Mr7rGn9otmxRgG uUKOlaOKxniUZG2vir3qnFBUcGI3ewHvm/8zYr/XkL6+IbdjIoPlK8j/9v3qc5oCQ/wg+4g2JYux 4kEm65E9X12eaN+9lAnj56mcxgG8X9es66svDhi9S6XCInOabcb/6X+9wba9x1wsLu+HWUX88Z4y 7cs1QtIcf7ZDkePpjPe6Fdrfjs457H4DKqz9Ovq/S5GPgO8xErb9oT5OPEg9IqinIN0vlf/p/7mz KzkQbM1Vi1ZRLzyjMGyOaaytWu3rr3e/ENUbkP/G2r/ea9ywxEWgfc/Oq1TzZUyLSFv/6Xttux1j lX8S7Mj82SJUaLrj8YcuD+Moxd5lFjrj1I4blnsJGOgY09D7499HNM0keZISwPfamCvq6IPLUNWs +eDpUcf/Ye6engMJ23Xhx7atiW1MrIltWxNNMLFtY2JNbNu27Ylta6/vtNfBW6vWV7X3Yf8BfV33 /fz6qc69WGwQSIUtUU/RU0aX50KCbh2zzRniW3v4PvM74a9di1HF/+15DL3NVulQsXr7HymDpfbb IBxwHotU43wrlcbUUPmJaHhPjL0lOGV8fi577K2Cwa9Ij8eZ3kZ/pRLY6yB4xjLCrr/sVP6/nb94 9TunHibzBmDg9agZWptNgfnxn84jr6sJcxQ36hQ6642pIiqjj4H5IS+XAJVw+L1aZZY0/yWsGKYc TC28ok+oFX5YGC0SdR7zT4oeBVp+kp7BnpUk0u/nj//t/PKfzivHU1tzfkEwRW8ztkbFteyY/6d5 42sqkrZGIrCqhP8TeSJrQvI/5V//aqtFDwy+/BHCZ/31rMjqHzlXyj1uXy5vrr7XK7genjxCwSH5 qRQNWEwMDayRVGupkPOc3eDBYTrPxOkF/HJxYP6ptuWYCYkXnazgVfPBvF5O0wYx0RRuNZHrHT6G QS9IDcvq0dcLgSXZr26UfNISt5H1PDR0rKCOLx19YGhFhIKN/6e8PN0J4q1BvsiVSbvCVPrbNfA/ nWf+X3sG5v8O0ynDoJWJIFJM5YKEnpcpMP+lAxHEFv6CIaEp/2wq0XJ/Kftb+etnVxz5cRk7Ues/ cZqBzW9aHfrFDbN8PIE9p9/igfPX//QZ2Cd2ZWFOtMZR7wi6Z7JK0bBDwD75T/v9uYdVzHFyUzkt 1PD9U+nZVq00QwJkO/OjSHnrEshdb/N/2u8lsudy4P1J4T1I/9RB+ebi/qd+yLvlduQOXkeF4eDk Gty01/5P/XATaUx30cT+sTMsniW4YQ/5n3wF2A94b4RcdDAZGTrt3U++nCwX5ngXn6UNuOXSYL0E j3TnI5QdMTtMO3Fs5nc8U88gFEnAfiCX/U1Uz3XQyKs1eqbcJBLxn8439SBRbJBr+xiSrI3xjUJj nlLVpl7Ib8WaS3xUP2zOxe3/U56GyaELYSJVdUbtoU7cLEbP/U99R4qp8YKq/ocVSobeReK8LBfT ACc5MQ3DVgiv9HdUHdsM1ytNbjeucoEnPWuKq2ZpEdiohJqplwwJS/lac/EGYh5gPj4bXFJe/lht FBbEPAks3V4jRAgTzPvnERxlupRzUrya9H97//xPee4nodIFch701YzqK27Z5vvf8ly+roqDzWJ9 2hvxQcLkc3uZHkmftMg6YELQjC6WnsW86v/v/XMgp6ypCNEXh49szp1o/C/Tf+qDmotCKrXvVTd3 g05pIfJTQUIFfX0WY8qqdqdHb/vCtiFjHv402tmOSZ8xklL9yYW2bsSab7wUI0nfc1gYtBBDIoF9 ALnfwOOgMRSyM2J1QRkyawLMexZ4nNCnL89a8J0ryvlf5b7/t/P4/7W8HxmsZeix/mlyNAIzSq0K NuE3FypTtJlcLSRpLu5TKMr6v833/5T3XVxZAuhl3pWte+43qh47f/6neR8lRP5C6TNk769YC7ai DQc+SFGas0+VpYCbjBd9Ve8m+J/2h8XdwM9Di5Fsx6eXZtIsiKz/7f4APP8NM4KKvaOobPMk0CaJ 1c3D/5962q8Ukk093URMqArnU6PP2l/A7wnyhKQ+s/7AOaME+rlS3At51L8ZusKv1rQ5vZ8Z1DT2 b5JGoQ4OB6RmOkDeE7TSKLBwK42PQmVOGrOMxcsxr7sNwnXhtov7Do3jauOoY/SUXewMxx+nsLiQ mkIHowYgeKMn8pl9eRp8KizNz0V2y7SAdcDY9Ks0W8pzmknd8jj+K94z77/4EKMULLTVZz0ULvYe 1nslLPkbW3PjKjHPVfFIy2wc2H/2HY2xMFBm1LXnOY9h5sa7ClMN4ZnMHQTrytimfuUn/deU+jy/ 9zdoAau8aBoZf/aOMjXaPBgGhU6+eHLkWn0Imm6M8JDPUkyOuhbW2ErTZIulinJbfPmxaBqkl29g HiJmKd367wx6mvbMv01sEXkWOO2zmuxQDBE97yyCm2vM1WwNI6/U66NGptwhlCKJyc0Dzx25vfch S5LutsxNgq6nFJzUpxawtr/giTU/7A8JI7y8MH8QNzkrcJjKGY8HW3L3Drn223fs2Rg3T3zpokXz SggPT/YUkBJ1+FcydrfS442jttSdzX45d/pU4mE9NdxIElh1CwS5z/2hyakSeaebux0UfVXUYoc6 Jz09myHOyX5qsixswwlHKx/ASKbWeuSsebWE/ZiCpHuX2kq6fMk031QOg5jATRr22N7wKqSDavi6 VGu31SGEaCQh8/usm+o+YvghPX1I8V2TNnOg36rezZs5NtbDroQW+nPkfWjIxU36JOS6qUT4xev4 26+03fQAsyJRlqzTdNwS2lsL1VqW+7rs2LpqNceY/rx5UKuk+3w5z71LAZBe6P77481NqC6C/Plh Ig2+jlEssaa+pYAEarPprKmzXYfWqKOm6PcAnsKFA0wpI9VMlVlte7x9z+RP9T9ggvD8ddgMPyiD pXp8ItvvM0UXA7z8vHxJi4iHMAIIv5GYW1u4/LgZRLlw2hFb9r4i+SeJosH87W/nikxLVTb1qB6a zsw2uRuHEjTTEYELiFpuvFEgVNHbAkhk3lU4OrpCuGzzu+7TTWabco18ODJdCoH0VMwwKrKXqDGJ cR55+ch4+mWW3nbovceJ+3qp2ofnWUmMfHYw7mo0QkXtUW0fC2VFAhURdQxebKIf/5S0jDUbi6Nr mw+sGkkJugwVy/IHRePfsAFTP/KmgQHF8tOYJmK9AhlfMq91PDkFVVjxv8KQZLvg0tX5w6lJq6on jY/iZ/IX8q0R5J1jWQgMK4V6BinyIYQzqv2zDwohA7kyhhfiHnvgOPXsQ5HHqm4VvtI/tUvpaDbw exX5KWUPHKV29ihrDbk18mzpPcMrZjnpJS9RvU1z2zpqyE0mLu3mRPCcOxNACdOzTLuTM2lH256P Ww/9QRSCkKwjGHq7ChMImMCHtAIsalZf1vQ23tZiyIxy08C5B+S7Xv9Gwewuy8Af87TJgwuVed6E RclCOm/01rrwal4d00M57sIIZ9sXKrHatN2FWVsNyfe2J+Clsg9OLYokCqLxrKt+s2qZm503GD/z ENoka1TW9cIZI9mNgv+2IuwKC4Uwyyz0U0kN5VXmNAXOQwjHkmwSVCY/IF1gXlyHyhEsSkBuqfna mSJMxFRQ1xMF/PbQLSr+3MTU4WR4Jk8t2SzW5xehwLBLLMhhUc2nlOvzTuQ3GiHOrmp3ivTFMLAT ou2aR4QQmGPVZ2zFjLjxTcFlapshzGYeaO5VH5UdmPFxpJktSbbpFrpc6ViX81fbrsJj8htdy32F Qx7kK/pNOA9Xk+/hbIoNpMfxVbILur5mGyMVBCJyFIdMI3QV7yMCkQRNrgWQE+QfN1oVcmxijp5k FDlO+4cq9MYTck8OF1nE+RsXUHiIU7oyJln2GjAlTLsfAYxOe4fYKLfVvtaaHWyXq0R6TXVoq5n+ WckPpXdwpgmGj/chI24I6uGKDC0ZQjNsRqbo8wxWB+AVL/4q+APSw5O7AYoCkq4R4pCbBZH0KkOo /HxSmIYaqbiTzKGhGPbvTFLbxAh0+Dz+7zd4cXb8jw+EOuCodNaDY74nVZ1hlXp5SfznsfMZfOIV YTkgFaNX63bKWvbD4b+KsIPCVrPzCFTzvDsF2vPst3FIZ2mEskfUZXXnjrLsBGsCxwXWMgMztR78 zEONcaxXQAXTBDgrZwWNK598nvYWHUAPHUIkk2burG5TT9IEVg5WVGKpmeu2jdHb6LDdxIYjXdH4 aPchCSWlRgx2NGIfT0kNsTaaaseP21kJ8YNK3P8GC2orr+Z+k+PC/xSRosMbcdAjb/nhxJGFS9uh ivF5wreYUzKwledIiSsUgKIhQFkIpqU2zqoe44s2UWCiOj6Thv8URk5C1LezlWf3FdEwPxXA/Lv9 S2dUvNDjlLnhomBzi8LrGpHUsO8X5w0nsiWKvjfRGG9UCNV0kjP41m26THomwYRO9brPcgiRKs72 qbsJSEanONOuc50J/PCP6kuDRIlgVsj3PQQ9jKmKkkemNV8sJ/SRHAIe0kfX0YkxpC6y9gMnUDJ2 U8ZGQ0PhLxdNaa+cTtqhvXB8MJq9iTBDGjihl8aK3Fpb60SdI1Il4m8Cedh1feTS/CBYSk3GUWJg MK7ZPjduUb3/fIJvnw7L2I1aRPd0MWXwZIzTFTBiZ54aMgIsDicns9VnKsnOByKZP/fPfyUIsx76 jGl3mVmEUKNtRaNiagfJ/SQoQfW6G8F5+ApQkJ0xcPpDgqcUoTC166ZmmYrxGu/jhN9K877Y15ok qPjiLMOdW22nCb5q7HxYaRtIq/vchmqwrIVPvrpSgIfLjHuWpHuXv0QCh8fk5Jg/RqG9WZVREINF pL7IxaZ/yk1G2C75wVw+uxhEKcfNGLMZAxdCb0RmklOT8DCtI2aMTkFY3xh1/XqVlBdDdS3FQRbP oqk/KPzHx6uyI466xe+pEi/Kp0h45IQickUkLJWxSnd4gl+1rVQqhptHfKKTfFjjkzrym+sYfXjJ uFPHD6t9TnK3SQKyYaduBnbadrMTShB999A6uSic+Y0rqigBxRDSeKQdVeX4LBzw7jGiT6jLzYhT kvnIZ1ryb451/awZu8Wm4vYQ9LdwqQfmzS7xJXUMMgYg25fKqKDxmm4eE3iiWXqu+qo5iCxlkbf+ iDOM66QeatjjNkl72bbSfFcs72VZeOEwEJwzg3De+JFhnjXtuXEdbCqTbvfO+ZCxF+4Ij/yfSJ6h jEXzlzaFO7T4x4up8A7syT33sVt7d4FnQqnXD71YM25liriNV02k7CQk3+BomG9MT9YKcZbCFzXs 8xs1PT5rcYh7oAR4iivMPFotJONpMtk8SCB0ftgX72TAvd57dm7+2iS0qirQHorVPWhFDgiQ/cHB moVVMiiyOWbPbj3mkxAkkqeNraBkQ/rvmuDauJjSISFKCzZcdqlOprW9kTZnx/Myh8Ynj+PdOt09 1BuybMAsmn9drZqhRybuNG9Odicx7equmu/7F+5sMRlmEOMWRWBUXqd4KF8XZcWMGc0g1PrOr4vY sHsdS0yhO2ZwtzHbhmGhA6UOk2SOMZx3zvnLl+aFbEg0Vq/Kc0fs2XeMEfgFYYj8bXVUkTjLvRao MZlgHZfG8HqVX8zZy6tJJGcKEmsdlj1NBfNDGhJz8cqdtdu0TQ61hXYzvW4dE23SP4RcwtYdOFHW 21/gEIVbPXVdpHKkXtIUP3mq7MCjZ9jC4W5B0yNmELcd7iyo4H+e1BQfpKiwG14JhOp0CoYz9aKk rsjuSYlXLgd5VaUjIlhFnsqm9XZRogfiu2BHfJIWorhbqmme0sDvcLWBZlmwxEFOR278RDgPyUyb QojyaqTO4uPKvKAqraLbhimxdIiohGMNlPhwrVBryYrmO3XzbYwG9U+tUZuFcFRHkbjqfLx+cZ3A MV5JZCtDOxcUNskryLO6JuSYSv5DMUc09nPuli/Gnt2KrA4/uxVXQ3S1Eor3kTOT8/1q9Ce17wcl 9LuGhGR2AFtmLbhK3guLkQSYa/X3JE6O90wUXh5iw6pJ9i8Gs/dMfjmU67KIVx3yxNSvcUbMhQzS v1feeMGxfyxkhMWzqFs+m1ZMhzx6ol70ZM9vbIJcL+a/Q3V1hm1qpFkHqXCsEBWWxRplL4zRaxsX LJ+meRWXHq8rFBKI+1VeYtQ4wKGlYNlbBFPh8gTpo8X/7T2AOuqaMXjW63tnGO01iQkJen1/HO81 XV9cKguqkzWbQRIc+3dur2KbfUwY9ooEmWz6nkLrkqWuB+mU6BRCtduQPAJLftGFsH9A48v0ZImi lJ/TSgSax3iR4SCKTRnwp/CtXCy/VEpUr44xKHJpl119gvT9Ju9QrF9u6MdaJBR4tn/Y714x9O/y bdrlaehw08TmJfINaL+X+SnUUIiHNOlMbccCxpvDm9QoMijI1i5ro5g8i3fPLmi7nczZQOL1P5dE 4yY2+blN0LKJoTh0xI8r7rujTsA0qW1XYPYHUrgLi37NryD1XOQHezJxbq7d/5whLIg/aFuzLwlu 4RqBwQxXZs4GGzApfNrA1LRHC7vhbYhXLfoci8DFvFBasoy/7MSHfKLdIrDyfo5HGM3YHqAJqLNs 81rGVWrgrl02FsnL948j9JW1lFoWdYwEiTjfP7JsMXeMkncsuiFyltMjZmlA9v/GS+gHT5nbOCR9 Lzy+FhMF0uihSNAKM9rxzZ3jz7o5x7XcjSbu9ISYBz/RuHW4WIn+NLGVprr8ID/YU94no2emVUjS J7EAxkRyw3XLmDfjoyHDCgwFmQRYonfOaqHlI1wRF9LDSUD50RaetRmX4HcJh7qMiWO/yJ0mSWyQ SCSF2grKPia0V2iZ/YFiPcMRQ0GY3i+3R608E73lCsu3Hq2fbTD8xbycUREPvmTDtIwJ4yD9uKWl H3VaPGRgLumN49tkqk9T76hgQVQMWX2/wQ1B3qlSarP/0O2s6vu2qjF5TX7CKOvUL24z5uCCHx78 WCqOcxhzWJBqWQhpFw9wgp1dsGvfne8b/oB4RfZmtx1/EtZb5l/Zl+izWagxq7G67e595kT0CGCM BlEiQkvTe66YQ52SP9RogqRsgP7c6BU1DsPKM1yO4biRguq2sVj/AzXYWh/S9QN3VYwPBIOl0nzo ql6u3xh2K981qW5sTEYCJ1Dztg5FyOVpSa+l3IzuNuCC9h8ti4cdL48Kv7z4u8rvexiBpqDCci4z baZD/6+o5U6mJfkGbmhIZ89w/4aZqG0FmYlv/b6bpE+8driK9Is8NXYiDiqy0DIFEd0Byk/vyLXY Pn+dtUeyhbetSicGL7qXsGBhew8ml4uQYGU0U6ETo36VQveFRC6bcSWsR0UF1id+X7j6Tlk8KWtb G+FYBc31iZtYKS7sKvt6wtkyp19GT3s5hLBJ5qyLmoDvhrpJAEoR4CJe8PFRvmFcJB1+PUyVEEA5 wJ3rwL/ZE4zZkxshQHUdtT8MIplB3AnmYtODeK0HYpj++sOvRYfOpnpdJVmpD5Ugpb/qWWJOEpvn ka6tSc6GgTyd1xRFSXF/BC8gyxh9QGa1/7AZN7xry5jTDjJIjOSNio9LD8PTpLciVtEtNQ43Yns9 FwM5CGv3W51Knev3jjs3UAj8OREIOxyjBUHaCyvQQWou0yGOcljUy6iA3KZiPon3CWFR9LXnignI x6Mzro9TBiK+jO9RI4o8aZ4JmHtkfhAGZ07PyK2YtjRvBUwc3IacPGvdvhB9I6Qh2mR8HDsSfax/ sLShT2LtdudNxFx/0hHypnn3pB0XUV0xfnvmQmHPK2WOb4YIRHq6ZyIIf4Lxfap9tkj522X6z87k hqb+gfHQRltNZ8agrxXLMLymLhHD6sLvoStYQiv2zvv4YMdJvyQmPUngxeUb98d3fb7X/GyI0SqV q1FFAQL158lYvBlppoXeuF/Si9RGzJ/yCX67A/1ZT7fzndj6oYW6hM6BWRlIYwqe/BOElzGfgZNL z5Ck4AUn9dp3W538MeFbkMXHZWes83VnV2u0dnnhiB9fNf1GT9n5K7EHAWLXN0j8W4YdBTX73Hqu 2bUxqL74paJNTDFoqlgGRrs6sDbsXob4nlIgkkom7lu1hFF2iVByrn7X61sRFuMn4h94Aq4C8Jk+ v9MoYD0JB/vWQt3V5eysvPHO3nJ0hxfqSN/P1BqFJ6zdplEnYamtNzwGPKnING+8lB+fxmzuSRy+ E4V1Ssr7sVTlDMcQDIUorlFud5bn6ERFPI2QC90z/5wrjZYzpwr0gL9ILm8vWe6xlcMstt/HTlMe sye63KlqV6Zkq2hEGGok7NDM1URlHzoyI4bPxBVO8QqIy4kTG4p/iII5Q/cLnGjs+2lZCL1Hh6oq mx5Bmnq6NNeQ9bhtPHiToczY1OhMrwAvNOYw9PoSxPwudjFVeyxCdZ/Pv1xLFzb4YIh1H6ngBRIz 67SxVV19Jbst/ANH8oiYWeD6sqSlg88y/As+jC4emtouoSRAne57FduoOzxGIFWSWAHJjawgy1ZQ aZuQ+ZfymWexhycG9s/c4cBG8/twHNWTsoqBuu8cnPADIJ2bp74S0AXWwcn3A5Ns21Yw4UQm1Ck4 uRPf2pFzsBfv0AKhwE7WSNoHkTxWDIg8nTvG2BHQFmjrcdxISGBbxxiO4G2N6fBlNoYdVmHHf292 7gqprC/Ka828pcvQWG9ZBI0H2xYGFnIY1Bk+2d0hlX3Pb+8MpIKkf+Yv9djPZzEvXR0aFucTi88l r7Kd9F6XbSfwEEQuewcTDSdTrGnGJIXeJpZY1IUaCYGb/a7gE0ANXyYgY5P2FOl3EGc2lJUYoty2 LO7w6qRUhhH405gUty3npBgN7ipD4OvH7I+IXxZ0WqZVweDLuZJNCKGJ6vjeGR1PE1P0YfJBn4oD W/WJAdA/ThdOYGNiEMbSS5yRiZmVMw/tvvNTFfQ7EpDs3gjQQSvJWGSV9LzrrZ9OQ/L69Rojkm29 EzvCtlZfxKz8RZoEp4PMrPPSLrWiQQz4IQ86e5e/E5//9wPhs0swWRwp9kIceXSJRoJ7caGagkHV K7o25xiraUI7bBiR7/rIkWxVDrtVFzN88Ti90h9M+7hkvFehXEPBHTLOEu4e9Hxc4lL7Iji+OP+b anLCwmQExYw5KmkGvCDn5iq2vlfhHOEb0+vGZfcNC4odTHCVFrdtf5vXrw5hK3DJHzGOo/P6osPK gfAxz66XH+H6tlxFTMfQJtZfzoq2aRAbmqI/Nawfm1VSQXgWTAmIEGK//i3xpz715WeaOHJ7vEdj KoSmgcMedKBkpSGQTPS4Y1ef5hee7XIqf1SnvA9uUGiCMjAnO2nWsX1oXF4g46v+CTOBBf8ZEcI7 r+9WG1pHQ1qTMxbmbQkPqjGEievLGR15FJRcE+huiK7uyCskhBtNs1P4azkRMk6Wq8pxMKu2mdG5 5HiMmeZ8u4swsY+zxc0LEw7k3zfu7ely8SHZju0UfKbqTkHyBn2MpFfhQs8fpX0BPmyGzuIH49RI BBeuH89Zja0sxAzFN4KiwsX54mD1bMfDgsExkiI6FLYKNRjQJv8UuZ1+SldXMroYjeqaJ3svmV7/ 0okrmyq7H0deu7OStXoy8gyCVy0VoWIPJP0x7Qv/EanuVi7HK0orGrH/87AbkTXi4Dj/hUGKV72j C7snk+ZJte11tCiUWy/sfmi1SkiVahl1fqKlRscybLsOagXHnaobjlT0eZ3JrzdSzrA8isKvd+6b QJtg1Nh2D8aIpp25CBatbo322M4d9kt5Qq8zL0WJZ9sTbAX1EXN5l6zmWkKVQ1OZyq21SDYZ3VA4 3nastj8vqs/kihXvC3XWJEGRMNZQY6rR/Rrv1ObLwpH7WeVBzr3r6rq7cEaGJ397Jz5NnlBY0WXL 9wyBOlUElG7T+PRO47iAQC9TkG6fhuWUzunAZWMMXb4SLS3UfLXsbt26v3Lz1KF7R1/ODVf3zqDn ZAe9Z7YNTkbbnAYfP6Y3RSXLK78TmcYQxg5414dhHnS3wE9IVxvCTGjWXO1zQxBsnz9XTMqiElNA Qnte2vyW7AgaSqZg/4X/iawQPRmBvuIOITppgbNbr6ZE/pk6LnXDy7ZkIUoFmuJAm4iwtteNN0jS 2Uea/2ryJcAv7EHPOPx9oiVwN2U9GzEvXskyxFsh+g17cfQSjbutJDSypHHu19OdGtIU5sFDzYnK HvI7KZTzpUpKINv/908oLiJ6Y/unjZ3TJ0OiyuC1Shdp+WZbe8VbTn3+kUWXFxWIpzaHKeHbcHDW G5Bx9umv2bliKXn8vCh1au+OLxxH3IUOiW3Qyh1PCnVuZvppj1mFQzNVjm4v91Sa32ELp4bDs/ha 5rqkzuhnUlb0OFk/wGrezs+wIwfk9AwcHkFVqjeTVvMFzINBY7p6d7/9+evlabH4i46PmzzFryrb YptzGeS8senVToF6eav8KPd7ZhX/C1VTvNV/bX1iUxJ7hOreROH67BBeWSugrHe5DfRtKyT/Ckh9 TTQuGWyQDhMWm4tW9ArcbIYpzcLqnYpsBSSVuZqQLwVIdvSjFZ8CmXF6d3DfxjVmW7f1GstVo1JN W4xXjtjvMBfl9cA2/fLo5vBWc9ezPWNllgrwIewZNEhLek6KckLFuF0gjLWQOP+1NZ0GGT9d0K1W HtfQepjLXvBnx9BuPLvuNefrc+z9s+yhGWaGXg76krhZHtco/Pjg3pnqrpvomPJsnuCaCJUfuvTq iszJ3jhgnOpb5OOyG/OXHuwNTOUiLysuV7zEiMACudo3mu/ISVEUrF4P6NiS9beQJqgeS+Xcmt5V 4k7oiqk9Zp9YgYzugzum7jKIodyoqB3pwnmwCZPMbqUGFzjm+MkuCv5mhJXV6h1+stwO1tBtibYU ZrC53PHm1ghmHAv0FNzQy02qnJJmUK8O0msB+/x/Qg0UseIMDA9vYxB6fbNSQE8x/oO71laQMIXI VUEm0MexMSTwRCT5bXi7biWl1DP+hRToKSxxv3WhqfBF8F5KeAZ5F32onLhKKEXtNMMJvOPiwvGp gJ5Sa5Ht5HPmu67yJf20hifWasVSMk82QYUUSZfiLMG2cwL0FHR78xij9Fmvqo1gdOuXXXoCCRbf 62/jbSXdVm3+yaA4QE953W2XXrMZ/omNKuFS7g4qi3u3bZU1lsI3s1ENng2VbAT0lIr3n9bs2bHc a9fHk3OGkxJ2yeog3w+afDchAq9l1QhJgZ4iInnZoOb7J5PCWSFgwljVsvdIMt0WoU2wUCKdfrFL dwboKTArXLL2jGbdQ2og9yQSzeR8KRO44VqxdI6DWNRU7jxCQE9pM6Q/i6JXDI2Ij8jVHDIwJWfx U3h2GQS5bte6Vvgm7g/0lMohT5vjR81N8VnGdK8cGFS7aelv4J1h5pQHtAYMWu0VQE8hRCWz/u4y quidg8CWS4Joj5vxL/W9NCYhAao161UAiRDoKQo/SmXfs6GD8SZ1KKDrZlrA38nLK9X+a4uI+6+k L6i/BnpKtC4tRKsKJRkE/TqMN+vXC4vyDwwkHqjJE32s3ZcQ7SOgp0AccDHtuxiO3RRJv4KJdvI4 RLLt96WpGKFmLA7udNBWAj2lQsbKfPH0SPS65NQfBJ/jXgz7eZ6UineADckmMLmuBRPoKcy4LFNJ X4mU+Kjj0t9vtxfUYSndJ3VfyLTTflrskEgP/DdPQTa2HnZNnRF1O24fiSioNJy3TdD7FdTCakt8 JGdfHw/0FLrxBGh2F0+PJaaJ2Kq2n+4fMlKFJV1sq58lCR3Ox0ZMQE9Rir+BhnY+o65qoIKgOiX6 k++qmyewLD5R40igkXCRmwD0FETJx4FL2JK45xbSQRRJafqJ3n057jdQ8MZ6OJ1GeCMEoKdczDY2 cV+m/LEHTy2lx2rjkuz5GyCtTfBy8sfn9+9RPW6gpyA0fiKKod8se5c+/iY8KwEn8mFGxq9RiB4X LUATahTRBHqKi83jrbvAiFzymjbr28O7A32/k75D/37IxsdimmMYiQ7QUz5IlCgDkv2gUOkqlGz1 4AUV9hGflP8w5LpDPWUGiTo1Aj2lXqJ51eeJOsab6VrJGokzP2m/OG/3pM8qqAtjIesPNRLQU3L/ SotTg057Qgaysff8wkNyMorbUEvX/dKAuabqdvKfB3oKuFtsjnXDu+VWDa7vXsIpWkXTm8UUSRO4 Q0NfEhin1RrQU/4RtzBdrWo9ogWs18MvqyCrufHkhnbmUFzyujoxy7sgAz3FaFXvt/PbXHvUAYy/ XUM8BugaPFMnyrpw7nggsg7rITfQU7AMOcfVt/C83L/5G/HLg9ihkWjiERDYoIeRyxvtO1zKAz2F cD7RinPp9XEMLUASGh291Xn/AwXEG63pdYmzSqi7WhvoKVBxSU6sdgog+UQcUx2bO7KUQzYnDPMn tRHHkyJJLr9xgJ5SPJEZ7u8+bTOrSes1PEP5sITlUQ9bof9voRi6i5WOsBjoKb5FHf8Qnto4lX3X DMmnJxcGpE62tP/5slDuUcOoq/tXAT0lt4+B8aLZ4oTNaewqmlgjVlS8Vgj0ixymq+rEGIrWtBTo KU04DQVXuRKaawU9RYVsvEc7XxWiozvsw/lFlNJQ6fHZQE/hclp5zfJyC6VY9KIQN9v+oVtq/xGt sUxhEZdhV20OiQn0FHadkd/LGX2Q5I1LEzT3fSgK/gWRn0iV+pDW746l9gH9QE/xcBHFKB1rml1L WYmEa8LGST4MJX8EWdp7b0MmN3SvTwJ6Sr+mJjYFJPv3ZaRyBmvs3zyYrRvb/nr7IEk1VNJXlOT/ gJ5iXQdvD83e9NiH/ApyC3W2UcrVn1wVxrmTh/FzdEF7nw3oKTuHseDygda/veem6Q81QG05fvsm R8AotPir3GJ/h/uBDvSUefnecNOmkVjZwrGEym7IR8RJyCc0sW9EOn8DE+Td+saAnmL4OFb00myV mTFszFhi9siClLr1O4d9dGejvcVA4OfVNtBTMh/dXpHm8R3AhxhmTAnivcFiirH6l2f03+CkVrOh U06AnlI7Zl5+uBPmFTOXFI9QMcaPlIQXusFAm7Uosaq9I4nzC+gpUCDWBsk1W25Q2LvDr9nJdm/u E91MoIsc8609c/pWRitAT7ny6ld6ccTl4jfVyEusu3Qfy6GJPh1hiSxnyYtwL7jzBHrKcFfquqEE 179bkGwGl3iHjSiDlCziyyp6imnW3uslFj2gpzRSnc/9S2t8sUDJXSS2FLGAZnKZZfO0s78eGsJg UOxUAXrKGKcu0z/RmrQ938i0zyRN4tshf5J+lSn6v89ZiN6IHuFAT4nHYdeA5CpICWi++GKKwdwX 2s0/T4T5Y1mdKTolr2HtA/SUSzv/tAyXfgl+MJkRlW4kw79/K8GIrBMFW4RmcG4z2GSBnvKq+itY vVH4xfHj6C12nXgeb5NgxSq1IWIzguWqriuXDugpgmZlKAzuC72vVtxJej6qHW3DCqlxGyyYfxvW fRQid5uAnjL8EYJsowjXL4waBH2aJO1w2r8rPhT8rOoXBseZ0i2MB/QUGMZSLxFPtwgF0ukF+U4N WCIxkc3LyGWDwNsWwmpKjlqgp9hN6RihWhOj9PxeUqS9eRkcUy49pduhrsTVb3Bf1bXzBHpKUA6N bFkINQh4ZWPeriG20fgF4nsBFEMoX/DbDFkxdgzQU+AKntlCA6fvDfXcg1u2aoLcbWF751WgyykM p9m0qLDegZ4iP6M/Ji3JJMCf/q4ugnnJOeDIKOnfbJHwOGcT8DWeDQH0lMrwm22nvOwhT29EzUpk /NgyJmteIvSEzvHoNu3vKm3aQE/xRh239/CniJsO6W3/Cj3DCjakJd/DKGoNv/aC9rFvOQZ6CjFI RPyICmQQAk4VQi9bdsOfSd3dB8ZQxd+WY0rmI18qQE/ZraIonjI4q6Ao3I18E5Rz2Pr2TPGuEfrb MJ1VaSOdhAboKTRNacavVzERCNKRqfpkOrgm1XKl0rONCaeoccMrILV7QE+R2xoSpJ59nsAhv/n7 kTt1uZBRwMfeATInUxGEiXNKBQ70FPp41jxOlPsFcmvEvWj10rr4u0PD1015m8icGORHbzsOoKdI GH62/DLn9T7pxt8O8e33XthTL2HYAZeVUZBxrfXPUQd6yjgpKwpL3zpF5B2uJVkWDPTeJc7ODu1j BEi/CDTxGqQ90FPKfbWgGG7vBB9PKYPlYUlvDwukPG+yBtt5Zyvz9TiNpoGeQjG0giOLHEJRiJpR RyiE+ngDBoNnOTqFl+yFQzIw20YC9BSIAv6Hq1KHEGNb84eGiV4jGEllGVChonI2XBLZvpLhXKCn pLOwd42YncOQSYuNcFjuakN4bpcnbCSXIsXNYyl60nkBPWVqFqYxtGDgqxAZ4V3c1/FfUkgTpyxN sF/dfCv2J/pSG9BTyBnlbw3fOXXhwMAbC8T1yuzU+Cp5BB/ytu1O7R7tPpCBnnIWnuCHqGJTQIH7 2vIea/iFtLFomIGhYuPSYaURTuSsCvSU9LDrtaIs+fEfUhEhMM4yNRnEJke1umZzzYanUSBSylBA T2EUiou6uBoz7KO4xBto6c2Zeyit6q3DIpdJb3rXLYcSAXpKPJWCM4HdFU4Ug4nOpOYMlDO0kXyt 8KPOWEFGXUmK1TzQU/g5mRM82mDsUI4oOZUV8rP0sTuIDTeNNYT/cX6zzJVtAXqKJk7MVOpy4dEB RfuGBqdP41XXSyWuCdu7SEf8ILdnrADQU7hlQZEuwagnw7yHxGrY+/7U5DF3sBdr/WnaQoHtjmVl BnpKb/Y+pZdRmvTAQQT1v1I4UAJMUOiXI6w5qLylHpfaB0ygp6BFOZoer54dNr6njE+onkyYmStl v4w9IiNfizuU06y3AT0FgatSV6D1tcgdYQAnMk8hYsuSJ2+KVqOjZYAKcbDO7R3oKYOWm4s35s5Y cmQ0n3/tW7+xoLVlEEZ8QVPdyhtZ/+hVAHqK08hvsm5m0Fup52QiDye2sUT3tA79m6oFFWM6PfSN BVmgp4idqKQXCe1QofFHTXPW9sjjduMdI1+X2+OXW/xuYp52AnpK4eHvlVOLE5ydakj6NpsqBJ4k p+o4TtNDKAkBYdI3bmugp3QHQCYYmMiJnfeg65Qg8ZsqxmFyIOVDIJlpnK9Sn5NBAD0lDhMDhh7z by++hrG+WTsJXO6oHKc+33PXeOMnmy+ZOyPQU6YDYpOypjfoPsZKc7d/BkSV+JPz7UCJ/LRYbpfe 7NmXAHqKQ569n0zDKnpOpLlQlyGuPX8vpVv2YVB3oJthQjPJRgfQU7gQ5c+//PW+X+ElSwr1OWvW w33nyKrVJfdfzOt23TbnAXrKjcWNjW0GXo36DMmMUVnEBOd28rYI9/Fe9L+yWg1qNlSgp5gXl3fm d2GyEindEs+XhGQsl8amho9j+j93YSIKZqBNAD2FdlBkVoGO+XegL/xpIeKW4Pdj+VWtCPdXGT3Y f0Ie/htATzFIt9OT/PWnoAK9J1FSEC7cS2nopmzOG4lkV/y62/NXNtBTjl+ypGblhhF+1BDh15kS nFy4EYQ4MsCesy45pyY97yQDPQViAz0pprB6A9rcSIsSO4rwpCuGp9H3M67XxNZHTBdjEegp808D Kluy50PSv+aaoqrWFIMwY3n0UCTPBiGYFYaqN2aAnjKZQXnBiWLfU4fvNqiapAGHzaBqn+E7m4Mk NcXK99d/EegpLINFyUTeK1xrb9TaikrT23AufEieriMIWpODrdGpmfBATynFJ9jfau4oTXxgNyYN gFLAcH61CmvbaR5clYV2uduuBXqK04/Ne9fugRGMM7gKEfwBz86lkvBdKBu0tEsEL2tiMiegp/CR TWiyWhWtLGwmJF4phWIGYEWfu6lEBqpOptA9RRbgAj3lv16dDiPCppZNM5uEpVdCULPqaeql1CUG ejVwqsYKuz9AT8HKfIW3shT7okRVF0ekWXBPeVTqwETT2FNV7BeabQL5BfQU3/LE19i00HrQn8jk y2/FvCIwNvWvpWQJD9aBZxqHZ/+AnoLSAVOt7XxGrjXDWZvCOiNKxgQLtrsox9ZyLL2Sv76HDPQU 1wKdJD5qSo64kYqXllSSbHvML5Kmafy7YWpIteHfB2hATznZJ68r4V8rH4dj6Oh0eCl0G77QFXNI LcOjT+k17UcbAnpKzRqxVFGi2jYiJQq11IOy/u3q7f0q2rK8p+I6CC/HrBPQU3hwJl7w6+LpX/3I 1eOYJ/CwBD9FqR7o1Qs0EeG7+lp2gZ5StRayck+rWiX7kuo9EpxeHpmyznewLKhXJMs4k+7yixDo KTuETovUdEqoCzofRgUJf21CiyZHzmaRLDV/Q22jdxLVAD1FZuV8XHMn3NAmyumN4UxMEYaN8lHb Bz1hFKSNSL5KdgfoKex72J0G9VIYCvn3hGAxjpQ573eK3QXUyMvmUDDfKDdIgJ4CbTnh5G/Pzb6n CYGrn8g9+ulcvVNKuh11EVkxFv+HlAHoKSvoZ2EUzjNiZ25iZWucbA0uApt016MfhD9PmHHumSGg gJ4CGiA0qC24vWaHC+5e1BxH/g3X14wky2Km0Zik0/+DTRToKaRPKq/+zcguTaG8qPl7qgYhlUQ+ KZ9UzLLxBa1j5r+3gJ5SVDctkPSWeTI/IZt+CFfnNZ35KoXlLQkrcnc0W/9XpR3oKWpymzVRu5YY XFwV08J0IcNEC+o8mlM0IptRSiUwZhgWQE/BTP/XO+C28Pas0pfH1hD8MdCzoWq5LHaA1vgUlzOh 5gb0FKrB7QDy8ji0x8Sb3RD/DnQntgPdPal3LP5+U0M2BxAooKeoccNgZ5geadNRtegyd3LMJIKS /5pOEx1VJnJukd/XnAJ6SkS+UHHyy1Z3X1gYkW0tfFISZSZi3PdLljE9fl/d7mYtoKc8Li27yDqp DBjXSiRl6ZZ0yOpvnjwJpJbjd5WXOER+NgI9ZSDnc64jtlkhu45DHiwuT+Ue2jiq9Ajhc1tusK6q lpAC6CnFIvhpXrdPm6XyO763/978lFNgWZhFbFm79ihOT2i+/IGeQiB3sLr61VgDAasZpyd7P7bj 2qstwyCy65LooxSYxSUJ9JQyA8EDa36bkUseutqxet5D3gKz0lMhmBmHNaulfymJ2kBPodrzSIrR /yquk2w9S4U0T7c0mJ3luh86D0FgBan9Ux8K9JQJPo1SVshBZyVtrfpQcuHypyE4xCYpn6IMqMw4 ckb1VKCnsL59PrDbKCYY43X+OGz+NTu7+rEfasB48NPuWec32oIr0FO4QeQ6wgbds63a0dKLzdI9 U2vP5tBY+DS1qwmtf67U1QE9xbCzW5YqpT0W8dvXa0OmDDGCV/sdg9vJqTx2n6bHQfZ3oKeI7hnD 6NocPafKqf4jAil+idpPQHrzS41yb/FICkWpRwd6iozxkSSp7PEovpjYsRXU3zh1HwPpHnVsxzOQ Q0GEF9MKoKcoe1skEjw7okyz8+BgVmaEo7nNlPRLHhIpOB9DB1dzNQM9JQ4aXaEbzxJcROUrU3Lp 2JmtSNkfR87XMvM9W9iQ4+Ia6CnWhgMTO1ajEeLXvBUcA1U1NwQGy5cvxHloDdYC02a95kBPmSz/ Oup+HlSvtJ/TZ2YWIr2rx/X97HoxNalfMOI2L94Ceoo6foxC4NvPgftu7VdH17KcNg69n5xtqjbr xvyC0qahg//tfsq+4B/GKWry436U3LxO6igXCs4B/poyM/2DVDzabJVyoKdMo0jS7/aOPtXPb6z2 qlW/5ElX/3thDTr4WSCyWrZHWw70FLpMdKwfojvYV9hVldbxLLrSWBCwh+EX+uunflDU6td2QE85 KnxKXSXRW/g7MpsIC2vFOzI5zRZtmWaxoXTZkPU4RA30lJvtGR6jouY+R5E/8pbWVtUMCupRmWIJ 75ICSZfVw+2TQE/RfnftMqc64XsyY0fXdqP62+LzjIFB/Tm3okJN0MQbbg70FMElXIUBmbEaly5s U6X64Jje/Fp7cptuiUc5Lpsw16/fQE9J/87+I7wkNVp0qeowoqpk9xuyAB8B+PpJHSys2dx8fCzQ UzKL2ubx8KhFmL11t+LpdtnZo/VyldoSetwUtzGejJEggZ7iz7Lj+7SzqKm0jveXgeX4kFTm59YJ Gvel5A16ZfpiVQnQU/qJKjw6XuFDaVEqTazHl8s86CFLOYppgldbM5YpblUMgJ4iGP2vwtJePcwl /yjDxO8h8q8gJfu3fkNTCBRDp/trOUOgp4AfNNMmNHT++PH8KtFPYKVx+eZ7uuR9I3KOItDZVwFG CPQU/hjKYgliap/3wHpE9azQaQQiMZLJ1Y9F6WxEcSXE0FugpxzPQQxQTKvF9EtlQkQhopFRcokk BDhzlx3Wsf9BXXxrBXpKFRLKvPVl+PVLyREI9gxMCcURYasgyFsU98dvaLBj15b/dj/Fi3hpUD04 m87jA3YhwI+/tj8+yTLilhxhUaVpC63MD+gpEM8knfNh+PBKgpoIZAqTMtXakKm//nSXg/xyqWYm GwoCegpusF4eTss9p3mNOmvDtBlDDs+k1W52lUytrJwPTKgONtBTomqv0/QLsnH1EO4kQorX2Iwv CvR/VbWGedOa0vKZndECPcV1jYfajrhC8k7f7qa8l7QfQY2XH09Qj9QH+8K6MUlvHegpVax7/8BO bRT+DuY3rZ5V+zhTquhcUR+ucdnk0OEP/gMHegq6U/2khmn2uO5G/Uc5tpDy3OMMmeyHfJtW3WKA 6Ly9GNBTnpajELZpPOJWDxL8Ok3k79Oo+Bm95z1vW/VyPLy87XyAnjI3eG5ee0XB9LJ2ys5csG1c KrexuswDLjg4HUL8NnRZCvSUl3ehLSsp8ADOSrrNhRJz264L80HX6CK2gRBSRMfgjiWgp4gGMVS5 ZlJgyBGlDnQM6Z7XMj00qGqvKRRROp8r3+i6Az1liyukMQ0DZwh+xjsXBflPC+q72kmXAIZjQy3o Bq0gxR3QU6ozBNKsLA4Y3Zu3OT140edsVbRfu3cwBOAyHgybQdTNgJ7yBtcNha89H3zJ97P2QZTo HTUT+2CagSTQq39agNLo5yfQU2R+PR8fVdG63d7Yt3/QinqN8Tw/jgmpQgQ3k0WHBJ3gAj3lFL3G N5uvzTvsIENbpRJ2cdyN3/5EI7o0W4teM8HcdA3oKaQZIrzzknR48H+IXOHTLsU15lo2VviTZ9cF c3DPsvQOgZ7yBO2203skU4aOpNCxPJwTXoVKJ4g96jozAvMnPRdBYQroKRooamW6d5OcGFc/Hzu+ ofVe6uWeDIAcNmtFt0uky8TZAD2FGTmftTrdKzMJLj04MZCVbL8iP0yJ/HLyu/NogLL0oT/QUxIh D34ELTz4c1krD92wo8tJCoOwzavfx26Z7uOe2DaXAz0FwWsJq/KIYhw+NfaMKgLhUS10Plc31QZC HhKJP/49fhXoKY7axyaE5ZUWThvJ02hR6CZLATK3z8dik6P8F+luqAlmQE+phor6GMCoxibrabOM EcFTadkcvtBe/jev+NHMdev8bwfoKWCNLfarwjE1edujQZ8625isAmmHw7Mw3n/6Es9msXc8gZ4C 4UFvaoAL3k5UkXKPq8JPp5X9y+j80ZpoYvpocAlfRQ/oKdtRbJn0rGZiUR9iWId8wX9arLCoHC/T 4VvGxpIdf1ckAD2F0K/89/fDFO8VrOWIWFtRmfI6njj8hF/TrtqeVSK6aY5AT6nTf3Lkgl280rJt u6iEFGMhGtOGM/Zmv/I70w+hY8MsBXoKH4To0fkFWezs6ZubzbFNRnNl5O80i+OE6ksKNBdEqiKg p8gXjFe7/LaaGLXaie3PtkNYPZI0CEB5mPksIQlLTqOmAHoKCC+RZ4HDY1g0XtA/Bmk/XyvuUnbi elSNSvrBrPhUKkmgp2SjJmVPuy+jqksQWmNFkZXnvKYbTyYqxY6u17SLFothAz0l2GuNQ5theFvZ pHrcbgCineyEkXOOjoqh27Feh7GYPwfoKXt3q557xsOCyuhHeYH9tUFdwails3zOb/aMua6PqZNn QE8JkHIDF3i8H04C43SBW5sDuXEVfd+mX90ROJOZbTkkFQB6ClXbsEra9Jd2Xd33Fcr94r6AyLzK vTMG48rCb25jOtWYQE+pe5Be+csGWt6ZlyBsTsErNaieQTkRZxQaNmxbQhRp9N88xUZwVfsBPAn+ 2ZAUxSN+LW1U+kUC9coqLnW8sw7+BAYO6CkS08OCa3IyjJhkJXl/GVetiOyatvAoX/J4H0FrMHg8 a4Ge0uWjb8w0nvSON7GWT0fvmJo5ItI+5Or6/eH3ABLhgBA+0FNylrJNajyPE7LYj7+2bCpYmg9J E7tBPJVvUIXgIzERrIGe8m8y6ueJM3xO9sumebX41CEI6cenBC6ueifjDJakW94M0FNSEZanhDAH TQMgGAwiexYUeVGCewKprKqL4C6yb/moNoCeItlPur7rCe6kxIz2MjLgzDpTTmYwS4l3YZ5UFixn LCMJ9BS+JB4SpjYbi1Hc6Rfq31B0n/EkI/JQdHaIuYSislxop0BP+ZE9eH5eH+TDRucHg7+GXa25 y1WJmsGMXTFVRgji6CYG9JS3Fxai7o5Htiq51Jkhg+wZ3wZwPQpaWvO5ZNEP9VmdNaCn+DOU7FWG bwi3ua/Hu4fd4o2VlUPwKQ7LVUKnsvkbtzECPaXU4SqqTR15rWNxT+XZd9USYhP6elAGPm58LAV8 zIziAegpElZpQpZq8C7dOtbBdlBhXDRFgl6rxRA4EMXmo5e05XhAT8mHfak8QDSKGZ7azaUfEBgA WbK5iAThW9qyvtql+Od+DvSUPnMhyvu0q9HR5WJQQTvH9eFgo6sfOd3F04JEbbnX4tBAT9G6zjob g6qlwbRCZqs/7Dn9E6ld/hR2zxJxEVOgmbtuAPQURHkkYs8BLZj9MU5jBxxVgq5mNn3T9chcdiSQ xszI819ATyEVj8I79z5OBJ/YMN3b0UKi4yZTFgjsDSce6pFQKeXyB3oKDehiyxs2hUgcFtLzS2wY a98RFb2Qx5DN9wFWJuaQ771ATxHl0prElWrbUOfJ5VTtTXF0Zq022v9LSI7TBSWsQxqlA/QUNUS8 zQYWa6HH5auBG+HPSpen06g1x+JwxmH3mWXsHQ2gp5j0rf6o3/hzBRalkvtDjCKNWqt8O3nB4TCr ecW56O/MAdBTkv1tVfYCJ+LnEjhG6jg2lfG/eNzjU6rTeW8SLJKNVs6BnjJpvx5tqGN+sdg25RFk lngnz8a+pm295Bp+Jhx4rvpnGugpd5dBleEgW07UNruotxB09u12ubNGCjdjohUGdtk33aRAT5En gRN//SRfs81OFDdFRY+3kJHb4bw+sYJSxZaGfha6BXoKKG56n0TQ9YmEnGo3VBrKAvKvC0m72gsj FxoI8oQFaASgpyQFKWZjrKvlbR5IpZJS+CrMu0vJ8HNW/0xuU+/a8tP0AHrKadLV/vntL9GVRXr1 ljb8BZ7RKp/wVDcEhSXEC9lnJC2gp2BWcJtPMOj4MjnydB5nhKhZuE6t1Bq4I6D89A+CCyFy/G+e MkoiwyiQTtUsUZFJsIA1jxImXDLxPBx65rvpyO9VEgb0FOPHNxNE2l/wo3pLDFfXCZYzZq0+ol1V hZ7mHKx3Bmq6QE+ZxN6AwAQ7oYLfIrxzbafqILPT/9aoL7LQ6S1p2DlUqQj0FMsBTVDq2GPLFTt9 sR0mQl7ymsW48NUc8fVmiWbdVbAwoKdglFQuyiVbCW7WWymQ9Rulra4qOzJj2kCouYlr9zdZlAE9 RVrdY+e1Q8e+sPNsNtqwshYFPM6ArDz2mzTqQq6c9I8HoKfUrlU6N5WTPAw+z9jqlUCv+9XpQd/q V2HO6P+gE98bEwR6ioT7dZ2xbBdMlMiFUz3rvlynoe+eoLGVj5Pi9X6y9FMQ0FNW0PKTmrfJTFx8 c6fUdr+I562yK+S2K2yX1fVfdAdPOIGeklBYDhvoIwZrO4+xUlZ4NnF3IrCGnNYqmStz2HK26s8B 9JQWxcB0k78nQyh2tR/KhEKkSnvnUoOOdSeI5elDVi7LuUBPsc4zJamXs74MPXA0r+MY2dxcfxWP u/7eiqd6iafnKlgM9JRc79QCU5wv2ULicQmxju0cI0pQMbkReSIr3I4v9COXRaCnLKOKJ4f5hTyK JnGqNujjeC/4Guzp5/52mP3EFB7CpfsL9JQLjgtI1QaFU7o495iRwydpJ432y3M7zKmuKIEiiFx+ N6CnSPMnPS8k1/rxdZ/OOc7ZiSAaRP+rEiZJKPbkzVeQjdcBegrMMVEsuTBin9WDnkAj2Ay1Eadg itKDjIOGYl6muQKpGdBTXjHIJbH+hNjXtbkqwzL6CZgKriy3VMz/MOaAZ8brKaMHegoO5XEUS5gl t75ribO/MeSXgLf+yRk3CHI7O12K6bsNHNBTLBQcq1GmZm0pGB+jddZNajS1GpARNVOoX4vmTmb9 GfyAniKaSWATT97/aRb7lzt0+Hdagum4eKEmzrOOvENTi/LvfqCntBewtdaV63bok6l9cSJHzAwG 2vnaFNhRw72x7QpXyHIAPYXRhva6MY+Z/Erol9ll/lEVn+hQVpBZ8hI2Mn84pBFCA9BT/r30+tPo /uweidrVl6aZ/uIq8nJAqGnKdXb5u/o9QmAT6Cl7aN6xSHHN68HtHL7Eu5DgEf9QilOGJzYYqpeY kVv2bYCeklfb50GRcLGa4tmsfXYzdOgpbIG0Rmlv4fAbSXDFh6wK6Ckcg5NYBU1spgawUb8t4oZA 9aa/MZyOtXgeZZlMzfWM0gE9xby9bGV3m/hK6E5XraqzLLOK6A8O0fT0ehQVpxIM4mIT0FMQcWId vyXKPNHFzu9TfrTpFUi3Tj+qWJT52T3guIyHZgM95fanKSjHpCh++ZwDQmZkhV9QdwDoLx+p/TZ3 0b+9XDUiQE8RDPOp6WlnOX3QTsdsw3n5RmZx3xXtbqwaCk4iRsmQeQP0FM0MZkqPKAq/ibONNp1G PW2VVQU8h6K7BmkGb7ELdi4DoKd8brnwl0doqRMzvqqpjnIxyYdo0vpUuX3Va9NlsTuwfgE9xaz8 W4PRaTX+QjRT5ChLA8Xgo6OGhZEpOm5PktBIdyUl0FMSuZ1yRbHnAo2RMLBftmN1nRSaxP8PWff0 F4bXwHG8llu2uVq2bS7bbtktW8uu5WVz2baxsJaNZdf2PNfn9w+cy3Mu3q/v+TTxRt5li7FBSF0e D4CeMr6x785UYCCRtMQ4hot8jcgUYhDGwoS2xxcaHQ118GkM9JTVp3GiGJmtx/fkypGJq9nW5d5E RlXkM+GR+/vLtNR21KCn/HA/X+xioPgUb+A2iStASp8Os0H7aWOv3jdXytaUQCEI9BQV2UsYdlwR 75vUdzvHy27TsqGZEtliU1kBhct4Zb8HHkFPQfTfpz6ruiYJIkTjyjVLirr5lwtBZfiUnQfz/ENH 9YsC6ClJI/LQ+Uprfs0vGF4sJIaG2WMYQp8XYWH/ZAfICChcyYCe4qmf4sGHS1Nl/jn/HrkVpw1a ljxfycG/xOe6HWNhNzwI9BSFRrOCNvV7TDxb0TXV6FcjzBJzXqdJRhzz5wY/wZMrXNBT7Pq/Rqd9 cP2JsHd1GP7aFfGX7lnoSyt8LyLca/S+gw4Z6Ck+XNbzUmztVialuTZBur8SN4mZhxDKO5q1Wcwz 7TLFk0BPacvJjDcoiExiKc3lILucUMb+HtiVchmtO64dV2gF+/IKeorb9eM2mqi7oIecsTucF+/Y RsR64FRKyp1WJUnX2YDrFugpHemcFxfikwhKLJ7JtvofsvLXTNkDoiZ+jWdg4UgFEfSBnoKE0Plj NQA2V+zQXF1vM0sfQvQkZSh71+uDjrqUpdl4FegpXxWgDt48YBopdRBPxIdKcc2UDebKKyUCPW5m BRtNI0xAT0n4/onHJey+W1GKWy7I75+u5RqBVJItHRb6CRP5YzNRJugpDbbfOSHcAtQsFSz83Lh+ oGrI3s53ZZojfWKcmUUQ2l4BPcXkdyvfrtcSflurbZhaC+SUd0Ks2WfCYl3pf8iE3idk96CnZK3J +1ar70rzePjxZ8925lX9XED8cfpcN22Dn3Jg+cwMegq8EkKhCuXpRSU29bRpIf08Fl/fIgcUqlC8 GgwtbrBeN+gpml5yiXRdzFEidBEw7bW7po1RaUGK67gv+4vhV3v29WSgp+D+aHaubCUXHc77UTYJ aUW/PGIDA5HZnhf0kb8ZRocMB/SU+rSy8HT08XhTlU4RREs4HCjBLAebYQ++hGX8rAcYdWvQUyDR YitmLK3VRag9BvNWlq9wNOksTCmJjm93Dj49lzmNgp4Cbyvx5clNjDdXcx2Jv5dWQ4JtAx+LYrca r8YdZvGA4Bn0FF5yVpWpaOlrqMXBP0kUlM39MKiD+9BqYzu8Tp+6jVu2QE9pSA7cGCxOMjQislGy oPa9zvnyDw2aBPZEl+47LF5zlh7oKQR/HhHHX7Kt478OdTJehEs8IJawGY4b41qsnf1y+0CABHrK Ng/1CbQWktnjpwYy9xWOwWp6pMcMjFKlBF+743BjxyDQUwIHbj2lclcpJhins1TiNVFXkOS9ECyj pt1Z8NTWd1NoQU8Jf+9+RflvLRBKmHb79UWMarrNF5tVNpE3kloJzbaaBgH0FFIsmIsELqPc2cq8 4KBA/4/ovhWsrN1o1JnFzZHtZp4HoKf8pm9StIpVVJuNFdL+vPmJH/nhLHYTyuKz25W10iDUcRjo KVSxz2pGfiq5usRJfgOrRxUKEOmnCm/0mVKuzo6ReYN/QE+Ru2Qy0hq0pp248sFaVHahetmoGlh6 VLcm99nkYL92WgM9hc3Mz91B69pQ5JEG7tinC54NViwvNULfowGeTb85atML9BSdCNF+3tE9mx5f i+qNl2S4NbOttCGNb1Ln8C/9cZrLUqCnTDoUeVgNZSZOSGe8uyX1ZzDr6hVw4tVvJCt5u49K7HcF PWVmeBW1Ds/25+fDVbxx8xxTydvsRv3DxRB5z4Vi/vW1BdBTUDHx5k/8G+5UWkXHrIiZI6O+ORUn bJqKqVZInCTs/WIBPUXG/avU7gCZNr4s9GJyHn3Pb5aIPes0zcqSg/TNYltJW9BTlpMNp0X6HBY2 h+7CtWJlVXvcnUX5CETIBTT7e4+N/6CCniLauiPOh1fbt+/BxZTxEDm24Plih+CdLOHQEU6/xlXM DHpKOY92Pj4y+nm3hLtKbk7WP/yPkV/OINskM994B5nCMXVAT0GAipP4ayS2nUMpfP0na/TlVoph pc5VzOpz+tcl4jHNUNBTeBfSpDJZtdDwVrS7/sSZUH5M7dp6WT34svlQGoIPjf0GesqZJZXiGAG0 AlGjCeLM7tix+V6BGMcp+tGRVVnAhoofM+gpe56daKcMSMGUrrp2GZ5NzZNiHTBJOqq869+LZ4UN +nhBTyGzTIHJo7SeF5skEfhwgG7nG6Fx9XucnkwlXD2CVDZPCPSUm9srf8S2W1fs3NMzesWQOEca F/PyClkNsn+nC615kqagp1x7pLQVakwhSdJwpL4/gahRsjO9oTIJO3FE/BnmDEuDBXoKzleuMjgc lCTLyroMtuHhmCD6UKZsU+beMCQYOmRv6y7QU2r8mIv4DLhK5hTjIGaRqy9ZsGplfnobGepnyEU2 oxlygJ5Ca32jPwnZMsrynVHLa0IGSYyVaNfpd7PnzYh4ZBPUzBLoKWUQf0qjGmxlxOLqFNH0IqsL oUaKY4JYeBjvBtSkod/u/+MpTd+77f7WB/kMNaHv2/BYDRBk+dAMdTxfK/3WEx8ZWgc9JVIF0WT1 pse/LD/pFm4K0fC95+vHetLyloS08nCV5bwo0FMk3c8T5uqMZcJxsZI/GSRg7Y5s1/9bpt37u6WI zRkRcQR6inLNh/pyNMQucvXi552jMZNh40NMlW2TKcsb2gTZnTg10FOuOyCUkc/XBxh+7AX8dh44 ZfGYDPDvykpteUUkY05IYQc9xYfNMplk6wyuVD3L8Pp44l1Ph0RH3Sl1BU1hAhRHnJ0W6CncXNjN z/IFe2b/f4P8lqMavRLi1bDZla7oIDLLh92tY0BPUTrAK+DsOWD7XS9qiWeU/qmc+5bSS0A5vM38 VvidePAM6ClxEkzfYNhsJ/Ospnn09Nis9fipKve/DH/L0EV3EZNUvgU9RfFMCiZF15b466MiVM26 1ZwkG+Mb7Fr2tg365ippyacl0FOQ9OkS/KRNhz4E8bI0Nip4oNQ5mz5X3U0pdpiz8yJJzoOe0m67 Zf9OP0JkFxl64PyIW/NGf+JQ1eWHZYwUyvtY45V40FN2lrpaTNQMeURXTTqFI935WRU2pOz7BSqg d79VIWNDTIKe4igmTkRkO40+RLKxnP5UaBc3vG89JqTMft1DRY80YVcDesqH7W4YNGuy52m0mXLJ Hz+Z/96GXUBjBH6EuOj78xeH9zvoKRWyNjUwmP3jAQJM1egKxb7aG15/oo6v9B+xAvE3077ygZ5i Gxl9ONyBWSkEw0zHuO0mwN9dzLS+LyAflG7lhCPmog56ilLYJCzM/kfj9qPD50WbiovynYRtLeSS XyIQp7XtVcJ2oKcM8ElXxoY1x674uKuwLmxj8c972f+M/eV5w8SMtZF+6Qh6islJxxwPRxWpuqNs iqhRcA4yT62cQAaaO0xBXRpZf0Ye6CmSNXvexXcm0vMd983z01A/jnaOHJI/lxUz7GZct5I2/AY9 pW0mC4aCjJBm1rK4zCZ0caRL4F0AmjL3zCqj5y067V8S0FP4pB5e2T8EyUNunRbxzM5Q2QxbYuib /p1qNa/EFe3aUwA95bgzVPZbhnxqsjkmsazPFaSavRljVOobot5qthzDkEUx6CnKIb+N6jRXSjkW 59bqbzTjMkzCiVwyXo60OFv7ug+pnEBPwfZy07wWeoB8xjHDIfp0ib04oC+dYQGTilKSt7tDKEMA ekrE2DftFHrh2sORv0Jt1bWsvdftz062+AXFuZP8owg1WqCnTKTrIQwiN4YOXk9vHvswoaBzFReu KEycnG+sstJDhIyDnjIZnyhTSWSg7UR9DmeY/Vm6m6ebP6c3n3u1qWdQ0eJ5E/QUX33EMAazhZEN W35+wjcb3ymaP9ivHvrYk8/CTLbR5Qmgpww2XwWhxn1fKSids0yc0/35lMyC0l1p4ctlekHXeDT5 n30K5W/FIYrKvLNIqsrGhhs83jy7VzpKYfes99zCmkoTiEugp9BktpV2WnVLztZX6QkMe6cX1HVJ NRrqIf8JIP9sCaU3BnqKfCr2urxcu3O4KMG7NObzKvJpPx8lVCnUW0aGlCKoyb+gp7QPpcBXPXK8 6tQ71jmrLSpQFF9LyrPNc0n1WtA65MRFg55ixM7ZQ8uW+avJiV4p/ZkBpgZ9ZY9+twlC36oZ+nVf UQ70lKuoDlOup2/EFyYzPaJVHC0t1fJC9DBf/ayyMLywG6dUQU/5JOuDJpfeVqdoXkL4FSnrAZ6E J6LrmIbqVEjCkyWcfwH0FF2Xn5joadmM+pb7L1wEFX2OrB2DiWO/hzHt3pspojehgJ7if5ksQ0j6 lGV9xQ5HltSlxUCAk3Qzmh8ExX9vVRK5ug96SpnqaODb0NTb+MxGJVFnHIZuQbAcm9lN0uER5fdB dlFN0FOuSkjzYuW6wj9HfcovJ1LJGhqAjddWsBLrHmlBjOipxAM9pTfsuq++DANBxcoUSTVyJidp gNjTWe+zhOfr0MnK4Zc30FPcZ5TLTNIz0FI6cY/xWqRG2lHkDpqTOwWgCqo6hAfhnkBPQeGTOOnu 4mnEiRARFPRm63axp4+Ym3iUC+4IP5O6dp4BPWXVlZUjwjkoIQhORlyBANV44U9GJrb1At1tPhN3 UkMFOegpLXoYhPhaKr4sORnnNwMmve3H8M3rhIp+CTPeNlW32c2gpzAsuYxuaAZmu9/S3LiiKloU 9DQi9CLdNKA1aHIisSGkg55yc3qhgYHlpcRc/bcQzzujxleJ7CeFjllVL2IEB8XsoC7oKf/UdNUY 3bdv+J38liJFG3SXRHklaC4TDYooJO3dP2Hxgp4iG84Cr9/Vz+OT/fUdpluLL3qVy3BBQUaoC4tv +Z6YryToKbtcOr7vHx/s6EZL1uYzoR+UWUMZGfCeCAwVlivm+m63QE+ZcfbQWTTrh1Q9Hzux98u5 /quQFZGBdzuqfXLTlNaFdgh6CqbNjWmOD7sEDbmR37Wc6nOs5fPGfgxrHrq+fbiiBtMf0FM8TdFw vCeXkRznhjJ/aGHKUNpoxWEf3kgs1z10yAZ2PYKeUkQet6lr5zcYLTwpM3hlXOZsQ21ZZhqr0nvD s3R1qGMNekpLlmHYVGiMHqEo5LtcgpIsBUM88axcZtvoc8iivGFSO9BTNPenKl0RtNmHkxEbJmbw Gs5ZPfYR46LH1TS04/02w76AnoLLjtr2+Is6y2NRKlw1/pM/+1Wku8kkUuU6bQCrMbbhCugpch// XkvT7xwdh819tNIbWeB8pJH5QnUU5Q35483LnzsK9JRWrAxeYxMGQVFsQ5drUsc2uIYN7FqLLr+6 gLrGHJtlT9BTrtLh9z2FkZRwJyTKBHn2vOlxZUIPT4OP1mqwA6k8H5BAT2m7njrrHD7q27LV75D1 cjDhIs4fF5P93YCaSFWloC2uBnrKoBBF9uE8nNupg7cV/UzyJGRDtEhvapWYTZX/9m4/Rg7oKV9w zZ9qmx9G1ja+NKsriIxAbthDwbI3GUTjjR7YFgkQg55iykPMoZi8YRPg8hSXIyEZHYtuZi1GvLhc 3koHZxrM1gt6Ctf3hEzjTmnYymdBFDib1kROPtZoJml0dnxWzVJhH88o0FO+c8TT337BQmJgll3p mDX7eUoIPVMfB0mYrOEzyKbpIwZ6yntCrTxWmFrox/w9ZpW3fJHGDvSKf9f/DmGqi9M48pe5QU8J 2h2vSrcSsh00DKDXJWeHPOhEH0pFgPsdGms5AztX9p//vjgdCalTBpQuP8Heln3Ne8QIDDjZ+mRj GACTn4dqSyy+D3qK6+f2n6U+2g4kUzC3CCsZ3jLjHWeS6BCJBq67/J+vEpZAT/mLRTOoTv/h3I3L /9nDkdjzJ7yPGZ1gGNVeSEvJ/rBBDOgpsN9bPu4WfVzmVOAI8WdhUHMim9ueHkh4pZxRud0u9KkE PeXUzyMetmtnqfA9K/ykigf7D6++IN+j1CusDM12O4TPIaCn9KrM0pqq6010RE2e4Te2xlPiG6Jh TK0kNSjtef8LIT8FPUUI4heZBUf7JjS38kD924G5mKZXjpEMITRh3KAIRqRmJugpMOljRgnXt/xy 6EED5KxCo8IfDPTxGHE/qX9rJTq8yvwKekrQG86YsVzAB0VKf0Tsy8XNFJpAQ3/bSF9S+R+Ko/8/ F/QUXVQxSZPIhYnEDSjzJi3rzrFeXSL6bNbOxXe5mOaUaU6gpzhB3AQ85n6FlTl/egsbSNOM2YSa +/has/+no6hkbqDcDfSUuhG92c8wfRpln7s2lb+F3pZYHYtBBdrVhhw1jDabmzOBnhL6DpXoUSXF 10OTRN34eMFKJKPosv8fXEed+ntctw//TkFPaZzlRMzrdTOgC4E0DfPT6lPdPaVqDkYLgLCEW2K3 Iu8FPSXW5Py7LrveHftR518JJRGd0K+wNQ64c+k8ozN3laix96CnjB+7+JfZ8FCYVkY4oKrStspR GQ2qjuFcrPU6oAjAc5+DnlI0qkhgZA4/Li1cy9k3hmfMxpCVqOVnGFmxCwVVydjcDXpKOfbYs2Am QdQ7kwT6yUc9XlSSfHZBv9XNW8GL4qdVbGPQU+SEfTTkkQp842VmI0/xOL7iW/5GGcSb2XXj41U0 pnFkBj2lMzVORpTamVpFj0P1ck01txrOMC/sMsBSCu2bg15twyzoKWtEolPOKoJz+TOyKdYhY1SF 9Id/RdqGjKw4Vf1xXw/4QU+ZImToE2F1fGRxIne3aiNxOu3jdbTATLVMyp7esHRRUgY9BZPQb0NO 3Y0wSaNL+v6uX8GFo+1dexnvlRTxN6xZvYwT0FOkRrlfkcm5bRxN4tLrchzEo7gdTHwmNNDos8fa z74oiIOeEvvrZZcPY6LE5hHnmEi28/3NOwTfax5kI7qAOQODQFFS0FOeyw/lrYYtSZ9q+9BnF/6O Fs4fCe3HqxXqZcKeXWRafAE9RaMF10+1h87Ul1+Wca2myutdB649c9BPA3x4e8UGDgo80FM0n1e+ 7sJhcpnkyR1rJbJhVigXn4awRIoGtVsFteldlYGewkiAPP/PgNd88+bHrEiNWCPSODL+Uprf4IFc ohfBhJEG6Cl9c9AvTsek6vsCVcTZkmax/J/UKjJ/YP6rhjOVTLeRNQA9ZYVVrPYgpYSp5Tr16pbF i5PQPC+wUbOTru6YPN/wsrIf9BRT95DOI4uLLKLYDa+W4u9CYbkqh83ep1/1/+QxZQjua4CewoBQ wqnzri/4YON4WhDV5fvtuMei5MKjyYf3H/tmdDW/gZ7iGNWTFFhqNvf7a+MSFT1PEKbgkGPH+UZY eJpa01BPZDDoKSGc96c3yuiyRi/UOnk++bt2IR0lRn/GDV0Vhn9kS4rsgp5ym5dVhBR013XWOin4 ZgXZk17ZYmbqcOyRXytffp4rbQJ6iuk5K3wjaROjcT/RVU3NfBUSynRwaItlRvG346MoewwT0FM0 MuVW67CaTi2IT/N7LRJW+VqmZhtVAos0DHjLy5VhbkBP0bCcE85wK0qbzoC5kypY9MvfkzzL9LMl 1swc9kxwGPACPcWdsLK2y0Whfpk+uWdsO0khwKxQreLg0zgM7N/tADe2XtBTDuhvs68O7Zw9PBZW h9Ps/DA3BlD6uCsPZdNWf9Am1t+DnqKy6ckBYzjY5KeqUEVKhcklr1MjwC04ZPNeKDt5i/K9Kegp 3fCYSsOKQg5YD6GihCq8crwTmf6zTUIITNloCtO+DcmgpxC+ffxQcnQUQknrPGjN4hofrQgzDzcf vsvQ7MGbBJ9CBHqKsiluOPaivs6f66yPk6nYMz9piwRDzd5OiPP6VVvvlvRBT7kkxeL9yg6b7ObF HdywyPL5LwOF0EuXmta3Vz29H1mfTkFPEXxW/uLR8+gwM/QZgu6E687p88tIbWBK1LxBC2reff0E 6CnLkHKFi3q/rkafbsYislE/JrU8iGI6J3QVN5kwLEcc7IOeYhyX9X3z78Rw8qeG1wOZD9g8/aGJ zErdjzAIB5zXNRzSoKfsMf7asz6knpCFnCWwR8FwnPSOWokNGwm9XYk1l99VpwE9JeUDa7Q3/h59 Bk9DfwhSWl2sCEpambfXiBl+dr19hiYG6CmTJ2m6a9/9Kk03VYZCUawnnNF87b4lJUasivp9a4Pp 2gY9BV6oRcml9YKSfE7Qk/Ovc+Xt8Y0iP8+hdqsPE8OX+0Bx0FN8CbtdKmRh8HR2pqhGFf16S17M sJzFaLFJ5Qjn2TIwE0FPwbk7gkAsIOX3J+A3OPVPbNCJOEhwJlxiS4ldz2zTeS8Neko3sgp15l+D jEXHSvU/Jq+QnDA3CWpDmsgV7I4FeYNu9aCnwAiVTDa62oRup1c0HBezqO38kSxLzz6vlu/vSLP9 AK8IeopVFKEWX2JLGsX10RwGcz1X3YN/iFUn0tFcZSe19hY7DOgpIvYlabXz9goOB3KTWzu2zaLM b3wk2hUf3NQJM2ydMkxBT7nky23k5pWwW7Zwpb040D20OH5/VStwzyJhR159tqR0AXpKatRJRYpx sHBimqGNN26VB0LZUYqGRFIq4eWYRA6L4CzoKXyvm0gfyebeD+4wGpIYWz9JOJqJGKspVS+QmdKZ Ha5ngJ5iuHAkWG3m8jcaYW9CtUH4bJ2Cniq9/kRlADv6AZNJnxP0lGwlqH9icI5viUfep8f5TSgs OwZlgz2dkgPCJia+vnwboKc0fCD7TIBhokgMJ+UpV5mWWRFyWqSyHfPnk+e6ISG3ETXoKXpSghJD uJAo/tLX6dweJNvREeSBWJbm6qevfkyqVm5PoKcw/H1yFzsnCPeC92E2fetw1fKCrWa+toIs/NZE hImz+J99ioWUGsFE5D18VPPmhdKIV82OjiCFtbo0LZwvpWevt7sE6ClnK7w//eIlLK6pVOoNLATU lAxHmxKmZSNsQvLbFjIYdUBPSY0y/sBeVU8i7dbQWETJEX6Tklsbhgw7XEgqA8s/EOkOeoq0TO6j WsfOW6MVgj1ZaXAtaSjsC8axgM4QYcl9zOkuLegpmcLEiBjYX77tymyqzBhzOweKWTma1bs+YM5r uY410miAnjI9zt8wLMUxdYiaQ87NHRBZOMwIJYD6LaK3VDPqpYdhA/QUuk2CFG8Z4+Ec6t5pJ/N3 mBkQvC5I7qO9zajZm7QlKH9BT2ENaK3uLCLME/2UQvhHk7gRrfOwexLnrj+yOu5b7VMxNugpCQzy AmSHG5zTAbxZqAFJjf4KwWJqJCnLagyUgmF/tRZBT2HtqXOg8lunkgjkqjmnWd5H+1q64OVMMsD8 1Hk0/24FBvSUWfhgiyylX68F778xBei6FBt86NHoqThMq5Rcs/cgoCr/Tz8lU8Gt5ubRyDU9/Mmb NbM/gBs9jooYeq505dT9X/1XRNBTysvk15EXTkxPX5nM8zAKO/79+8f5gu9+n0s2ZWSmoUgJespg gLR5ULxOWOW+mzgy+oiawcCd2TEXtZTWfdT5pUwEFegpqpX2ZPxdU2S3R8pFlPeZ49AQYfLY75jN jK96nj7KePynn0LLYRY4IouMh4B0DlWPc9POjX2QcOahniL88SMOWp5XPOgpX8nGR7W9w99FZ5rD +Yc3GD0+y5cpZivqvUDy2EdsdQqCnkLkV0zb3q3i1Dp0EIlVcJ4Zqc7r7Oosp2ERXZTv2YSFCnqK 7a8dBUFbV4hUjaVWFagOPJXfsGQbh+2F496LrfUanF9BTyFEpxvlV95x5YewFkiry9svU5Dyek8U bT46I3YD2S7iDXpK2u956ClmV7smkbJmuBmTAmZKuBEih/n2R635EO9nQkvQU2hSkl4Ovktf0mPV XmjgLwmd3JYxCfO3FUXdrBA2ByrVgZ7ym1hXKA3vuz3NrDKlPjd8O838hRqGIvdBPD/m9GYtkRbo KXtuejtl0S+2uft88WgLuWFh49F17bQi2IVtXo5r3MJdoKe4795/bBLLyOqFEG4gtB7EQB1QmhfV Pr1hsj6hyz5n0Qc9hcJl77kh9DNTuEU/VasAabaPqt7D2ZUHOf7hj5hvpgL3oKfsxxjukHt6RYYl /6R5z9qdQlkr2HwEPcsLqcA0MP/ThQv0FLXy2vIJJC2WsQ1CDccF9JFxHv80koSpz+uFVfTq7Np9 oKcsvvjWwx8PL+XzlAwWGioYwHVEHRfT1WGjvcmrqOPiPIOewtGqvPo8Hv1cvExiJP83yG64znGS 5jrF7ypkl9jd2GgT9JTsGDHXL/IpaQp3OxCNEcU/btNUG7RlkTjl1twjHCqEmkFP2Urtf+Hjmf/D rRfTxVj78PVViatsK1R59QxbAi8o5RQe9JSN5MLvpvtqZVVRj0qagiKUhPhM/vohroplHZnDR0w+ pP/Zp9TTSnQXuLmmfojCyhoVDF/rgzYQn/8tOGx2aS8fUYIGegrTt0L1+aqQuz4dlHF1d6rWBTPO WVEhu12rRXYWEiryv6CnLGSa00reJriXU/rcPT6Z7BaxJep1WDMkqZt132oLINCDnnLykjsK2zJD mzw2saoihY3t7/u9GvrTvATrcNMp/sb7ZtBTThSTJhDJ2Dzz2+6+W8lTjjV9FjNVW+3QSyc/LnSZ 9UUFPWWalawe2TYz07ED5mzcKDbgWPFfdyDDxhPkyVIBk6NGEugphBHEE6lu1+iIeAEHycvf3rX1 kkLnJJw0oASTO0SM7tOAngJX6T2EzkfnbBXDwit7Ces3wz7ESh/8yr487y1NGP3SDHpKj8SF4Yej jwNJv7BFksa34+vehqfWBERQ/9UEfJrtnDgFPWWdRgqdbgmHjvEBvTZX5D1ZEuU3Br5SaNdVRAmJ ckd3ftBTAvhiDoYicrl0TwlGzsrMfffi6nGf1mv+OcqTfKDsDMQDPSXxlZ/i6/qXMGjF9M4ox6iM X8hfPJmiEftvJPUkCb+c1YOeQkvi1oZSblfMDkurLzsJSdz09hwslXc+ePhdRe5pMDAT9JS7XUJO y9FCL968qVIiCqarJvEUDjHH0B7I/n4JW4KNMdBTbJcl34dfVjVW7a949K0d8rcu7tegG201CGwY OMAt1FyAnkLRO1l56+AVZM1vDfsDb/pusg2XXueAf3LRsZCQovg4C/QUs0QBAU2lF/XDYvcm7U3d qghKV8PhKgiYN1Q1/3I/iN+gpyiJOOV6NhpisvhS1bn0IKplYLk1vxo0c2yfudMsHsMpgJ5yiW67 HgC3tcEsod5qF+0jypcY0ak0Lyc0Ef1HGGJWeAz0FCnftiHWNk19CaoszwyHJNsINQz1cvfkfrF0 datJKQRa0FN+X0JNfIY0M6JXsQkSDCZgvpcOJtVbupLWHjSAo6yZPgY9pSA0LX6ZLMcsjlQ9ageS t07m3SiivrCdVnWLI0tXiNUE6Cn+fFIkLzV0B55FxTHrsZ6XOxGSba6KuxY1UKwsfSmYFP/ppziq z5jDj6XFOP1Wv6zZFXnU0m8ScUeLeqQ8LFBL+o4Eesphwoc7pgFqngkegjxUksihsCblvRmFUcPG 2qntIiwedNBT4r1h0k4tb5Yga0ZctKISmJZpr6otMDor0z5yqx98Vd8CPcVOlOavZflau63Q4bGz 2e+EXVzqExjGxbhfPDFOShsszqCnuAcn7Jn6e9rzJWef1QZS0GH2KbiqmU28+wxRpcpZO88Ceopk gns1Pnaq9P6ScDgWDzm1Fo+2XPbztzZbq9RvqXlqBaCnaHLzUzjRKj4r3pATCekeZuZPWEwVcdp9 G1NOrfrMYa4LesqS4815sOrM2o9cMwweCM3tNcR/mVeRZJEVDz/F5p9WvoGeEkvnqPFZSmrYscks QAwbWxU3lF9JsLvdqosjIsNkVkcC9JR1Pdr+6F65zBZi0kJHbfotsfrhCHvfRrOd1biPInCmU6Cn WBRePujL/t5IHPAv73aVmyhNESOwjoWuaKuB2dEic+IFPSWxeXItvGROntqjoUWbKSqzdIc0dpRu EcYxQmYa//DDGegpznves0ytI11fkqCNssjSrV1b5yEHpwpmPM+hWVtapMhAT4n29tOXgxKY+zwq Jk6ZGllRSjQR9HL99bS/k2eGan4GBvQURxuRft4ug9tOkUDs59TqO0XMyShrfqG5bFojzDrKZHTQ U3iL0u5scR2owkSzYJOnDptJd76KiYsIF+kkMp35BqDbg56ytX7VNDn8faK+sNOPyvTsX9bX3SHj FkkvKWIfCEd02Xegp/gQWZeNuWa6H3EoCH4KqOGVNzm3Del/u5FWfoxFyKq5Bz1l7qeh7KD6NuP/ r3Huv+mBvUcSWgpCGjbzI7L3Y0hVoaygp4iGCf+IiMzA06j3nC7zgkL4GELdIHjzcXbBuvhsL+Ex CvQUCldu1MdDweAaGiq6cQ4EI754UtNCRu6cR0mByJzPMd9AT9moXZa9jTvY6V+tU52VTNR3tfsx J0INj2vUtGSK4/IoCHqKJXxRxuNr7gLrbkdZA79qn92T6RwGI4bNaIwhl4TJ6H88hWRH3Flwi60e RwFVOS5W4e2nRfRO3YeHeDd0VdoKXTd80FOGo179qtvWgwz6XGL2/qU0hg5Qc0Li2DNk/ZpCLVQM SQE9pVUi21aVuO6m7U+o1k0IsobI9/iOVIfboSZXA1P8NrYs0FPeJdx1f1tTx6lKoEwMqHztk50I xi6+16JRLrqQlGFlrwc9pU94SuOxZrr2TWraBA56r/iAYLfJk3TmutwoeiQs8A4N9JTZzJ/nF604 vStFrXv9Sxfl57Jm4YXsC/82WALjP36JHgc9hepAjuf1oXIrW7te3hoaym54dYWCS+ch+/kN4n4F 5usj6Cm3C40eJeOo6GNUzGdF7UkCrE9R2NikmyNIu6ooN4+mWKCn3ApLvTg/ClMlK5Zzq2YVeGiT z5i4EfyKJuORm6EO+IcAegpDn5sZwSFhT07OhR4jHSaJRJ5/RSZ2+G61Sx60pCjGMegp9vkXvPS8 iKhCl+aRfRWNwvtcV7GKvvtS78Jdrbt9QnVAT9GVtv1WdTq/bjuHT+Z7L11We+kayYlwU3WEzRcW vfZLH/QUIYUVeobn+Lf27LH9VO+B3gN3zyLde8NYed3KqhffDjjQU8phkobfoRb3bZNWoFRFjii8 y0Gk1pT/yubeik0BG7K2BXpKlpLIaKuk9Ay1LQOcu5Uj7NTemgMVO/E7j5FPWT/WdH6DnqK1EP19 hX5QgsSUvcQT77SNh5H3wGOo/rWnwyWAtl2eCfQUQxNGvl6WgDdbh+gZvQUjnThjOZEEwz0CaYzD DzJGI9ygp2h7E/VQTNXQyuRnc5fkoiJ6IB6i1bxuO4YcohkcupT5gZ7y9Kx/y9fJuXT2KXBqaOS2 WBZxiVX8rcKa71ibqHjIGwf0FHPC0m9b2HMH/vkPdmuPbsbiK0FMBpveOvaHlmEjrAGMoKfk02i4 ju7gcI7WWCCH1DlNFIxRtUBsvz5QezVCi2hYvQM9ZSMb4Wexafhkv8tmSAcVijbyDX30dhtN2cO/ z2r19tyLoKdMXBW+2vaUiNXbD6PnkCOoryzTUkSuPOCWhwWLLMRAZIOe8gN9aDFZc0d5yYAxxo66 0J++iDzYYLlBq3QEqQWO2xwa9BSH+XcE7Adusrpf5IUW2CZu725+R67R5TISxg6uE2lMVYOe0hI4 Wow0YoVMVyRKd79h0UMKj3Dnpl48GZ7zmSOT5JAQ9JTLqmUkw1rX9BMWo0wKzRZWjOYebY0n4uS4 ElYTRbV/mKCnEFBneR5WsfJnrm8ILT7rpM+QVPMgd5t/vvsc31My33ADeooItwklacOepEfN1Fy9 4BK66Q4VnaXdxbsx8xFaaMeSZNBTZMeGRRVwv3L98Y77ZTPMOgzvZ/Z9WO2kTTee0yI5+Bwe9BQZ /WDRL5wrzNblthbImUSX23vStLwspoUUVunFiL9ovUBPScbemxXp7RAuf6F0/IuuqoNKcZq2Km7+ OGu9p31N8qEJ9JRKiP1/QXOSt6aI26J+NzaeunFa6+SYgcxvwwp99Aki3KCnhFQxTEDgS181MHxT Eydmq1w5MJozb7dnE32A+8WG4UoGekoHd1+fWCQzBStnsyKzODUZ1Wgp8+YsjQYcKtxlw5BGFegp KrPbmH4jHDnvyEgw1mR882JWKvq8jMRcbmLM/5Tt+fuBnqLJtVHQa9him1qlmU+ZcfIVHuFAYxDR 4cb6sWz1IWr+EPQUvktYXxONP5B/YlOgv44Jhuc6uUtuX4UYSnyqzv0z54oCesqLaQnmSme7KC5v U5k7UUY1J9a/EUlcV9ZhheV8ws+2g6CnPDN+ITZbpwxkXw7t8uqgccBS2UShoco6JTnm98xn154H PUUZ+qS3PE0wIpKlxa+UPwpaFyng1PB+BM31A4WzQRvZGugpnoj/yIaZfhBHpdP8NAuwdo+naR0P pN0MSqQ9RZvRvgwEPWWXUKf86QRzMCFzvBob+wf6jbgRvdLY+idHwfe4MpAK30FPYTW1WdvpgoRQ hWe9NX9atHI7gXZGgPfeun5gkIBOg2MCPcW+d/ArveiFRkVL3wcv9tzNH/tSD/aNUy4Vd5a9/+Q9 10FPoXl+QQhUPfeNojZdxuCgTQs4tg5rz+v3CUkp2XzYQKgHPcXUbpN3nhAWNz3t97uCW3aUa1GC alIlxcJeNf5iUVG8BdBTWBDZfvVvdrzzOlq36gxd9bXeYJX/Ivv7hjG2sY4zkJMI9JSsSmmhkXVT w7Wc4nsPTbhaAZX9Us9UROeVf1xbVkE2uKCn0Hf4aEb9aHAorfW2mIdFMMppXEb8zN/2T3linxHm pXcR9JQaTOWfWbFjJB7QE7zVEKuLasG6mC+iXb/70gsLNnEZn0FPOZip024RxBcqRjuf8zXXqf4d 6y6JRKFGSepE8mNZr3ID9BQFQQ11v7LJoqvQ9xLuBdL08WysDNJnNsYD73koivOiY0BPoaekDcm3 hZ8tjO2sT9ca9A/tdskI/6zxB+LWNuXsoOYV9JSqzJbYLWKsMNHAuk5Ccrh52yWTj7o+9eveVQHm yor1xaCnoDuNYhiI1zikJqWjoC/bIFhGfQp2HEsWCWzXUokjNqYFPaUP2a5RlKZfQkok4QKKeVjy hy6plH9rtwVlSfg6Y01/GOgp91FDg40ozVbZ/nkhS1XT1pT35g7fWeYJCZ/K6RbGza5AT0mMrWMa OD0RXWyPwNl5gtn36F4cylDDdP4TV5tQtFphCnrKFtUf4o46AYTQ+mPuqm78a3v4aYEwrjgUEgIz KpRTOgHQUzqvPOhEYElcKtTkyyMb77IZ4HHin/cR5VObZ4a9joP/gp4ieNXZPXXEVOt7pAdxKPZn gjimXZfAibFYctad8nmH+zPoKVJxb0mp19XH6fkfwhz/YikbEP0Ln3Bdf0b67j8dhbn8CHoKHtVh F/tSjy1zLpnSkyYZ4mNjfBOcWCA9p8P7vqcRX3PQU2Tn/OtoC9Catxc0DkojzbraPl21lbgVfCr6 nvSephCZHfSUHnOppp5OPwP5bQcnstUtAkR9aUIvOuyREETrXmQerxLQU8iaBYbljG29oFCa5unu U9U9AhOHDmWWnR5kdjSOZzsCQE9ZhnyH7vodXuLBUeKsukm5nn2yA6Gm8ejGH3OlGg5tahv0FNgH C/lz6xrxuRv0SFVWrUcvZ20twpv0daT8OxolRtP/eMoGjkvBDvzOGtNCVW1taXSzJzpnUEKn8+3q T16aAEeLSdBTknPgoThL2Y77RGqC+yaKMpI7+cQGHhQqPN+ZKItydg6DnlL64+NwPSIbB6PUnp44 m6ZGrvP24jPqjxUJkkTstCNeCNBTKgrNl2sLOOT4XHbh5srIhhLZbljcdKe7m1hbXQ4bDZ9AT0EM XK1uiOERyEWmJj01Hxg8zoiPqwj9gByC4noWyxx8AnrKw1jH+9EaOxzPFc/GtUe96o9xEJiWRKon r64rMAzq6b9ATyHQzdE8sP2iF7y0KnqlG5J1IfqRZCItuaVbaOgv+5SLI+gpX0lL+H5LsCtuB9F5 GnVsGzsmp8voe8bQP30webwu5sYGPUX7VnV4XMEoUl1jGDIbk5T9fVBF7sdrEbOFf+/dd12ykkBP oUpPi4ChlOEb9BhzZbgx/ojP4f0upPZm2ZTzm+jY/gIZ6CknV0daZJ11i9wR01NSA9ewFnVNH7lE JaRo49/Zn9VRi4OeUoUAY5/TYy22LVFT72pgINW+7vvVmt1v/ao00UFw7cQH9JQihj7d2XOkFM+r 9NEV7Vg7TbhTo9fKK7H6eWmlCSYbdtBT/N2j/ybcuEFOiLMSovuFTxXi6xJNyFiUMX9jtZkn9lMC PcWxuDkf74Kn6EhxWqbxI2Tk6PfwAhU2cr6lfIm7m19G06CnnPo59Z4Tp48Wjd5zISFEVtTTxeJv Rd1P9PFDUEWz/yYCPWWsbDCrt0GjQ+LBTOrp6bTVH3bzr4/FIrxsQCjhklEEE+gpjDBrEOE9+LKh EQHpWZpWW6tj+DapLJhfBNqM/sA4zliAnsKzbOqc6JnkKbh+Sh22qifj3KZ6Seov9cydOwVbUaPS AXpKre1ggMbdBZKYRDVDp07wIlpwmpEj7iNxiz02kXxPzw/QU+if+hz6uPabDMbyoD2koeUty1iu ns/nl+RQR+nrfncfgJ6y549ov2MckGdMEXmbS3FgrShEjhjQiYfntcpyHM+hKQV6ivWwa1cdV3ux KD2n5q3Mn0c2o+rNTMuFfKjAS4sSpHJB0FPekFIItVbY6+pDGn82+1Y1cxN5jzeZ9uFcR0tviRK0 q4KegpbKmTXCBxFdl5DWSCqfJKvvR5Rivs4aJhMZdVc/7VQPeooZHa8Y5v6n9BgVOFoKfld/mvvw iaGs+zXU+NgXJ7silP/0U0S97RnkRhSEcOWsB9W0sXc1559vYMeN6eLKLoU4vFpAT9HwtWcYq87a /qhEn3x9Lft7dc3F8eVTGxlW1mwONjkUEugpxB23sESF5+KlO/lfEz5pB3uE7rjfEbjwQvT0Zl7V oASAnlJI/8vsYLQha0Tt6CzM+ruhiIXiVoHQ4fLveL2dLyTp56Cn7FFTd8cETM3ZojYI9rLR+lFm 1QuKTw9g+fXz5Er6wqiBnvKjCyYvVJO16mLBV5iY5w2NDyYzZAbd5G7yqxhp0/Xeb9BTwkvzSROt 63vLzDZnb9ZCb9zcxJq9NJSmFttZGtD7ZLJAT2GJQbkJ/YsLRV8Ik5vSxBg38OVR9nr/hZ/gZFMg QA6tCPQUBUj2KfrlNi9iosYz7LxicT6Y99qVwc78kUQ5PGEoKxCgp+gfrZ3xn0rqE4k7ord4fHt5 FT3sULguqfhJiT1v8NPGFvSUdWVB2Ls4+THPo2WvyBX/Sy+CTMrz/dqHxfWiw3uc392gp5AEIsYz LgbD/2U2Nyl6TYQyE9GQpA8t8Ropl1L8VH3+EfSUdKym9iCDDHUoZoNJ7Dt7fdcE1thVEqcLnMim Hc7PDdGgp5SEa07s4Ore2XWSeRxKmnYG18JqQHflcLlGzL081Xr8Z58yCyPy0g/J3KdsG/9l2aAP 1cM2K541jUIQqUW5iYop/zPoKdd4X1s++He+NjpLJHj9sdnvNWx96zkfOzPeyH1oLD66Bj0lA4fh QtoShpup5WQkNikoon6CwtOw/8Tm4N7uW/lb+SPoKbES6g1m36nx/3ySSN3QbZnKTV4aNwnF7HvX txwRyPv3C+gpp0P9n6cSrP4lDW1ZShiqVX1SFFqNEPxY2Fr9x2tMvxQW9JQ0LQJDY4RhNeGc2gya 5fYmIc3C0MYYvwImnZ7GYetsq//0UwTxyJK/f/2WniqivQqJ+tNmo3Oof0IaluX+PKO/YdsG9BTH iMVFye4LWbTiK6LxuhL/qXtUuuGdfM2t8XW+S9FJC9BTiiUEOT/2U03802Yg0eB1J4BTPyVPIp0P IV7EYxlKOlsFPaWpT+TVpeTZypXwxxqe0fZaXgF9iR7sTbUM1K5jrpOVHOgpUNYjVb8ZgrFWODYF M9K3bG3nnZl2SS79kTQnRZ3wZhZAT5nH/4Z7qfX0qmgBV8l5sQbj7N82PF/6ZqyKebSp2MO2DnoK oWCw+0ZfHmlFKbbuwtl+nipq0U3tysD1Y866N37tiy7oKWhJNJd59JXI5vRJFrFD0FCLlz2HoklS eX+LG+sO4RfqQE85fa/dgve+GnJZ0x76pzQ243GWse5xQQzx6Z7Zum3pwC/QUyZjM9SaV0RDhpPL GLYp3e63cnAKosqFrXG6TkWzH01ZQU+JYiPHSEC9xzsb+/nO34AZgnzF6ETjFcdK80gc5jMlaifo KWbRcAYcCh6z4+GtUIqaeay9XZRcjBhfBILD/PIbxFrsQE/JOQ0IEjbmha9LII6hVhaSpQ9nyXVV YLGB3l+W9rrl+k8/hcMY8p2DpYmg+D3db2rOOycrWvWDSqV4w1HXzdD5VwdD0FOEXp3rCxzbiVib xmAR+t6YZQRmV3hdnjrJcJiNX7pQCEFPecShgAwuqmcrjeXgLsKUref8hZeCnFZjcoF/NunXNPsJ 9JSlU81t9YfrwN9lMRFvnAPP1OudahV+mLPZyFg1ElZH/+mnmOxXqkNwiP/YZcyqxJNy/zhJshCD 9fxJ74EiseLD1/Ro0FMoi/Ebe64x61gPtFYYBAiOE3bMzcxOK66wZ+uQHHvSkkBPcXgcbj1k92YM cNUgmEiNz4B0pdoQ7Zpb2WJH6LCnejoAPcUlS1oidXIi04RwfNYFIid7Mn7KYP5QzovRN5npC1SS IegpbknGzx+HqvPfSGGoZvZmcxM23pV8FYRe6VR/Qb3Q/5UPespajBgRLKIsHCecn3Uabup5vtGO a3uzeewYrsq++ptZNegpkyY1UdxFm6mcFhC4ZKwIfbJRMw3wPUuhYrhRJXe9nJqgp7BJQpJonXeE pszVr7PHekCGvCru4iKs5RApunhmy8+9gp6y8nsTfXDcbCy4da/jxmMeg1gdEheytVIuaP4gecCY Fgv0lBXpwJO/KTpSo7tCXC6+bhvMD6WmOrzCWbGZZjGbKyYdoKf80akl/CtAtsOVrzolnqbWh+b8 JxO29TU1k682k+DO5jvoKYlF+1qdMom8Ow4xzSnRPgTVFX5pre48Gcxawf2BYiIDoKeEd/i0xnCx 2UXhOVvRIw3RSecqQm281+EP5LtKZGBPMwc9pRpGtsh9pY18DD31ZHo321gnP+dGFGFFEb5d+faL OKox6CksCVioZU7VZZwO1jN/pySptDFEBLfYNd7lIrSZtbrovoKe4iNfhM4FCXVMJT69eBzyxQ5r itJj/tDGOg+yjkAeWa0P9JSW4gKKa2KaXkzmLZymCWwOhCxYhRHElXga/rdvlvCTQqCncESimrLz nUEVS9MK5CB3mS0uiuq41qJW8Ah8OnGskeIHPeWPpUhCGPZvzGBfCfdvLoOj+znpU1TSWivcIb0q /IV+tqCn6L2paR/qhPzwEP2gWeDRs7LZ8nOlU5UYj80c66E5+Vga9BRFXSjkL0FbP7X1KEMfmu4X pt/9jnuW8s2mzHj8g2ldYg96SpwgJ1v7z5guBUru05K+u3bB4vN+5BX/nZ3W+Eg4ucBy0FM6A30v FGjwKhch9+VO8LxX3Vf3TrPtyT6g/FSROp2bVAY9hbFaTxqGkd5QYXsZGq4s81rijswx8kPe1z7s ALN0OpU10FO0b6JK4WLpyOEbELb8a7lPiMgJibsvOWlkApIjW4J+GoGegjujZUcYKLWuliuvHdYr QXJL6WVGGda30frI7W2extcMesqCRh9eZIuzQSr98xtMQMJiTln2pzzp9ZCbg0DlV+6CTNBTGGpD vrJHfhedRl4+MgluweTRJ0cbttHEg+bYma4+23kPespixN0P2QtjghPViuS2gWahDB6B7yi6D/Gh wcPmFxZrGKCnwFJwKPw0bmvR65Andmdy/2Kynf1pB1tpoE5WOU658uUP6CmBtXKMuvx1VEyqhiOs U3rSybCdP/o68SZrj1XVLs7N1UBPCRAZ5rrzT3R3qr/LLB9gmN+rmdRGSlZIdUa3EID6yUUNeoqc 8QfFZ85hl+A0joUD4/QMNui9J6umoexS2VJe269VKaCnsL0PNPYij9RQFEGn++kj2cR59CDysOxB btgny+dpSWsPekquqpE74hV/eoIZ9wIc23V6wqqIx+HTu5tGfo0garvnCtBTOPSRR4ThGFrHFheu SDkeyBY9N5UMXVxzH2h5vX2cWS5ATymY+S3YK0zChXhcWjQbgk8xkORHh95v6yq023NgRn4oD3pK 2eZ78TV0R1Q39rrVZuZa5oYCNpkfMgKJpk5tL9bqJSugp9SUIerx+hTUzxMf3W6JS5tAXqjPtDDR elU2I3eqL8rqgp7CXq+SXa95TjTN+H5u7WPJSavjgk+vq4S3NKKMnWs+BB3oKW/4kwGbEnjeg0Nd FrwKJ1nfwj/2Eo4UCmSaeMkuLXZBgp7CyS4tCPEjxunfXvER/e/6rz0OkkKYkaXG8Beqyy7GMVqg p6C1C+4FEAUQV8cVetLtKFZqDXX08LrzmfLh8n9b0fRJBj1FEUJfdLW3w9p5i8joTxeZbi8dQlhm GSY8xzrP5rvE94mgp4j1ql/yy8Bkmnfjn4tMU1osj/x9k5RcQF5D1mtenS05BD3FnvWjwg8D7F2E FVhdnuYOrc609bO8XGLWid5+4cBIkjXQU7jVofNkFFemLhFaBijWeXtGFZw4dw+NYkvuRbD9zlcd QE9BHsqCYHcyvS26vLBNvf3+a4QZy1yTX7E0Ffti7Sayew70FMfeLWEPTKsDpOpyAdT02ZlWQlw1 gqVGaMTPsa2eGZIWoKc0kbb30SfoIP30WWuA5spTFdcLDGFg/GZIIE2DF+VmlAN6SqQUR5RKl6Zh tPvsN+Z/hY+Gjpw/l/vSkmhKoJWCRr5ig54S4cokm5x7zTxpg5HY1UK+WQ7DQbaZS/ilZV+hWcz3 nO8/noI41pMqviDKbtjE/KpoTIONbZl+2kSDSBNLN1sxdYQDesrT7eWXx6mZUqdPIY4f2/eQMlyh P4uyrQrPPud9cly0+wl6ivLMn29wY3jVX3JWguYZ2GA8pH+KuqJkE8XdwxE7sWFJgJ4yN7jEM+s1 bkM/iFEhTtI/UMouMYnF3uluVWfeKlvcRgd6ik+r71pPV5P7bGRjfLv3/LAO45W/HeWiTrFdhk9a zZ4V6CmY9212ZN0Yd/BBZw9UskLchU1vd1PHlQ7QptY48mLf/9NPGaLJVTJ3zumD/WxFRKCAJavw JGebp56riVsVkR1mgFgBegpmx6QFj8fYI3xaKDYbNcf4OPR75Ol9+S8pW0xm4u9zkUFPya5lGC+W 3bs0sjCOaufweeEuMa944HacokkTXQxFQSgBPeXul2LXJZk6F5eKP2tMbx3nxLBdBcTlCI3OXWw2 WbFyAOgp71uGaOLzhNKf8I7a5o1hdalR5tlWzBhsL6R87t2StKD+89/XGpUireheeOhYmaZHXEAY TmqDOPQVxEVkh4C4d5QvD+gphj9D9K5DbhKT19+5yXlKti2PtcP9q26lDg6JPzrdPcwCPUXy22PO dg6HpTpBNukDnQX+wYFvlofnmprfqYLbD57uF9BTwiHxDtJ5tKeS1R/GpEM9vbdKsGY4ebDrL7TM D98lsRmCnkLS55ilKG2D1R0/e1bkdFDeV4uKOjX/ppGwJrrrON2XCHrKWatQV7Gp/Yx569t3UUZC vzYUA1TCSkF8sSSZFPKYV0XQU1r7vZZYL2j18Dy4fqUHsHv9ezQcEpShincuit7e8hoXBT0lN/1m SeEpomAQ6srH7yfx4fvxub9ZW3zriU2IGathFBagp5AtPTpgpZgTCD5kw+wI2kI7T3X7FtRX6f/y y39KIA/oBz3lup2RiJek6mKnLbpgKPjwC2OHF2RAQXtQ5vck6/m5J1rQU4yVtxQVUuZ/7PPpnmaZ Y4wqBlJL8U5zXBqmNiMZSSQdg56CndncK98eU/drK6R9v9oP2dBaLbOfuIP2zMiH+xjeFgX0lAyl KoM9TupeQq6jdiK/U1V1N4nQU/boKjKq/Wsrm5sp0FMWD8wsoXE3DXS7csePDt2tAuSzh4707UTy QlyxviFfiYCesn1Y1TP7xozHTo2OAcvWeCfINLVGhCM8/96D/wU5Fsoe9JTF269/ynCjLlW6vpzs U9QhM0uli2B1vIXU873qHnVS9IOeosfVWTqzhnarMWzh/+vpWuKVTwalacMKNWMoZjWssjYC9BS+ O+392b+7ja7RDq/VE2Xd4gjK3S81huvjY9dqNRMDfaCn5Kuyq4zialjEjMtarf7jm0fvn4yjyzhO Vp6nThtM0eEFPcU6DuPXWmBi/9JIKBVR4+dc1J01PSxJKs2IrNKDN+9yDNBTmEspUO8FRB6HB7cc Vs0eFeZMg4+gMkmrPHRLY0R08G9AT+H/9S8M83fFjKojfFPLYBfKr+0PSCg5H+lijTI+YmFYVYOe Yos2L19r9iA2zteBbSapvKL64oCBV5nL7qTITdhRf0QAesqiUuyj9ZwDkQEG7oKwmdKgKL5MQTL+ hbfQaz0K0yHCM+gpXZ+lT6ujZDbqktXEHMQk5wkl0+YN6YPdV0gRKPidT51ATxlUFjKxq692/ey5 arwX4bVBRef0Up1WaM1sHs7LIHyHC3rKeFbzRJD0U/rOuO1C44ObBFXZn2Eam8NlgtKVH66V3C6g p5DmvJ7j5CRXRPLLXi+sXUkyypFhnhnuNMiIGUwnC8kOgZ5i5pyz0vPz4g9/mx3jXD2uQNJJ4heo 5ziW8+RCx5Mnc0jQU/iJzHzmaz30clYV5ydHv39IqiFhsahD0Kkwx7ZANc0eBD0lXAne1yqnz50z 4ReW6KNVQjM/qt4J2i2SVu7/yLrnrzC8Bo7jtbSMrVpeXrbXqmUs27Zt21q2bZvLW7Zr2Viu5/n5 fv+Je889r/O57+yVOBh8R9BTutzbOQjUzeOZ0iwcfpxmvzeknFE4hY3QCOAXM2/4toQEekr9IZpb 3PRRxBUPRuMlsT9soWA39LNfvi8GfRz9Z8XuA9BTNjkRj+szA3ONcNitVTEO+sscp24uZFqNcHo0 PxxEYWGAnrKeO7K6XKYyy0IwwPueUXD04ehmxwo+RHNJivqCLW7nGfQUkcF3PeOqQV/oNLuLRy/d 2RRCXu9u0lUfWyLRrxryTMRBTyFZLRki3y+9xY7UrR/rUl19yDPbwkKHlaYOaRFprFpfBD2l3w55 4HDvfON3/krm8OjYV/wvEXFftBH70/l8XKJLS9NBT5EzMUIjPffSviFuDGYp8A5XNeXP/doz8GHI yTRo/tUKDvQUGSwrTtRF20miQvMbVtv6myG/n4ZduqI3TyejdunCgkugp7jg8sK6pvTViC0+BLab 9An83vmE3zk6WpE+BoczejBiDnpKetnVxnX47hO7R8I13XeqonNxw90BbvS4paB/OQ4xk8Sgp6Qz Tl6dRgq88xio89KrfPRKM2D8t4FZFhPeh+SkSVr8A/SUKLrkzX3UcnyuJSWr2zcdzP1cXXn7DzmP D8d6dmFDFb2gp2Tf93H3YP67kXZ+3loskVfRqHt9Vv6uhShg9ztFixR1DfQU+s9pv4olgxApfAcd NLyHO/EDcfQkCT52GXNDIgWmPWKDnnJY8N57ItAl7KHbI8IpHSoD53aeWwVK2xs6tHJTtWjhCfSU 8TdF4ePvgTOyXEcGjdIWiT8Rm69asSiXpXrfTsfGJrZBT7k86YaY1O+PjxAtr7llO0g7UkwkMIqo NGJJpVpIz94QAD3lAq29ueA4QTl8OMNUZc+3//IXd04xhG1CRmQ5mvlPw1vQUzxhk4R7STvTqKoN RQSIUak9u/YGYexH0fDj9RwWSGFzQU95kcMouKxnIXEy7eRGMoBPetVM8LdfHpNR5ilBn7E/ZAY9 ZY7q8y+NK/54nHtd2xp5AdN3TGNJWvhnWNZWltZEIpVloKck3dZPR0ltWeBULGx7kybFJuSXnGOH LPMRhJGrlhlbl4OeAinCeyxlPAvhmeDSHUQ7Op+Z0CXlXZysQrpI62KW/WoMekp+L7vSZWfJn+ez KOncGCmiO7kX9u+HPsqN8x9dXdzqMkFPifqY+d2EebpwLZPg1qD9odGHMT1+sM0HzduB1sXuGgYL 9BQoDuQnk3dDZ4Y+yhJhr248d2gwXFFNJRHv+xw1t5I1qUFP+fKrCt8ADncqNK14oaiLX9k2XwLv 4r5Z9aQHqWKv664X9JS0L03D3ORIVQhQg4gqzD1uTMd6iUIbRrs4PXyBH7/IFIGe4i8WP1KwfjV/ HWXFQPWb452plOqU9fFHbTv6ygYHleIB0FNI5wxlf/ca7PokcH5OmufDoaV1cSAJ5dkM06quTE+4 LQU9xaErTGVlQof1adHcVw7phtztU+UHwW1T4SyuZtqHrgUv0FN+lH1ycUO9s/+Mku6vQsYiNwgn FY1Nc2neWZUp7nQTdAN6SsaJG1aZb9d+TwhZoX7VMVnI02+jUy7laIwc1h0LMYh/oKcgwBuOs/R9 4SswvhkqKiZhC5P5M93Li/pTufEh3LH9tBj0lE0piRdTk8g8lSFKuNdU83VoC00yZBhLHBs3myEc 71Z+0FPMVJLcIOXT8pMlxMSZ6mqoQhcCk7jN7ZTUsbaJd5YzUkBPYdaDKlvtyWcqnNpeEUwZo/hQ s9aaFEg6560juL3QcvAT9JQwhd9/iPAM8iEb+c96339+PEMVhqi4tMj2iO84bYDxJwI95bUpfz5H 7dgDWTJ8NVqKd9j5Oy9+tWmk1vsku5Ndyp1foKdIzr6pU0vm1IwI2EJo4cxHG7FIHdhCB9eddGvj NVVg/aefgnYx8Y8e9dAy16vJImWrj0vGW0IW/U6PI8DsOp1hFy8I9BSjIOniz8cYvgZrRUa6qRfs 2XxGYdW/tf5BGEnNecbLb4OeAsOJvlk9QaNmx/+YCo3/4t6O5J/oM79Qri0NISnNZ9YBegrOXEeB XOXK4dL8aghL9TOaZDVGNoXNod+5bhNFt+A/c9BT9NkCTjSpBbVzoqA7R/zmP1hfWRujeU8PMZZM DWnOsMeAnqKDVWRqS8paWDsUNpvD4XpMdwLNGld2HpQ2tebrSy55DnqKJX895VNOSATEZKFNan/l wJ9babMMNcvjKjoqk6Sw8HjQU4xXsom1w734yPvI1oXFuDS+FTXpoluFq1QUvFbYfGMyBj3F/Jea IW5nRZuoq+wBt7Z3SM9XU72Wcn8eulYhz1ROWgvQU+J+hXD9NbjNeF/0C7l41yuWJcJDzd/ESQuT i5Q1LWCKHfQUmSjhH99wQhkstVmNQn6gtSXCpmSSYwX8o5htJC+yNVQGPSVrL6joNTP0Q/zuippp luu4yjIswfGvF3v/szzuplQ9OtBT/j3kFBzr71ZefyFNWVzo2NV5794iTk3A9HJerZmXku8Fekp8 o6PLckOxNA35F4FuF4o+fRm7z4g8JSvXmyNHreW93KCn7FJrLQUseExtmtCFQzBm/6O4oILLZYwQ erNFKbMx8MsGPcWISJ7KAz9wQ9FQgP2FZrxhC5n05bRlYCLBpn8an9QJG/SUYhEvXezp6BHrsLIF Q8wVF9m5BHuxQ4l9l18dcqLLSQOgp9xfICQxFA5yv3VX6NrZe7ksE8jeybM+heTOSZT7ccySgp4y upG2upzG1n4BnTePiTvTHgRRr3eKmlHc6tolbSzQ7QF6ynsSaxvKGy9HQ64IK7YtFNpCfPzRRn6M MUTXt0LkA9hfoKcM/RqWUdxADcKiEikdcUArzls7tkgugBaQOVqV2UWwjwQ9RZwkZBz6ofnERvAw 941sbGnb3m/LgMRxLbtr2trHknoU9BQyNrrfKV6XasGafy1ouipgFKVIuh00zNwCPPeFvIlKUEFP wTWVeSi48blmddbOZWcp9nSuYIJkZXN2uNatoo1UWuoGPaVlpO5PZJug35vv03jNpBt56ZdHGOm1 0GQImWsRtjiNJdBTDrloPBGIZIxpy62ZJjsgRyTMoHaXNVG/ZTiEfOWj+4cHeooE3kjNgBrKNa3y xzWPGoNHXnSpPWjGWoT84jk6n7btB9BT0p+xun7ONC6GiFSwlEn4kMJ4NukbbDzli2u2zE49xMuD nuKv1vPY6igyapSVWXFROX6O8ihxzVUeIjD61PWEF+C+DnqKQTIqCQ6Fb3vXewlvTeHDvxQ0jY6t m9WW557TJfpsz92gp2CRFGZQetCJYkR4vImXox/zmjrkFooUO26fUjtUNugYgp6CGWbL4LLKsDcS FPuhyEyCbbIiyWU1zWN+2gDNaDOCLBn0FNZ1WkLK6AQISib5n0P0A2dCiB2LIrXhCQqWW3GpjRdu oKdAS3CU2yi4OQjBOHNUlomGFwhndWl8skjTZoyf+vIVEhH0FKNtjcJyL/7QfSV8qzVodOKqrzFa jueitfR8XInwKeFZoKc8D6Tg+FRJkcR/QoymtH8NKn/ygcUxnd0dg30MVsHAUgY9JR5Clu1ns6v1 Kp/qZi5qfOtqEPVeyp9w69f1G0494ukU0FM8De/zoZmEIe24vTx0084ERaGy97qJoE2LQ3y55N+8 fUBPiRq26bvbGxe82pFigB7GxGOa71ie7bLPJg+tT6bR/EIDesrUN6P9lgwNlw37ZXjO81IBDUee vfovlkzD0F2RveHO6aCnjOc1X5lQG6Z1cuHAYIbjT/2Suiz8Af3NP9U6BlHUTzQM9BRPVgpv16Tu vcJVo/F0dnPTgTV0j+uipdfBQ8oIBFLNCdBTmJguzeWCtW7/cKFhZwXZdWz7RmWwfRzIVzi5U/ed QEIBPcU1kVnelEHcEv6BoayZfSEWUqX9Aa1toXDla/HnTa/udtBTCIW8f0NLmVz3m618US9hZS/i cC5M/tet2pRKIkicP38Ieooma10vySBzBOxy95ld2XJcLiZ1LJP6fp/5l8tl9kPSXNBTBEWSPEQq T7j+TAo6WHkZrnM0N1d7kq7F4N3MKWdxX2mBnrJyyyeOuqWpsCeOVUSAtp+Db3ea3SfMVt6w0ED8 dmlCAXqKDj7ngmBE0Tk56jYFZarLM2rSyDs8wd6itajPaOqV1z9AT8kj9OAw7+Xy7J+DbtZJtrle vq6D17x5nKPDIy4s/dmlAHoKTK8hYR3fMfZGr0FI0QADW4YB3UQ+nFLDp/tVf6NH9hDQU6zvkbf4 XL8yE1F8Os1nwkeUl95Uv2C24KBf51b4oKmiDXqKwscAtONtIxMcOF/BbpJdQ4vEQtukbPvREuna 0CNfrEvQU7iM2YiHjB49YyqxaR7HPEhEvdEn8hwX3CjubzmxYjmXQU8JWVDcxCFF3lDfEmAUJyzn F2tJIPUm+/5FnnFExpOp3gX0lEKLzQOzrsm73KxBNIUb4i0MBBuN1R4GpZ42dWyYougT0FN6cRqn 8OKR8EuhcUOqZjopUcc0kbO1XH2yUAwH+RIh/9NPafBktZ1o2lrvkAqyr/DtYlZrOK7Tm4Q1QtFD XvURl9wBPUUgn3m59iH3XR1p7pY7rRvCRSq5St3yVygmvKiBEPE/naCnZNlLUHM6E7U8fXJCozZg tsQ619so8Y1g8p5hxCZGOyUEPcWt2Q1Xso+b4VICieJDeGSuzcXr32c5ThIZ7cKB21gTbNBTiKGS PtMya0vDocBkuaydNTLszPosxpgxWxFc6vLnin0GPaUNM5ZUiRvRwCDZQ2ElJ8U70e9x/Rfm0V+4 oLufn/FamEFPGTfbZOxnVLTP69OdF+R89w75tr+qsGwoQSdUO4A4GPsS9BS1uRi6z3zTN5MIiK9/ pr6bmsOR2I69IxWxK0df0WrKnQQ9pdNi/qgvMDAKihiqnJPuKBhvWdHaE9p6kElL9GUD2yge9BRh 46s2+DiRMIgVVVjEJ47iWDUTIgYk3TsBuZtVWjzF//z3RQyTNOokuPj5zriAx99A/2td/6qFYbso igb3G8FfaNl60FNwBsspmcUJcQqT+pWpsMpIg0vrk2F/I1zNZVd4eUm2NoGegjkYTMggRNQSIbIc cnbP7larc/9Zb8mkHLaR07pZDlof9BTcPMK3Aysfe9VwtKjcMWRuOWcaMxMkq1ScRWcpts++z6Cn dOuHf9DH0u4L6Dh45z1XLyHi9B6qjm08Tp49HyHnTyU86CmRVePe8f71UNHeMGvIoxG8jsL1Y1Xh 0qoBrgupZhNZsaCnjLoYR1HS0mJHeUlZBYU88rZHRuCmnId2wQynu3h8I2wBPYVZxMPOnnU4A3eY 4gr9Iu8ijS30Dn2AoDrpd1je1ZJPBOgp5+axTI6eOlM5Vk0uQ3Ae8Unb9M3V4hsbUb6Key3prwOg pxQr1tRewSLEMG+Hdn1DgEFW0vYhjzOWSOaxnXoLehfNDHpK4p9sXpK/kaPS5WISCDlOq+Z8fyri hAPOStYFTc2Sm36BnrJH0M9P9y6HRQOFfiTUDPHoXm3e4b0hhrYdN/TR19Guc9BTLF2E/Z0WG7P5 bzFPRcwdsHmxmM9/jPh7pC2/QE6eIXCCnrLDP3OEcIAZK1mPsOYRg1dC5d+wSeUYtipKaJgWnWfh C3qK8r+oEYL4Hj3jjoyn8C/lcg3emSaZ6AJ+kddtf0JXzvdAT1HTEDGxc2AxKii0smi+dqnTYkeA rEbd4jClgUEud2AmAD3lhUyNPlFwWnpD3nXbVtiJEGYBZ6nBdH/b3D0cVahdFRP0lGLt2IQIDJQS abeyjtgN7WIR9+CioHvFh6yv47gH1M6XoKcYU2aHybG9D6mZ+UobDk0YcCXMZvNlJyT+WK9z3+Xv kiLoKQTO2R8kSNJJN5zwDR8fUzJ8ZIZlddt+vWjbOcT8NuLwBT0lxdg09SdLKBTyYOouGmttNv5v mmgLt+GwpYYjOQGJgHDQU1yQtjYmUN35OLLngxcis4tngtWG7Jqv7WXjitjx72dhQU+p5zS4zCmO hX9DlVVvj5MqeIbmZ1+W5Ai1d0pc4g2GrwA9JQnnfZooxqFkPnW/8YNc2DUvdcFFrVJ3kaX/O0Pl hYa7//ToE0uJHB47chXuAg9KRuYETE/qfXuEeQNdUIq5yYvIT0BPOTlgg4HmolTgXJkiIyuMvO0K SIpjj0VHKStWhEmw+TEKeoraeyLpFhZqhTjbzTeYJ7p2MV6sHWIl/M6a6sQtm10iPNBTzlQqVDkD 9TXaZYoebT8lwy3omQbyDssgZOZ6DtM7hsiAniLdJ6EjfKyXv/7/GwSfoKpmkKW7PCBE+QT33jBR Ob5PAvQUXhb+1suLt6m6QlyiBeP4GhE1ky1GX/leBwukrzFWAsGgpzCaM3Wuhn2KI54L6ZOQH5PI k7PPMaQVwDBLY3JsEKEuAD3lURYSRsJqrc0/Sc8+r8qRTW3cFLZ7M8RW99toVwT3sxXoKXmfQn58 CiEyC19zyxrUocSBxdtMip31Vo6nue+QOQqlAj2F+h7vLonAuzIVTqZgkfNTzMxpRVFpvam7+Fwm pBqT3FfQU3Kn63j4ZjvULFLXJUz2SecF3NSHsCTKziTECd9YS/CdQE+xrlIxTOrhS7gT4OYltbUb 6BgNdM9G2OqIlmMv+b7JQgt6Svb32Y13poeuokjRxkRyRGmCBf9ocRi/xHdpjYcbDGtAgp5SHCh5 XanRi7UF+/5liABnX0tcTJ5lchGuNmvPsY5s8Q70FNbMxbKJCWFrC2ZG03t9Gw1J8jl7yzodg3E/ wmiDgE5S0FNgFidXHW6Yt5L5BKx0hsbSjrn3cEkNja4/dBj6cEY2UYOeUtUQiIkhX86B/QMSogim /yua671j9gyqqw+1uWMPGg8x6CnckVq4dmjilh3fTP7alMfNoXKtKavgGb5bjtSg/CMp0Ax6ilkr lveYS8k3rATODO3cAmFdU48wbfOZB0kZ587FQ7o70FP4BlUo2FkWqe5Fima8IWIeL0chjUUYv4bl Z7pKRkgIN4Keslnp4C+BihDWxbvGvG+0+YhvubQTUkMt7eyB0epz6wIDeopzbEIRQwDqG2e/sUC4 pOJqTId5QUGRxFadH4/dTvVPNdBTWm3+oH+GaLhEKfROxRHckv9CiB825SWFCB288Lvx0J0Y9BTa vIBkofEcLBPXDr10ia+DjpF+75uW7dTGM1ny25yrpUFPwZzNSs0i4izTMGm51fvC7/pqWFVKXzl3 wpdUwvUgv/MT9BTsN6t/+3kpybYMTML03JCcPZ9fqgo4Xd+F227iUxoZPIGeYq4aZ3aHj3uu7BD7 R/yTmOf+4LoGtrQh/voj0jOpcLUh6Cl/iN9ss0sL5T2sdiW2EmhzIdkfWnONpyfO/JJuGdZtFkFP cYvsW6oRQ39CSkdBZT6W49eymmTwuen4rPr6XG0hj3oCeoqNDx1WnJdJKd+SBLYzQt/vPSTBNwdk ZLSzoEAU729xlqCnIEi1f4PhJ5cLH6qHPznfF9Id+bXQNLOncdr6PGryzo8W9JTi5+L5FDZ9w7rh w8ADAScsOyoN5qI/+oiu6eaMrgiGOqCnUDGmt+GRC+7cV7jj4XrP8g3+zG8mR+93G96rTtS7hmcC PSWo5HegI/J5EcMvu85+CTWb5HKmZpiV+eVE+6h6ZXMkEdBTTMYb1Ryyy7FvzSnO86Ft32NI/F1C QdkuDPKyVWO5dWACPUW72CeJ66gzK9QgfutdMi3zU576H0zhltItf/1gxBMWGdBTVvtgWEvYLcIe udWr6ocLBOduBMvkrgU7kjkqF6qN4AVBTynlW4np+P+haRSMirFoNxQjICDuUxRpI0Krrp/ly9Hx D/QU4eI02rjPl9C28Wcnt7u4ry+L6hFRN7JsuPNOJTSHrcagp9QgjaZfMMVgQCiHwEG+p9N2liu4 ZSJ9myK9aD7hvSrHBD1FRDgwUpna8UnbJZTMhiCeM4fOgIY1eHSihYzS6FzQhAT0lAbDcIVzXXgl ST4c1hMDsRyKtcp8PmbCrxv2yr1+el5fQE9xEYgp3jheDBMWdDMsWR5Ua3QtM+VN/8fIyjpHqj2n IA56Sic/MupJz6Rw0AHjNINBmgjSp7GhEgVD3t4T3FcqW6lC0FNCZim1eho0hlcVIH5BaSgGfXod NMek67cluzQ4GjNMZQI9hUkxlrN5BnJdrrIKeTfG+t/NTRnm9XVqJkcp8/DAseoh6ClviKivSB3N sKtLdUTOuZFG8Djw6Lr5A9IV1yKesCRnW6Cn/I4Mw0lR7IyVb2iI1NPVwapdYrg/D8L5IAqvmVlV pWIGespLuCC/CKW8XYH40bOUUUlrHxam3dbAUS5GM9Yt521YMOgpifZ+GgO3Xr7uiASaNyt015O0 FAJi/+7/pvw6RhQRIOkFPcXaw4OuNMEpSd6IxfAIh1xe4Bjh50SVucSgsArVlJ+uM+gpklJx/cKl 75DDND+ejysp1LxdcE1CNcpdPjmP/EEW6F8HPUVEIYxABSP52Gt8cG/QK2fkhfLxHWyqzbZZ34X5 SU3GKugpgyMZovsfCzNMjXnbWJisIUz3tLitztXDvkagvv+Vkfce9JQpuk4TyyZlN1mh+UWEMV+Z 4IP8dg+/5aZSRVYSNwtqXNBTmDhSwqFvkCJwAhNR0J1CLbJrMIw7/HI+zyhrZSwwcuGCnnJ8Y+h8 kFxkJveZHV35+/W2iJ08VEnEhqWsec77J7pbMtBTfuppj1ukr6jrFSUjhJ3tTMIHaErol1cPnmV3 WfV3Zf9nn2ItZWE1bFLhKXBRBh0N0a9KT4s/ai5GnfmcyyutIC8MAXpKl4SsUVFVJe+EyhUcQnTw rKtwtldfVwsPUz1yLxE5XgboKQM96v6NNHRCNN2OlB4HZGxo3uI4N8Rrp1AR/L6RXzvvQE+xHuHr r680K31/QE8+4ewtW3QvWUD8jzCHXeBE+x3kIhzoKe6nyjAcH0gDOrQ2NFupWwgkSVPkDAyl3Wve hjeS3jbxQU9JFaRaov1aH39rPupIVMda0rdwiioHQctnvPr4sL4hbgl6ynUJzjUFvH3IfWP1tshM gWZ2WOP6ok5J2eN21WfSue0b0FP4vzRFuRmnuBLHGtfXIMzfIuOwTvVKvn5AtFjeerNP5AI9BSIU oSf2RuvgfFcq5IZ9amcC96tjNS1mm2zsx1/0qwRroKf8Cd0OYZn9ozj2eR8qfyRknh6ewHvuTaBo Y+aIB6eWvRb0lPMSs1/IFdkkrkY20Z6aeGEvy7AUy3+zY2aUDuqcLoovQU+Z/v6NxXzOvzxluM2v lBRDOwdrJuJ38W9eriLJ2zZBxB3QU1ZXUF1/RVJE6+eqfXHM74bWawuqHHtLWSD+9cewyM/6Pz36 auN0l4pqvojfFuLlg6rHIWTLNm1HO2cBAo7VB+97k85ATxG5yaM1XMPOU7UPVtfbnLsXX10WTMgJ DP7gLb+etqDfCXpKj9CX1c1b/t0agvOD9K8QJaHwgim5cZ59doK8iQsJqY6gpzim1+pJTF4GT39X Gp7z+NH8t4h5nfqeArKokrPbQbxjEvQUFtqfnR4i44KTzA/fRXicnGd6878K3h1mBhulxMBGhu+C njK6H2UkYhROi/pmmVKz2m3wnYx31+9XvNpltsxvrpn6FtBT8tbxirwD13mdzrrqt5CHfryyltcs FvWG0Txka8iqyNSDnoIn8TN1SQ+KFcLjHMrCixCde9oZv2ssamqylEWzdf+WHPSUtibbICghllv6 waSEdngUrSZuHO5I4QYrWcbQjiorXDvQU74E1RydfeStG73xaHwL1BYWtRM0i/uEnpiGyELRUbY0 A3pKc+T4MbxMPcq9kzsvCY1RB2XAiwMRij2TT2EmbZaOvh/oKQOxMHZnN+gUiicy2XQ064fRGsI6 /eRExDL0wxQbP1ZMQE+573dbGUfiuoP9kBctvwl9bxGkFnLuDfeeJ3C6QnzLj/E//30RR+fFvI7a JCjlSXB1ipcgGbdzE/Doreg7CO+mG1BIgJ7yPMYnGq+ra/b3cDnmQ+rfH9AfvOuzf+pv0lrkuNPF W2SCnqLio7ew8QcPFX2hAfVHpJekJWfBWtAq5GA4V97IN4TIc9BTHuiCJ78ZG3FTWHwnyanoLWio vf+MQw7rhVF7VUIIDwUFekpgnxALHy1P/OA3TA0+jJZBFHm7QD7op+AijHG7NzS0NtBT0qVIeiNP 17+z5ge0h5xZmHzsTXLv6EeUqc/Nz/x00lUBekotwzCEmhi9MWJ9l9ixPGRuvVQDG31lfTuOn2q5 xRe4SNBTyvCUGiRKy0iUkL3hVIj7qqgztNu7jywuz/Pokl1mYrNAT0G8iCqI4bSj7aA8cVkv+Nqt 3ateO6tq0mZw9+D1fQ3eDfQUzea1S2cRk+XjlZsSqYcEO63BGULpPDv2WoRIDm7DB2rQU87xSHiu q1dXlL5b5AeMwNtG/hIOHkIZh7b1HGyYfvtbCXrKQxmkBUu4Te8Q1hU9nw+28XJwcr8jHwVrLOUd 4wDRrjDoKTCZMFNQBQfy1XcCs3eHJw0BsAjihpS0lAjqj21UO4l+oKfErz6pj5a25tVez7Un+tOt C4xuiwupIOG1kQXeWWORXYCe8uO0Wm9OH+8rGqZ9Rwul8/k3TSwaclRv3b+UXwZqYd1mQU8x1KBK iFVJ2Kgz3hsIyD2Enfo3iqGPZ0L6TLf6qlbTmQx6StPjktpnDgaWy1GuXRLs5H21v4MzsbxZ3tI3 OAOy7T+kQU8p0B6LnlYfKnRdI6XgrDr8tRxhmU2D7crPHzA+iCL8+QL0FJXUMZUgs7E2ci8aMZpa XZnvHD95YZeltwhnduq2eXcFQE/hYXPOxv55zSPPKXGlu1vaqW7V18PYomDcvbUVO1D3jg70lH0m vbx272e6wtNzSzmiKYjOEjXcOaeiF25KD3pxMplZ0FOM7/XmoJ/w5MnVE4Yj/1lcPVdGHb6PylAx ejIbUlRgxAI95TwDJ5X6zdWXGDugHeGwLzfYlObooQ7R0Wh99zoz3gcD9JSkJ23i609kaV99uAge n0NuQnPnXuEYokVirLtFTEMnjkBP+ZFR7ZQXiCJ5ICXtj0xyT82zkJvSqp0+NFvUbjCB+fgJ9JRu nWKME5XNemLysz1m00Har1wbcNtjd/5WoZ/hlVwHakFPEfVxa+qmlhxBvfnX0hnkSDNCS6mVcenw 8ZuiucyPy6ZPoKc8IEZy3H0Y2ghJqwxVeK36GBa+olcTQ6v6OxYWH7azkRL0lPu2Y63MNXspQxGx o9/1kM6JLD43HuYnCK0eheIMCSR0oKegoTCr3cm72V0EyZX8YVfrEKp7UXb14Ag3xjCD/baCdQ56 yk8kDVlUXTKlR17F7/3DcFI0K9yap+h5t5jO2GaR0qGwoKes7ClGZVRwOuvnCoxCdmPmKvCnn196 mMi4zfSoqkWKhoCeUnASIZ8adVWauHrC/9Mo2SBIfdrBB0b4RPqLF2VO2s0p6CnLtTs3hpcuQnd/ 2SYK2yiPJxxNOngq5BcnbDPfuuZHk0BPYetvg1N5bQnJIZAy/5HtezdxUXEcp6LUuziUBV3olukD egq+trPLUuuDAV+uWgEy41pQBPX+xkSLEDLhnIK3b4KIFegpLWRx3Sb+EQcolRho7DaybrEcDmym v6k9G+jNarb0e1ZAT/EjJoeEzRQgTHdo5V/LdsZz9/7VdzB5PuMUID2RZs2UD3rK4lTfdYBT1Y8Z ZY1A6S/SswZrMlLc97WrwjAvN6neYf/Zp5x0MfQXL8g3Ssz/Cf2DnXSjSpCan+Rpnm/uoELoKaQh CnqK3HfyxVBvQZW+P0QX/Vx7vAO2pAUXlnCKNLd1122XS/Wgp4RO2ltGkXLFbc5bh7HLJt/e2NgI fz4eXt+L44h/JsVzBj3l9OOIWT5bjlDI1qeGMl4FB7pLPRcOKOHxY4tQdpaOxQjQUx77hSqVRO6g 7O2el4o8OM+r3qRSRz/cTF98kuGuDI+tAz0l7ICeeRkPF8l85jnd3cbYdpDZBlIUgrf8tZpxXfNd mzLoKTq3hwnlJewHg0p8RbxaT6pQ+hFGj2uOSSqFvo27vHAaoKcY33xRQBVrwfO3wM5ruUFe08tT 0Dg7f/rqs1K3yKYptQp6yi3i2udBQ80UNBfzzpgHKTaLs7xs2NG9pPDvVeJLXOncoKdAcnK8mohE sRfvxQSISfTVw18l7Tzrfxz0uDHnZPuUtgB6yhHKYpBQRYhJQ0HUxF75rf16xcGk39lEs9QS5USI hVcn6CkVqJFU67pE3HOhBpN9nQ5QCuhX2jtrZD/SvFp3XqP1r//TTyGTJfwanWNSt797un7BIrgi BstnP/0TemmyqZGIAM0H9JTuoHi880CJw2UvfukPlhxPyjE/MmZ9hjGVkTooTberdUFPGS/YauvE bNvjd1Zd9yssaFV5/rH8OUaRx/JseUqtI+AG9BRxRIj+XjzRheaXINNPHD693cs1dbQKss9smY42 p8NoBKCn3DhL+p/+pniPGvnpm4M9YTX6SUqm/8QCEiRCIkFHry8M6CknyxnTlMw+fWnJFXYzSK8+ rmx9sokfDELlaGQLR7Ue2EBPGbsyJ97jGbwkapLHFfWzJFVLg2ikn3X9Lsf9YlJ64TkPesoENhKc hfIKU5LbjgzKB+kF+TUTBEPshXE3qPdBBs5Ya6Cn4FmOWPgIwSEZdjK54HZD+OimycVonuuwVbDV waUx0LiAntLSB32EUWHi5C8yPupIR86jpJipx5RzZzfuz/sX/eeKIegpBKtwCVQbgYJEal+2Z59C EvCp0hKRCns763jw+x8iof/To699a8jw/iiPJI/+siRJzZrHRmdK3o7ueu//u2On8vSID/QU6bA9 1aJgnuPcayWWjGr0GnW07NgZ9qj8VfT+huvmIkXQUzRtDfFUr158wj23fp5iBscS3z8WvC8QdIWw YYlXdpaEBz3lt5lcUSzKTGeYDO2F+g71b0arVp3mAJd/4Qi/e9CszMNBT8GDFMskJSuiSGisXcj4 bVmRuPytQBiPQjX6n0bDgxeLxH/6Kd2p2KzSk+y82rUYabfherdPJpFC4u3lZdwvmxqeznagp/RI tp1j183Owf/1dn2tO5NvpfXbERnvD7A8i94McXNTAz3ll7JcWrnB4ksBH38wovSPA4XLBsig2Ze7 kM3BTjrhC2zQU0yVxs3sriOXMBHNfiEKoclC6PKSrkojC1vEY+5hZOE3g55SIiR5ZoozJgpLk9Ii zO5agb+1FJgg8uFZ4le7RkU37hHoKTXcYdwkRT9+jp4VPy3hz/beZk2xyuH6mxWeKLWRoA/9x1N4 RtxUCIqNjBc7kCNDLuUnx1ys7KHyTKcHp168IGE3d0FPwVNcnB2PhdcwCDuz/fHDr9yR3oKGpltq A03317UCr80S6ClStzn+3FDdHt4HvyZcySj7NEjpnPQobKtbCW6YHGp6QkFPgV3tszhRdif6yFPs 7rUvX+qwxHHQHUruGmcMUdESuV0Cegq3F2VAmVTddi48m/IPkW5dogVvAaqlOqELw8hYPRlFEtBT sCQ2sg9JluMSg+x8WqiZekaJ0W2XWWNqvGmCj+LOv0uDnkIKY7uUOmVjz3BrLbBdGTH+l6e79Y1S /oT9zo/SFnkWBvQUNDrcAVLWAWa4Z5mEgvvDDUYFeBKEyUCFx2gJ3y3N4UTQUyBw/ARa2cjuGGb3 NYoU42wdxGQntz0q77pjCVURom/3QU8Z6ErhnK5DHzEatew86nnztNYOIIKTpUSPydG5QriBPQE9 5dYZ5eFXkPA3OfKC/e2R63gFs2ijmsKbrwGv2bFEMJMIoKeEv1a1zQ+XuwapFnS3x+2a0AYioool R738tc/LMEaguAU95c5CT3S65chcSd98qi9J2gv5h/N25poaYjBWatKcNwER6CkqvL6nrET+gmwW TURqRxzY7WXvoweW9iMXaEmvkD9QZoKe4m/q56/XZa17Pkp9mIML7yyabxXwlHnvDYvEMsTckygN esq+EiZskh+1xvVZlZBTcrcGckF5kLm7qeo/DjMP9r9StqCnwBok3x835OZ9ThJyxVpi0nH/hxc9 4pMdi++88mXO4boY9BT2NJF+GYn000NLHyhvpYx//KSVcf8aE4gpv9craD9fdoOe4mbJIQGR3Gkb 6TR3kuL6cSMiMMb40mNnvezjlkbPzNo46Cl5TPWWgXBUJrQ1A7R3Smnvu8ORolTe9sQ4vvysf7na lAc9RUcdgVRyVcWSrxRGKhPa5SJNf86CxZJUf2gE82YRllMY9BST09bVhh6lrHosuyHJqfme0iuG M5VNAs6BnWTaSPGf/KCn0H0rr+zbvDknkDXOUe9EgjIv4/Hq0NTm4nL/FWr1qoUHegqfM1lZLl0/ 7YZNrems0Sy9lBZSn/aj35SFiHoebPNzC+gpkzjFYhmuexLHuBgNiwwMPz3tJnlOPuChbW+X1LvD rjWAnjL2rlN76/awcMh/oUE9U+/u4XM6n0UTRFfg2zkn7U1MHOgpnZIKJS16kkFJmusEHnO1yHnK VwJKb3Tzq1RMlVckrTj/6acgCudSjq9p4eKP2BwvnNxIihlA0xhmyo1Fdb3WSbB/Aj3lCpY16K/z H/5SZlYFWVFVloNxfn3B6/MlGSNdDFjF+EjQUwZimTPgkZLsqAjdwvP4xs1rC581+kJU4fKi3KkN jVHuQU8Jr+eEkcuXbG5CWPIJ/o6M+zzzmFEt8+Ohr/9jXmwmFgLoKa5Yho8ZnV1Ga8OYcnn/Es11 KVZ9ZRN/35UsD3MgcO2zgJ5y768JPVOe+W34KE/yFSYhxeViopaGfkwb0/XXrU0oHCvoKfsIlruJ MkJpRpdhTLGMLZpeVfyP/Si60zNNAU4qjCX6oKdohvJnq7D0mUtHa2W/xx+LhvWQNl1+3Kk3lP0X p1V8lQ96Ss1LrnkJIaJNVRp+qNpSfjLhT286ecwu8fk4Wr0YEwJO0FNiE067Ja4LJ1eRv/5kHji7 /q6awqhqR9ql1PDN9AOfADzoKfpF3wcIChTVcCdO/RNTn8oIowzN1mcucK9PeUK2tvNcQE/Bwr0y FUU/WM62+9J+uW5KAkcWLziFrUb1JPqdVFj7jg/0FDq+5bTkLXO5pie5Ux5WYziHtZLfOp9xfWi6 8fcVI0xMQU+hpt+PpxVVFj7Zdp/7AsN/7LqN1+yx+HPxDRF68Uw0dBb0lKGF7b6/1BydfQUxKMY/ VbBHtQ2HmrVeA6Mf9K5I95p3QU95HzwaZc6M1+JZnEn7EZ2B6vz7kzTc/Pes3Ka5SkxnmUTQU1R6 PfJ0sFdqmCcxnTYrfj8inXktMjcbGos6K7AjCiQmgZ5yV17bL+0dc97kOvwdgTqPlhmi1QpWXpNH VeqPvuco8wjoKSpol9yCi8NwbdC7AXHtYa8ChyH+pcG0lw+e5CfpzzGdoKeI2FD7x4uqH1I6Sfwz 3qiiqmJwougyb3sVFLTP/vhrZg70FPSFK6MH7W85tUmJS1sobqGYo1vt6nMrwU1n+13nknLsoKeI kZ63YOqbqzeFhaVP+i98JXkhwA/4YGkrUNkU8Mce9hfoKafQVNVGCTRUhz9SEzH0HxKPeyldJub4 4R050L1Ib193QE9pWhq21n2rQRCsfNdJ2QmX/u+BrX+XvhEZxRqGvGsvnBj0lNfdGI1P+kl2PamM Uk7raeQr920T1bj177mX4ZW1Mmj9QE+pGgjiXDDrO/KZKPWEIW16Pp4wf6+vMK7rs6M772s7CQN6 ypEb7W/KuNTEBPSqFZvpKGxWVSmJJjJ7ZOwFdwV7VzF/0FOQjoyurFGDigqUi8YhMo8sxNIUDaNT CPZk8cYuEOaTuUBPyT3ovpnBe0iXCeulfyhX63IKy/Hl5Z2Pn/3T9ezXZZoNekpU086VgLKkSWeQ dGs8kqx0i7GkLh7fITPTJhX5T1G8DdBTPm0aaOIN69qfsrVPV3Qy/GEWcpdG5zC9cNqD84uq+QcF esqkaIxe+O1V1qLCHWOy2xDxD18XfQ57vxuzlXrn2lgCDNBTnr5H3/R3wSILIR5CfxX6B5NOrUR9 WkIjBRXuLOxofC8Oekr4w0dNBsEoKrnQaOilWbHzHtu7UH5CP/X7TKHcKBaVFdBTsHa9HWDdNWeg riGj+IeKLHRYxHa7H39sZW5ptU5zWPuBnuKF8NIImd/fZuwZn80ejHttJUYmRIxM9QBpLik7gfb1 K+gphn/HosNNFTSY6oYTierbX70UIbXdUekvkxPjPuqM+CqAnmL9mfCvp5wurct4R1RW7YdBuri5 zBvX75HzKI32Jc4Hw6Cn/MCsuTW/+lKQvWSS+CLiHQfzkUAdMbNBcWwjuPT71Z8N0FOolkbn5BnQ WvMXYQ90uBbPOTtPPxqwl5CbR87pYNjp2oGeUkRB9uyZuB6DXL+lK0tsUr2M3BuPvGJy/JZsjzo4 O/oZ9JQGh3c5XAMfNyPks2a9nnQaenihR6mFbas0fU05ROUm6EBP+ataz3lj2oTiRxsHFWzETcF9 slKtPJzKD/vcNczljjMNesrNAOawRPMXqsdKYm5o9xx5N7foz93k7yKWRZZcIzAP0kFPIa//fTrs 2O2hbtP1W89vUoSSjLtgLuYBXiK3ZkGGNCwI9BS44CahfHF0pitVSQ9Gdazl8+l2z00o21/EsoN0 V9R5gqCnRLftRAlS5LyKQeyks9CvUOtASiAp7UwFtgy8w6uwT/YDPYVePbnEg07VKGCkrm5Z6zAL DUukX5tgxdfrFEVE78D7CPQUfil6lFykqG6pdx25LjXt/ke8KLF8wRu6mL7ku5hkvv/xFNnp4er7 YDZB7VoSh58/faiihfafT0g/9CNN3RBYOhzSgp7i4DLyDxZtM2pcZjGxO/mbog9D+UuMS558Uxrr d8z37E+gp8w85Ugg3WyQhqCfmv2MO9fErbMY5wjIUjBhlyISRR3iAT1l2h4bUSerQx07/a4Eo0vs W1w3EwKDJs5XQ7IN+8iSxivQU5Ldv6UH+tCX2drI351YLURMnEYbtG1WuJ/JplCX7j8dgZ6iY0ur 8TkXzy3D06RFO9rHY7ZN2EJ2V6oZOSsnFxGV8Bb0lA8SEqZ2b3Uju2JKyU2KsuYLSTPp1hhPHPn6 iA2HNXRWoKeoma9s9KZHyEmVhbyR1rrxWlTzJ5RJcIs0n55rz/NQH4CeslOI/TULTZvGnIFP6sQ/ GxtPR9Zj0YVv47UlHV7DvkAH9JTPEgQ71uxc7/gOTJ4i02jW345yz86JX1S6KyeTyL77x4CesjaO rKKdcRCoNKqdWH2OqC/+c3nh02r7epLKzsbRrCYN6CkO+BzC5XwudNU45kiMIpyYw9ZXiEaI6gxa 42r5jaXof0FPsSOEr6/5RSzfgyKK4nXKuHfvvKBxlDtuTX98yWm+Mi8LesqxlHgsc6b/RRycYzNd bUlBNY/uyA7tnCdhDRsfR0UwH+gpwzt1o3DrZz3CXf3a1BcU1j5FhNI7CiXky41/sBpEDY5BTxm3 XNxeoaGyK8LifTxidhybGsm5Etj5Q2Le7fuS7CqgA3oKx/ZBl408NnZCOOFhAPdi2IYzypStsnLn J8/pvgM+ST3QUzLqlatfqOgKoJUotAjIKX6wP+lzjSQij1CMc9HKDT9xgJ5SwzKoc2T4USKWh9pC KcyCniVAZ6PNLQMyl4NjirbHFR70FM+ltuVSP7rxYOciRmy/S5gveBi/G38Tcm1UmhS2NXKMg56i RegedsVPYixzNW5RbuJq+pe2HVVsHKZa8GrsYl1o+D/7lN4VVkEsO/Q52JBMF7tCWfohEdE/UKgY KLmUtNdqKPWToKdsD3lYS5+4qntQiLrDhEefnOHCORNs2WvZf/9kqHdCtQp6CkKbzh/2FUfDfvRG ellVpBR159w01E7MsLllHeKFWRhM0FNe9CofF7AgtMN62ZwfId3mv6eP0x7YVdazKZGkCo7gtIOe MhTU9WOxGUeTkLtcBb8F+gyVtdB62qnshm9vPZsr11ED9JSpk16f3suLKD0HehNB7IMBoXNHO7Z5 ZgjhMjS+NQ+1D6CnoO6XSmjU5t/JrFRRhz573130RKcPvOxYimaIVJRLfT8DPaXM51nqQ6lJXSmH 8R0rel3nlsL7f4E65dy//37gIbaZaQU9ZaQBgfH9CH8XeUPBzMiiLHvUsB2nG9Gi5F+2swQmItoS 0FOQioM+ofDzd4dTTjlGuFejj7yf7ngo+7ufubeyK6idTgp6ykkq22tg0K3Jryj04aLpq6+/iXvf xbyxbJBHjMtguKoFgZ5CrE4gLJnYLGbmpxm9X7UKN/62nOTkMudySTH6OKHj7Q56ShUc9RtcuK7O 1eyQ0jP1G132iKULYmQXFgsR6ikUu5oI6Cn5tE2JLgUmq+8X3CbfubRhUKdpF7SlsKPe+8l9zLGG xAQ95dzJDlrLSh5l+IfCWPRiC22jb3KXny40IWQcff/i0Z486CmKNbI8P/3+NmqiF009qPzmKBrv mNP+QY3r+glG6v3UvDroKSJ53s/GqotuwTruMjsP5n9TkSCItiZgJeBY3kxXTCHoQU/x697iac5I 5QlSIPujIkEwaLDmHWjxPWsryvJhiJEvLw70FNS1kTaMF5QRRwaoUIT0tFtIKspZXtiX+Yuneu4i xFdE0FPgBww509OnaJMg3ypO4K/lzhiOEhjqGj8dYagTyxNZiIKeAqdwluzf1uCeO2/S+m8kiOq+ lijyEdLPdT+ZEpZGA6MA9BQ1Hpdcn93mvv+/2QJR/CUj9z3URW6HFCqTEDl7hKKJZkBPcZF+5Ozz 0LYmHfN4XZ7K1wlaOJNzqrhzIueub8cbvYUCPQUy97evxiJeCZahNCLViHfbDrIq7J/c/qa0Kdke VGmOB9BTfqWbFf45QVRTuu9lu5ESWFQ3E6vIjMKIz5bFP2I/2ecAPUUYBfpHK+nxOMEnr/kNIlcV 0bOd10bTmCoENd0A4zhSONBTPv4j7jbAdIrnRiswQHHB5B6S+taCxJuM+8TL6QP5bPQN9JRN4tDM LGyLYConk84SmLVUs+oHepE0qGMCZ/iCPVHDatBTVBXXwt5Z97r+KxcyOS/tdcHpmGKHVKORthwn DyMVGMkEPeV+5yXj+7AkSn+ZSNRY2mUERGCftLpQ+ggT1bqEUAP1LegpC/aSDY7OhLZExLi1o3Xk yaJr6iQYqhPrH9914efM+fmAngJnTBRTn7Ziu2Ai9q37+sWYALMh+fXuLWoVipxvV8apA/SUznlI Z0+7eqVnU8YC3k9pxFeLZ8v6RIoeZsu3UR3SCjmgp5iRc+Y+YzRy+K91Usn9hIn4R8xpGN75os2n IfEiexiDA3qK56Uo9fq71y45WOULqMYsGWysNIORyBCex08DA9S+04SgpyjkdxiRj8QM8RkKf+jP JOfbfL8WLcVudPFqVDxpTiUWAnpKLoL5dK/JviOH8txFbse8w/5eldHnK+sNxhRBJOzPDaagpzRW eJn6M+pkcT8UUAZAKTlw/daxpN3UsPjzyLYyW1plA3rKjdWuobIT+mw9ZnpeOb+9t3FVV/dP26Qp FO7vMCw+GWegp0h8GXzgNZmVbWuRHCRcvW/pa4s4xSPxZ7VmsIWNK7b/BnqKKrqRTVsIX7InHxNp gyO02Z10pYgS+sTLDuJg/f/v+DbQU4gqZ2mrtoSLA7wV5re/ff7Monlz02BWEBb6jtUia3j1A+gp VZ44L5/kSmevQ2K81e3/vLDP8H85bnqKJbzjGrP4fh8Deso0PH4MyQK+4CnUVIykWcknGdi4TtYR RP1ogwBYyl+7LKCnaK1dTkfQhcX/0HpnIChLTkE14DbDia6zANFzxNjkjlcNekrGq0aqE+zrzk09 NsK/+3eXuusTtQj0kC3C+lLse04ZWKCnfF1tFBxY9MAWZ5lJSGU//jhu+iWuexyvkW7RyHzlzPcT 6CmJweYMWa3Jo2coUfwuewrzRzmtNMhC+OTSH4zIJnVPtEFPyeoo1HxH654p/W7ZtXdBN1/4vWZS +5t0dviMGJ5oLr4d6CnRUC96KCzGnDjHT2fFzPKWvj6uf7v1FMrTeZ/KMuvO/oCegvRqcaA5N/WC naFFJESm3awlfiZIj7NaSc3R3K5e+H0U9JSjE/4tA/HZ8g4lO6sWD9OcrMH0EpLNtOnt9RGzPSrX K9BTYrVfaSP9Oxzv/2yFEs9n6mkUQ8ar7mKLRcLxmo4840uCnqLTgxBRzzrnZ/4vD+qiS3+jBymu BfNNA26GK5OzWicWGvSUlhexRgc5b8foHnOGCwm4zw2C1FKW3q3+a683E0uwaLegp3BqhrJxkbWh d1HA9AiqXamKoRf9GWBrhh+kjncdfRuwBz3lnqaoO70yCIp+dDABMtqURi9/EKnppfeDYfG7Ck11 vkDQU44KSPuk8Yq1O6Qv4uh6vfm0WeTpbFLI0F7/hsQfS5sUg55SmxVyMKlh8jU9tqbOLCOZ4sjN 1lLRXLkjcagESmiqvBr0lJjrm2dbeqXtm/U8DEKvzYM8M6gS1kHUn5ErX2H45lM+gp6SKA7F6UCW JsHZsTtKu/MB2z3rASJF71PmqZqwkCKOkCroKR/O3+j7hP6RTNedkpolXFcvskTm7Q45Q21qnt62 lTIFgZ6SHOw7RneF+fnpbF955Zi+Wad+48uvUvw8vJPSnAj86h+gpxh1Y0eYiftWFGdQybRaV0ht zPX/IHaozrQajP5BqXhKBXrKJ/NLJnJUikxbp2VeVgK75puNzuHTPqjJY1jHpNrfI9f/2aeQhRJb VlOMhCjF0S4xrTtM7bUTuvcgeKYt0Q16Ylvbgp6CKpHL2XQYo4mb1hJvKC4LYWffKNFk+fXfpE28 HEQoAjPoKXTmTFrv7KZvZzFgaJbRnGVM9oWv4duzJf/UD8XdcuVSgp4Ctf7mzCxBOva1u6KY/CoW SZ6JixClzuosuF6kVeIbFSXoKVq5GikoTLHNtAyZi6EP0rTQ1Q8LgVNmRcnPpk3vUmPZQE/5hfOR RabDx9eHn6wcW9kzxbj0eMNHNskPn4L36F8L3S3oKQUGwSOGxv5SPNrpwmkHz9PPuNgmJWnX5n/p ZReopppeQE+Jf5YxhA3/GwOph8/iYo/3jHfNF0LLe6Lc9YL+OUFliR30FBXLGBZrclGZ64i9kuDW uwB+91o+kxJnl4FERtHMzPFb0FPK1EgnrcyLefYI09rcNHcionO7PATboz6JBcw4/Z7/tgp6ihos qaDbLBznYgtUPnV85tzweVNDc5k0Kk5Ep2wUxCQ76ClPMk7LW6i/GS9CDrIsIDS+HKHn/dmsXeCT Eu//kpJgPQ16SrsCm00XjIEP9jEFconqwnt2RDkfkigy1QeRW9oBRoVI0FP+LiLnhlYTL2lM7/6g qIMsYkrJO3P7avzlc819x76v6hXoKUumHAa9bPJ/Wwlx4dmguXSSu4kj8mx+cWNi5H2whslCBT3F vxi/KT3Ck8B+OnlkTNCwTXuOjtRFSaddC0d+ck3WKwb0FKyfUB64GlD73LhESFxouO+0RhO6xJk1 YK5R9mKKEeceQU+RmTYp+Jl+gczOUvf35MNcQXWuAI1VnEI5pBc5hFfbu03QU6h1qOpn3L87ZyKS CrDHCOWMZi3hXOD2EJt7Ux7P+UzMgZ6yLI5SY8oSH9NqMvY1lb9RcXY0pz2vxwEN3lG4Yr3jfT7o Keopfi6MuF3HCXxmn95fkRxa0fpOuSemZV+lwdeeNNeOgZ6CvaRiARFgikLf4UvWG7Jv1VflnNmL yhxASOrxqDabUgB6ypzmd7xwAavptsTPvnKYx7LMkt8nPzf4fnknoTfG+xgsBnpKwJzv+9x7tp5T mpV5bfFfGgaZVPzEY8Y/hCzb6ajU5U1BT3nfnsNHN8NaY9U3fa+GuK1L0yg/7+v4MfKKnLetx0l5 BPSU7B5hqN7FFwQXWoLkcViVCS9VWnIHwYe+MwuWR6boj1agpzjVDPtSZhniduXm18p9G1FCcfaO pvMlW1MYrupWZOmqAT2lQA7x2p4/LPwxmOd9aV0ni/A1O4cxxEetsFNGRCNkqFLQU35ah55mYvhU Mzu7tGYPI7FHV7r8dYDq77ypgOrCqx9ZAD3lX7vX4Ebbl36PEf17CMJYtA/1lL0fNZdnjDjVT66V 9xlBT/EgozRa34tHLDOtzI6CMGPvL57RJi8OVoJMJPAy/YX/AHoKn/lTwBB06Cqzxr8M8e1ZiFQo ER6S/qs3CP2/gnYpAxCgp4wO/7PfmbbPbaz/2DGt49o7+szEqera5cnGyxMRhm2uC3qKdMZLmagp Rif314Zhq9NWetsiuVfDQxdWeFeRgcVHlSDQU+CN4mV1481n1yLJ1JKJxWQTw856co4dZuhlpn1x Rar5QU/RYHPz4rJ1ffbZ6VqHmZGv1EiYGhIO22xaLl54bB/8kPuffQreze8+ps5SEnPBLGVIdhwd s1zndxSMzz4dzx9tOYQTQE/ZXrecyT5bgvGcUd9yEIfawA9IjVGIKBc1h8AnEuV/GwQ95Xt8l8MT Mgmlyxq8QNaY8nu4Yg8iEYuJe4Lnzr4q6XcKoKdAuZHpvV1g7fCHfi/4doqkt8WiGjJebpJ79ekv 5v364B7oKfaShpWazc+7JicVjYrvJXWjdIyPZZjpRSR/E2JuoXomgJ5yZejI6Wy/19EeUi3jhZXS ffizNIyYKuuBgefpIZ6lIAb0lEGeijILGmYfvnix3XQLNw76dl73YNdzT+tgN0nh35MGoKfY2iC8 BYS1YG/YoVt80R9R5BYOREa0k2YetrlsHtuRMgQ9heVGamcHnjEer/ZnBdtGHR3TD/VtXZ8d+k+x z81Wi+uioKf8sn7RfeebvE0fLsQQ24nrSxBhItq6dc8HQTVxMlQl2wR6yv/IuuevMNg+juNhadm2 bS57tVq2sWwv2661sGzb5nItL9vGtvg8P1/3P3Gdc53X+X7e/T4zrZXz2jPb6dsibbLKmBmlK+6e MSGs09iVevlikWegp3RRhrU8rujzFfcwLIhwr3fC2LhW1jykS/T8MMlVaqnh/c99Ctu0t079F8Fd opyGAp/VH2vR2dXTUANI8fINaMZaOWOgp0yny2wQ2kUXnh3nOnIpy+yv9l7KT1OvIR2ghvdN3c9S gp4SLlm8aXYbvu5PGv1P/U+45RT/uaqLv5t1DJ3YZASX3yHoKX9vTvSk7S5qrZolTBG/rBQcD/jW lhBpXEZapMU4+BAOg54iTd9xZ2VIJ/IHyfgu2jBnP9PQimp+lNXm2NwOj2jofAH0lDC+vlX7KSib cGWhqi7o0aBA0bIFE23d2uU35tkRwmlG0FNuJ6AFTpJGqL26ePGdEzrDkv6QTWJvlztnJSH8tNc4 tgI9xVsDxyy3uP1xYtOkbjbKpd/005dFrxkljvYW3+UqPOsO0FPWwq64XBqZFLkwttZEj7tzApZC kNv1uVRLQyVvFG9qJ0BPUQpgiAvM/fCBUY65gkURgpCTL15oVwbimnj/qbZLegQX9JTPvv/IJ2EM c0VIOEkCaRtVzgN66pPv+S14nRhU/RGk4EFPsXSJ+y4ZELS3Nz6+n3K8whxtBN/3V7Xn3VP2rW3Y Uac76Cn0fhya0m+eYX4OJVDElTLFf42q+i5y0hyKfvP9tj5eRgA9ZQwdVnAuLI6boSUqVMKCA9/J l0h9ijpCxwmFyBOroiIU9BQHsvVEK5MGximnKxG29HrGihDhxPVjt787IS9sVZUYxKCntHXoiqWr l4qvj82Y8isaRv/FyeqwmSD2s4CiT4z0xLf+Tz+FpZCYjDslqei1vh5dhu3Iwi4dJjxh+TbA+4O6 /aloNugpenaGvWWHq791/AgHjU7V3uvmeief6iAYEFi8ViSrtTWAnnLee6Z8wQfFJgzhmOPpZBW/ /x1eAMWCZZQ80EHzcyX/EugpmlQ+FbafTFIKPAwI1PbmI6JOJSrvJgcVv7e9YKdYEfynnyJtho7H HNjMlqoSHjHft5ZjSyuzqHSYtp0UF/sOfqdfDPQU33qKj4s6VhUNdB0CVEfdSlG2Vjof87hv7aq8 mvV7bVRBT7H4WQEv65GkJ0SKPfpcOloAafB3m+N7rgM2q7kA004PJugphftbFE+tFjo0GSghDj9F Ghtm5dLuhI2Wz5wsln/O/sUCPYWCTIiWgsCNfrPnH5G5nbjTe79cdnpM5o0vRarcr2RIfqCnKHzK Y8ZcOk4YYZPaT0lebQ4kfWaEZ/aeoHwOONCkVpkGPWUXIYUx7kuiE+UfDprnm2LhpY21LWY/Pugb Qp95+KZYFdBTcrPXB5F8RpEIiuqilwgGo5ZarlO88tisBFSz34Tvr9xATxkheAoPuakIuaNthJgy 1RyIQCrxTfubOBBbnKBSG4fdD3oK9lBeM77dvdj8G6HJcGzMU6Nzlv5yWfDsc89MnDihNj3oKVyd qxh4xSJj7K9UZqg7Q2IyYhRQLzbvIxn4euUpmjigQE95SjYO23/8GKWvbX/7ecLWuTSH9dg6+JOv 0d/kfyHGulugpzjXFlSYZ9+8y7RXu/kcKzhdyRSzGQP19clmy4BvAllgAPSUcHdSmoIaXHo5l5XW ChoU54AI/1V8E1mbZXN2M8M8RTbQU2SgMU9KFYqoPrnvS0i1B2K4UTHqEXA6kXV15FSKY7fpgJ7y R1DsSxPLwfANLQ3B2eqdGoeSnJr6LpJLkxI25U6NDQToKUW+b7cP1z88CvvOvz1+uaaX9VN6Q745 Urv54y1TTxl/A3qKvlhZfss1DMwVmrN1kXW3WiV5EJ7X/RBvyaJxZ7C7+wfQU/rRcrzRhngKeq0T klZiYgLfyx+KcEbVeKWWKMpejU6gg55yTTfqw0RQUPJ5gumEWiM3Qyq9UmTMGbuqkrMAMydEhwP0 FI9SIlyV+ZgW1JqzwVMNpvXzaMStOcGF5UqnvdcpHUcR0FOK2Z33PnFp0fzxmNtNKVrQISMRRItc Ncoqq/i49a5xwhD0FLM75Ycy8QDWSjtqpNjnF+THbB9dg37Yy3JBuyktir0M0FOQdL8x6offyLQ/ 2Wi+ju1jvT+KE87ZgnGiNJFVmi642wU9BU4zdjbveIFBcWm8dUk0gd5fwcIiGjbtchVzhOIq+zXv P/cpWgqOVSqtWV0dDMYDRD7IqHObPqjzNCwN50qWxc0Ka6CnxOWwK15cXf3QizxH7hqtYgpfcV4y 76WWjhidO1VxwyUGPeW7Ni0uz7tnRE36v1B+dQJhHehdGBFycqxHv8e0yzzLTUBP8YAOOWaSKcOa /NRru7ztS79tt2RWU8sFY4VdLqO5ygcNesqn95Tvfzm0lUN88E1uj337GN46v9uO8Zrc6lQUQiag rAR6yhyhKGdlWSJO2z5BI1HU789DNOH1ajys8OLLjkNwUgYpoKdsrlrhSKYLJsyHDcBJ4f02Z8Da 3PhOHiZkLozYPZhYrA56Ct9KC6M9BzWzEnxVVtTJ5RlOruVsxaPaKK+Ic927Eq4z0FN8T1GVF4fO f//w+Cf/TWr6Ovl3Quxe+IgR9KkWlI+sthHoKal0wpUVErIGekwFWlEaEgSowk2KlM8EKugWtQ3M IWzfQE9hOnKJhVKhR07jesMgPHHwrPyu9IrRNhKcm05pGurUxwp6ShjLd1d1un4J/IwaQWd1Cafl 8UGB+HeiG2r8KHvdzQuToKesHebCu3wwNlSsLSL5TBOmwAozskUXIGKyWFoIDTlp+BX0lBzxj+qv OcX0H+N/5bUuO/fJH5uXfKFExW7yOOF3/jquCnrKvlz95n1KqUx28f1W+AGfYUmlW/9T28ysy+kk gxanUznoKaORtzUnBG+nAwp/EG7iqrJksFEfoXojvl9nNS+5nVgrgJ5yiqrnlqA8oBiU3Ztpd6Kp UPi1VIwlXvKcHjVR+/R5TQP0FLt07kt7gSZW+xKZVASW+CnKeo3QOJ0iB5wfj7hV8FpHoKckR6YN tSrbJjJdPFJgyo7DoBmfz3zaCzgrnPMPFqTT3wY9xeSc9BuCWpRI+azWT1OiDwmBU9onfjyYodKI 24sE0edzoKcEuKSj+0OgHhJtU33A47TFhTvath9qXiFR73TlUGPezAU95X7moo6Hwgfr3qVD/i9y RMPL2kS7tqYBJ85j/DZieHQm6CmMxedthMUKD4RRiHAc+PJt9SObXKaFQb+vh3qSeVE2ckFPIbbf J2pEsEqtokGwNiQ5/oRzqIWJVkb1ZrQT0NhHwgoDekqx+XO3lCVhW/ffNxMd3SJjSmFzKA4ejMc/ FxnZA7P+kKCnHFDkZw/5U+X9ijhtxLLYN731E00ZNnKXCffCO0EhER8GPeW2tLIX/XT/U6Iljw9F +5d09E4zD+KFfI71wVDbHAILd9BTzp/eI6hL3P6yY4m/9EOq57qxtuXBXHWSmV5PUAhk+WkNesru /ReJ6eHNIYz5de6DK0/cGk+239VbeEIBxFPhhFTOEKCnkBpFrPO/TVag/zsfDoWW5YsL67ogRAk1 4b17zkHsx9UEPUXP66PNa5lKBQOR5+7gYwCNh2XXXrohyhes5OdpVcoHJdBTpCMqSMPcRG0Y/iB3 7EAEv4pgicsIrR0IovLAxhhaVNGDnjK9pPQYXNzica5kaMFATHRry7mJnKcS/JjmknKvzVtICnqK 5ILwcH16gj+5H4Kvj1q23dXUok8yMkldMKzAaMXB4g/QU75bLBvV13z1j737GyN93/qmL0pJl476 0Pk7kWfEEOsnK+gpFAXwFpiF49eBYalUaskSFJycIij1X39xB0tZCfeMKImCnuI+3sr7oQr13f2m yP9/kYcIcmlDhsX173QofnMjyL8JyoOeghoxN1LnmJ2KpGXU6JNAMvSJjzCpn6usdceKPyf9dFwT 9BT0QAG2qsHKEnKtdFfOXZmYQne/5IWg44VKDLYqHpXBP6CnoEmYq3cN3yh1UJHjwhGQbYmckSm8 Q5aS6GhHHvk1NsAGegoU5q60Kp89O0GSceZgNp338tvniy4c3SDp6zqHwMAZQtBTshdDjk3syHDF uff73heXpXdvGCrdrkFlEyj335JX2rmBnnL3JrlKSnOOyPB+Bv+h0hxh4kYK40ohXfKQUGMyhDuS G/QUdu2YZNqqFHvhwG/V5jl6spxSfwWWQ7RJKaRtZk9CWe1BT3FSFUm7QewaR209QTNsSO+uko8c pISWZlfper7pRMpzBz3l3jyOGT0G4ZcHS4hCaXMKrJ1z+8PBuqVWS191aPL6cTroKSY7BkmTr3Fx sJwmspJq92j2o8ZbEa2/8qwaLrTgnB1WQE9Bb3HVz+n+GG1X4Yww0+KdX37a9RXny7Vkh1fY/R3u URboKevaJJiXkoL4BYEk9c9GmMUnTIaD8hPdK4LqKdzcxWrSoKe0CeBNPW1gpyaH1tH8yFQx2ZpX wGmR6nk8X4sMYdA17AE9xW8/WE5krZQdSf/kUEO+y896JojIV3ufoc9P86aV2d0Z9BTxsTLaoOwj GBftsIqbxwobxA7oyndaJS463Jybgz5kTKCnqDjb5qEa7b2m3Rcm6ohM93qPnHw2SLzCcmeYyJOy 1S0APaUQpoAy6u8kPtpXxjjocShIeYJPDzMejKxEBmY4M/RiFKCneMfFUl/5dROtYyKPz4Y3JM0h 7XkTNpoqFnYZGq96G0mAnlIi5ZEmoTmSh29cNSg/QOz3KqrYJKLCkqzrK/s7MAF1G/SU+LKAnzV0 Q7B/tskoEfajLm1U3BJVJMNJvjnl1n8qpOMDPeUIf9e2q5ebWIs+GjawnbdMelAAIdCEdYZ6NCiM 1+nPEegpXJmlu09XT5Nuomu07yDxnh38TFrkubo7NOkZRf/p+dWAnqKQOErvMWbknkcyjDW0fFNm vFC/EKwfGGKUxbtrTYp6AnpKmGXRExwHI2SS5f7Lo66X5bo2clnn/Nru7HZON6yIYT/oKVRS9YN/ VuOPQgSg1OIRMtMvkhbo0bOWj7zsM6HdiXTpQU9pMMAMmoQyJTzhQbXd/J7B9eOHqKw9D66watCX M90TJxHQU2Ta3MucIO0+qnLljcfWdHNDLORLSrMxo8YrYQmbC1D3g54S3B9Z0RtYgQyzRDdUjDl3 8FK6SZ269LQH3R1P7mY5JAB6Co1PRhQFbTznkPfBfZ/EwWuaUUdwDyUVeQAiw8kN2sUr6CkCt0pN +HJVab+sRws2if0z2Jhrd9RsGzcbIlbWbDKmhEFPwfr3FR2zPONBUU/kU5YPY/u7yqqiLo+HfvRv +6NqFOkkoKeoGyFFEhL0+YWaDBvxX5RvnmB8dtZjnIlqGLcVRIN4pAc9xZTPykE9AGv88L61auQs pEcMajZy6OOO1nub7gepAPNy0FN8CHPV0S5MQkX+xMM7EIgg6AiSqXwlk342FcIgSvhpJgt6yi0B ykPWfovAmk9uSujb1RGTsrVWiwXcaX+X6hZe8J4B6Cm7+3Xf7o8oDLoZixFxCFSd9538N34KtaNw 2rU684SPiIKeopXe/LTvu/T+4gqLsw7L9j5WwPEA7lfTqEs0Xf9vy+Zs0FMQ67X4ldXwMg+NDMXR kE1ESwWeIvpR/W415LI8H85fHEBPEdRRT5uNZiJ+xDFutkv26rYwCHJzp113NTfcUu1PfeP6z32K LqMyDkN9XMFX/72rqBM49qqstJ2hnrmzn5+6zF8jD0FPifXqkyhBUfOxzFX8Z8hzGUDrhIEn6IGw WtwGE57+MzsX9JSILLVBjR7qm/fExnDfBbK/rFKaRO61OWfPMwnEyiYUG4OeovhQdJ2FOvKnNySb WjXrkT0g6Cprcp59mYW/GC7Exukz6CktlLfDARZrRzL/xPi085N0u9IyKBGeGZ4nX8f4LkcRy0BP aY+AJlT94HF+nc6FsCIO6VD1EP6rjU0Mc8OPO/GTtH4I6Cml3w/kd0NTenBuRjLKiLBqLFQjsa1+ Q8383hZJMnYqHAU9pf2wVPQY4eP6HCHMnE+FqO3SIk1Z5rioO+fz8TlqFywH6CmBQTk6qDrcwrBU WHtEITOxYgMReXV8JdY+Y78Ktrgq+EFPmf4G39cK29hgvhNrvzNJj9sSMmrfp/PkZ0LfDhntNqYM esonQ4/vr8IU2/KD/yqwy+jFqRGo6SygKr0ZS+FPl4TzKUBP4brt46w7Kps13mvV1bf9d/cj1rZB 1wSVEaup2l88jeIF9BTrHxb3UyNcoYPl7YWLlV1Cn5RcXgZ2N7raN9SwoVeWoUFPIVXsgNMPnZW2 jatuk/nKNvHBYECB50nsVGY4emMu5EMC6CnMrbt5LpYDStbOrjZQ4aHwl3UmAuHGFLDtkdTiDVeb WaCn8KzOYvqS1Twi3PAM8IWs5mv0G60jZ4zx/Flb8ErVOeUAPeVvvCPpYnDxONHVm/JF8kIPQqSy qgVn2arFuJ0UR6bwJ9BTQuYR6pHVfq7zDxMa6tVxjCNnuA2QbS2k4WFi/8JTzZUAPWVIVNHy0Gy8 gGGmiA+p8DrsAwpTvjv8bx6pczHrJthv16Cn/KAsD/zqwfZT9lZjHWvkEDncfnpNw8CJwEVV9rc+ LhMu6Ck+uCFFDKJl58iqIzbV00gtMknutz77x2khd8YN0N1q+6CnuM+3QGx+VsB3PPoVAWearmy0 8wVuisjXVcGZMHQ/NE0E9BRqvNZyZlehAuqQkKs/B5+OGBVm7Bp8+HDlMD/WbZJ5+IKesljzaxmO V+nxfJWSW+XzYK2PFF4Q8s8YWVp3giQ72QJ70FPkEneezVohmjlMdvQDDH+JHm4V08z0dW9XaVsx PGptz4CecgHHU0jGg2pvVs0avIioBEtEYo+JM+KYsq1k6X/XkukDegoNuTHemz+fb7QP3+Y626DU Vqc6v9FSHdVh5cTgV4t1ONBT/LmSed+rp3bInol9uJjC286jKMvtLjj7YtDxoY4HJ4UY9JSnlQtx g5xkF9Z8roo8ko1HXFQPBE+LcdLRmAltsz9L9qCnWH40aYp4nTfU4h2lqU2Zh9UUa/8ta+VekcXK 3pPCLwoNesrm7Z7O4oFvv27Gnkp1YOVxs1QmplXH4A6Tzmhw6qF3GOgpeyvDqKSfjWi0iQKtBmMV 5P04HLY9Wmg/bKbLuvLnCuuCntKc7snbKf38QBRN3+125z/n0On0SJna5ftUJzosiFVSAHrK5mdd 0qfdiA5tmiDxvfMvZgN6AwMh+O3brSuPorM0rHSgpxxCcVoFK3+n/+jhX6pLGH07+4ZYXSkRsGs0 JYZjLEF9CXoK6wxlPWuneQzP1dLu6RYqnOBMp8jHwko3te02Mt7ocRbQU+p4kR8QBt7SSOi+BWJ5 CioWNFl1WO9mw8ossLBLGQ5LgJ6iIBWyO15KFnsU8OADsecx5raOkyPXb1JGly0jKypdMAN6yo00 Cwl7pW/Fe46y2KyaAySL8QmGCsHZFPmyrN5KDBlR0FPesU/5iiRL9tiZb86TFj11aj/n3nHNUar2 HkBv0fFMWYGessghHsJAt/PmWcWpciynGCLk+7ivfK2cVrPMl6SmoIgAesr7leDqdAln0pzr2CMG 8qdYaEaCz2oB7xVM1GM8m+5uCUFPsaJHPNgKKOBoUdb5SEDORxP7A6n2d+CYFbPawp3Ln6sI0FP8 J+D/NC73eDKpmyqTDQbm4WxtpCHxI1i190ZrjkmEO4OeIi3hi8wsHBbXeoStE1nWRMcXvomznH2O K3bn5bg0YnUKesrDQI1YJGy3dFPB2PeQuhvryt2eJa9uUpWvnBiSdiWTHaCn4JfpKbgQDhlAWlwe Z4dXUBtWrH2EZky9vIpNMmjO+7YHeorJjTxZW/zAEWVL65nIGYKRvrnamkPt8/pz26cskrpv9qCn 7Hr3bl1zYvwOidUYQ3dhIJLP/CKELX/CT7KlakmPRlQDegoOUgFa+S8npfYp0iDZRqMvjP2KUHDZ GxGZMr6ySXk6Z6CnxArZ8jD/uKWBmBBZYP92+PnMKlm8WoLKXfPTzRdX+Ada0FMeqo2uimHONi43 t/86abafHkCT6+zOrben9a1mnWmVwoCeUmJDZo6+LYThU/uAHJHFnBq2xfijLZjMjlmjoi+dkU4Z 9JSaDG6L7x9Oc+toBboeEtpuPVf8GjpdLxNs5oRmm312t0FPoclf8O+Zf0B/uHBdxZOGraOANZoU H9Frgqtyxt2QPVwCPUXnQUMaJmRip4LJXPA5o+DuZIV/FAlOjPGUoOvrS6X2GegpxMP//86tXaJ0 8YjcruO3J8Cz1+mzv7RVaOErQfMkniGCnpKNbBE6h4A/Q8KG7vDbsexqBLNPWXnP60eYaPOQXwGN COgp5oQSH9ZsiP9ZVkbjxCPepjC4yOQ/JobP15Hv0GIbmluAnvJmVwTluDLNKI/HKU+QQk9+uXPk xhCbayidRJn7Mxu1G/SUI/tra+NPOSXYnZQ5A3sQdJ8568/XymSgSLhUppojVFdBT/k1EDmMt+28 sLh9jN3Zzg1t+7feRMRV6q94eWohrF8fCegpFRj6KU8JSiLc/pesmHMG2j/XGJ3MWjldkT/uail8 7FH5z95X6GRygyDfbU0lav4wUV6HciIPHt39zXsBDg7lFElPN9BTattDKZxvr1KZxnoL52e4Njew ehF21HXVPZJVEUUmqNpAT0kM0lUujpFUa9WnDUgUfjVr4Rq2bTw7He7GxMh4DfxFBnrKSQ70Hyyh C1zzOFQkDQ1xqb7kIcm4gka5nxNadET5z4Kgp0Q9bf74BBXT/V3wozaUstiWKiSbzK9Vx/rDH0Qy Ej4c6aCnRNOuxNdbt6DYkVtKtYcbFlF7qPgh4HkVRv8sFywor6wCPWWFMuMY5SvWXVu5G2Tg2WaM xZex8WYHuRBoL2gRN/++bdBTLkUIJxnXtKwjfNHMeZViGepHIz2076Xk+jyH9YgwmW9BT5myfNWu 1/6JbwA5ZNJtpmPSvzAXeyBUp0L0I/hpG45iC/QUC7Y8iwwWQ1IJMf2M6cfVFa2enHCHN+f4CesR bG3fk0zQU9AuM11mGavvm0dLpN7Vx6C9nI2tYFMfPBX8cGxP+P3nD+gpHL+rrUe+2aET4aIYV/8I zY5NM8pMiYO2c0bQVigwYYYAPWWKCydt8YzLdHunqYxleolkgFSyBGsALhjJ5bbJ47c+JegpK/6o AV4Z4x3tTO//hgvmjd6q8Js7bPK+yZti6v5oOl8DPWV+bCt+n+Ud3lND2HVYSRC5SL0bEZy3WImG o/l0tP2eI+gpXtRvaPIwDjjP3Cy7GlcL2QOn5eQtQY8zzkgda+qf5vNAT8Hf71b+hbHKOlt8P2st oniEU6XCyVTkfgQrX4k55T3SDnrK87Yuws1fo6LUFt6ZvKQaM5pSUqmwNfN7LlMOYsVftTagpyh0 l5bdKj+JiyK7BeLGBavyr++4f3Aynh5ZYkK4HutZBD2F0XvqTywakiW21VnZEfpfUflm40JJ87uJ GGKdS3p1HUXQU3iGMNfEnYUZP1W5/jNZ3cuSJzWDFyftbq1uov+XuJf2AfQUmT7m+4h+oiIGknEt eWuYCmrE3FcCAycth+dfXB7sLKygp3yZaEu7osP6mlBhKO+zlf79iFOKUOXE+ze+XVSGvYdTMegp hn50SwZU3iuvE9biS7gefAnBv6cZe+VlLxg2L00ERqxATyGIUatdCGJoRNsalgxTE6Q6pVz5HsHq wyhtVD2V+Y9eFPSUkkLq4AePD9CbZMXRYYyMfw1uNLvHYDwD4MdnBORXmi5AT3mUZjahVlaqdg7C YJ0+0PxH59XRSotMVnSGuwoPG8BnCHrKd5G+ELELalFOvj6FW2zal5B/P+e/rX4JxznluNh71/AB 9BTHyK+icLMnL1hF23wliLfIk739bNgXg8tKbaFO6N5ySKCnkDvQpHbUiBGbcVYkYHeVoi3slsa0 0D5Lq1qG/P9FgLAHPYUjsng8ur/ten6x8c44x7Vcc5M0mbqKqqSPxipm+BziO+gp66I5CYuRH1qC cB67Rx/GGfrHVyoU/q3gzOi//I58gA4GPYWtA2pc6CQnpc/wtCtu+0KzKk0a64MDaS727Ou2t28i N+gpUylsConCf2gQFbOyebE/G2ckb2VpGeynixwUlQXrnSuAnmJA70p+/nPuN9vEOxORbYyvGXYf To8buQdPxdBmaV6+7oGeEvgQ9odO9gPLI5yzf2034SYUYf36nDPmBGU/Cg187Hg36CkTXmFCVE3m lSQS6GxIC7dxDj4bz0r+qLswBy4qk0Z5r6CnWCWN4Qx9M370RCAtpIfZO5CG5PGKNugZ810zGhv+ xWMGekoIB5lxGUl17G3jYlmoiiyRamUGR2QJtaLp9uoliuKvadBTSnn7nH68kbAuGh4l7D1DlNg7 h4/PFGL5WIZe0H0bMIgAPYXovYWrD18xb9qzo58wPSnfiJBzPlNqU9HX1ZOLNS/1/+x9eS2cVWTr te4l/PrcDEX3TyG8lpLjAqnyvQtnwxMqvY4c6CkaD60iBRDCqUN6X43kZEcs230nyRaIg9TeeWZi BeRfEoKesnFpLfu5rUTTDhuKszIJAQuSHKbZqVaYwcRT7J4X2/0f6ClC9Ir3fmQofdOtwy5zMtrf qj5ONIsYE97wy1HfkbjpvIKeEpIYweu1tzzlSR2CpNw809iiTDuKKY4UNlaF+YDZ5rAJeor7V0P8 BtkGqpADCV6sw/W5fW91zrWAFViff+lCUw4/DUFPuYL2oVK9m17QRCXsykvGnv/OYQafv9xkI0Qd KVBi58YGeoobLb6RuJqbCe+uc5qXPvvO4NfS1+AfbrzCLea86/4/lUBPSU/AgPfx/RHzwebz9gAq 7XSe7V8CjqHhd63xqt13i+jmoKdc02ZgJ/sY/ib21Xg0hC2vjXqYtUkjg1xzyiGOei49QgQ9JdFb 728mQ6kKGUEhkZimLI/1WzzTVt/0wsFWT2BLuJcf6CnhLl7LcuIrGQt+HGL+PAakqRtS9DVqrfUL qffvMiQT/+MpLcFxnoMoNJNkz+IX3fmZtt3O3p5458ELckmwE2JZFTWgp3zhHpFGu7MQN1hzQ84n 6c3d4RntO5Mz4qZwQzDCQEojAT3Fe2JK4ZOxPONzo79rGbF7H2XXukL47JN2i62ahLmvYgfoKfKr VGdRnz9wfMuA58Fpr39y0TtDQMUaDoTbTYalVzlMBj1Flei0yQAWdTWOficz/KdEQoxEYRO6Izwq gs9VVjoMHQnoKbvjx4jXWR/9/nBvEu/4lSU6a+wLXnZK7l9Q+8cRBelng56y34h9vjAU0nCuzjZ+ RReSffe+sY/U8qKQPImdIIPdawz0lOEas6RPkNGIO5aJBlwS+2VaqQTvlvG22YpPQr9ZlH0+Aj1l nb9x32Yb+TJV+JvepPOI9zdYBc1bQ9kL3wg6jYSN5hrQU9YybJ3hykzDMVBx+bj8tFFMwr8KEnqb dB/LQG5mccNogp4CS9FYEkmULnaDQ55iyb7ETcVr9Vb79F3OYfyC9EPleRfoKWkER1aSZs3Pzl/g HigdRCMniXVSklIM9hB4u0aTnWL+00+hRiPzMO0yf5Rhqd1UhTDB5t0kWnsRiImTonrolThm+E8/ hV9+nry4+3hIcT+fNnZagl1qa/wd2lE9orWF3q/drpom0FPyxrvC297zClvQNWdEUfpNOTZKa0jz oE4WE1TEbUs5QICeojdKFXAy6Ur8IfjbHV97SdlJUbvM6XxwhLRfxFOqEDEE6CnHKOQQcojZJKoy fNo0OrhaeyEhjYtGolTokHLvcl85TkFPKUyhFB3iq2h58svwub0acvXTgkugIIRokU03La1ymr4A PSXv1bo+YD49qSqIYE2TcZ/+WHRP7sttsbfQvOQxgvWSLugp0V6smK37r4UxRxpu6BZuK3xhmQy8 rH+gSo3Qxb+6F9aBnkKFNij5hpLtvEd6lRst+vxY2qz2Nij3a3spt7fFGsJaHvSUJNe5ZO2mpuqv SfRituwMLeT6lAtDzALdgU4eph2UGY2gp/zRx1GH0NrPxRiXeUWkia/Dg5OcryVcdAwPYRJZ97x0 Az3lR4zO6q0iFcVf/eHELHY2fjYHM/Et3ToE5DDmjc1CHXHQU5zLaunfB5wlXu2xYBINL+qU8Uih wnyuyGmQRzk/ChCmAT2lFn6vXGR/pIwWNgAVmdhyJPrdQjuF5kykak/P0AzKPjfoKQJIrL6uNvZk DB7QusGiRSKxpI0qZaTe7J3WLxYxKPCfQU/5kB/W9VMpnrDdqC+saB7/u/KS+EJCEdVDCZRff96o DRroKd6vzuUtL23t2GOtSfWcZe4aTw9EQd+ooz7XG0dcI3ktgJ5ilJJ8XQOh+DbjHOYkzvVJwaci 1trsLacsLmposWrSRw/0lANXFLdgzfykaZXJRT4Mr62UhAi/IQIWDxKersDCZatJ0FOq3NKHuaCs J1IEsxx282dsfDf5JHpUdkkPhmA9nsb9MkBP0dQzrsKJ6qSko7cI7j/VxR6ls44udaxeFlP4WnDZ NSgBeooI7va3ywm2RXZhQYFdOdXOt28jvhJy94HQy+wWZXOcMKCnPDJe8FjP7GXS6VPG3Qeyf+9c ytTMv6ywrw0ob/BLrBEDPUVRTmDHsQqBTefO0QZnYN36jLeG5aHZ5Yf1QHs6qgWDFugpJ2wj9Geq ZiFJnRvreSRYljJ/fso3bh5Svu7z2ucPLsyBniLJ2V79MWPjOFGfJS0f7rMxHb9sgnc9Vs0Y5VWr fJdDEugpj2pIdyYFPTH7RqRQdt2dlW7vyJFVZYPKAvSb/D2ZflWCnvKDhtrs3K0Qis4WWSo09IV1 Gbrme4VjkqaZTVOQn+GXj6CnOGZ8J2nhCFLySu2MMMccHqPSIVorLlHjSNZCXtXzWPsOegqqMYE6 ufg78380FxTHVkYeo2b+kV0/QqEqnotLJyJUjUFPMcUs0/COSX9MjPq9fQYbw5UOofdyiFlbKeSL XmMImbAPesqSI+fCQIOByjR5xiXHlMYamiyafcSZ9otqQWqznJsyFOgp/4ST1dwIDzMetjrUX9f1 MB1d6Qti6VW1swMOk3Q6zExAT1kfPGWDk5FLy+Mc4e60PtIZbvVHCMa7IQ01q9DXPx2/Aj3lROyW 6Yu5uy0Ca4ti3b02X0YnpZh7NA722sAEF2kBVQToKe8XhJb4zWTJ8SbJxksoeIMwVnE10afjHnAE k3UMw0lzQU9hZKP9PKn0zJcQWulSTBNAGPiZ+SEJQtjmLi/IPkUCU/Y/e1+P9euch9a8LQymmRLu O+Jv4rU2v1YXFJjcB4iCxjd1QE8R5d5xu3ZFW9F/bY2T2KNxTokajM120DRwsP0ZtVm41wx6isf8 tZ5Cwm6evTDDx9a7P8zkmSMr7ZJDjdb9G79sBopuQE8xXfkuH7RcHg5xxpoSkVdBOgmpwRlhPH1C 1KCCGmc4jgh6yq9+n8ahatmBf42osNI+CpV5+avdEkNdXW7P2sdeeRNMoKcQDTElxjeQN0qJR8y+ IFVyLVr7LXY8tKZtXkxS6up6dIOegmp4zZDxMGHvRewo8xAxO6D5Y0QD54C1icFpepe8xksM9BRY OuN4dXr9VUOU9eaTyc3094MiE8S6uu50/ZUJY2yLxaCntGPnNbl8Zsg3YFDEb1Qo8OzQpFzyw3yi tkp/zgkiOhsAPUWnDW4kEkKfcn49wCbvYLMfMlZCZ4QLC4MSWyeUJVSzCfQUw85hJf1AHXQnuW85 GTUhSCnxHKF1lYRqiWkPUdGiIzugp9An6A6WG7AFBAY3dTsoWk1/1sDKKfQ/EZqy5XrDI5i6Aj3F XRpO0nkxmUkr5pv4xfHU197JcZnax4+WuGFkIl/KNrBBTwlicvkyjUX2OqFZY3aw20sIHcfYWdKL qjehbWz26erpL+gprfO8gyVHUeNcD8Y/ey/UKvi/iOz/gHB0DExKd9p5Ov1PP0VaiRBv3Evin8p9 ObQBlwHBKjIxuio0JXemrT2i6WRwJ+gpUakjr8oxlGlEpb48VXCjgZgl4Zk0R2tva7trAjizWl6g p3yjLn8p8ykhfu9GGk/zLco/LkkgO/mbCPkP4+hTuIcuK9BTqAVkJD7gCDfIjW1TrZ2vFnReV6Wr upw74o4cxwv1dXCBnqLki6woFUzrx2Odn6dNIQm3Qk8V5RCZsEkfa5eLVG2iDnoK5H2iTdLDfPEC ITSjaHS0cOCwocxrdHpUB73RUNHJZwHQUz4ihBAg6mUS9hrmnm4ehPCt5F9Y73IEo/VwUdIWs+iL gZ6yPsR3zHzohuUrRLyZT93+7mO20YEBem6uaRzSmkFhYg/oKVXPhzbU1czK/Yaih7g8FoN1DFCZ Efji2RODlHxOJNEloKekVCIkoslnFsrZYY4ypNleoj8Pdu/n2kBNVGkaOAkPvv2nn0LiqDjXOtxu 8WBNoXT7j6vrjlMdpsunvTu8zU2qqicN9BTdIg1qhYnKn94nokslfQWDkizLvJ4TbqFQZ6+SZZ4o rKCn+JAFa5Uf/2tV85h1dAq2cots8A3gZhiLTdQ5SnmY6RoDPcV4Namv5MP35xydOSH4woDU4+YY NrdH7keW/AL8mJ+z8KCnLKhKumYFW2cn9+AEh7+HW/1x1kGrnIheEC7KlWComgQDekrx5Jig5RrP TgM8+wpfSacg+pcYYbWD5hu5XtZU0kG7MtBTNidcoFKvZT7WnP91uY65V04/fvx9LgGvE++P/D09 BqvuP55yrbOTfjPY7VGK3yG9r1RQLAL1p/OPZxAmRtr4U7WCAegp23dj294K00+YArB/N0mkucTI PJfTHZkRtRaNWn06Yg9BTzEYSpaHo/z86yflP9dCen1KQjk9KUpDSXGx3p6qZzkSMtBTFLTqBB1C f8IuNEghjxzHtZtfjijq/PhUHdlOE//bAyoM9BQKaqi6mvwM9lwWbr2+XYEDXW610mc/y8wXD8ti qCh+HdBTVNeCkr1ksimflv5g7eNWfv0y/NueScpx/x2uyZHtyMwj6ClVpbD9n9ci9G/eeU03DjdL mysmfr85MFt3iSkNCg6U8wM9hbD+sqn8ngzrUNrKI239XIQiYPLFimShFOW7WyY5S/xX0FOyvd1M X829pNLZav/++Hfp89u7R++cu+M9jssHq373Vy3QU+KNWnZjZXhHtUbLbj1vqYIUEh8INhp4WNRL sMSeuTuFQU/pnm1LRSXwhTkvSrhlTaxJN24Xsnloj3gXTAjPtMMHcwx6inrOAtyV5EpFaygDRN31 FrX3UmfgDAqGbsUVs2bk4CQW6ClFZflhQWooJKWrGJ0tC+0E3D+aG+yV/KgEuAyuu/fG4EBPgWLo pm3qUlvsTXGQ5oJNcbX0GoQobuoeV47Zg6kND4AEPUWVeSP91tWlwFUvIrKa/fyf5G+iAu6S1o+R 2qjvC2EzSEFPsThmqUyrdfrQIPr99jx0MUfqaw/H+qyFPYdEnM7ZQvoV6CnaTJNv5xNQoR07firm 0mfYHkNlZJp78W3lu17i2EXs66CncOOfKR0SmRbcRdVL0P9UxQgLL76NaNe81hyG96Uc+nIFegqb 3vcYZnT7DwTLr0fupI1Og7xxDfeFv45H4Mrq5Gb634GesrORrfp2ePX7a/Aa7IQrtHU5/psI1ouW WsJlb39NZYMI6CkC6GEFMqZtdtBTUiE60+xQKdzSKT4wEtDb9YESMCZEAqCnvH6Sj9Z3bSBcRWcU zCa/g/2lu9zKNj9+sn8/3GRpIf+fHr2XQ8PsGEo1A+spWyI+af20COax7NT26NBsoZZsYl21Kugp diVeU6WzzfOPSLTzTLTRH3+w+3s0zQcRKiv/8T6HCKkGPaVBRf01Q/8DV+4uFoXpO4JX+7l2Wq/w og8sHXlzMQNTZqCnTN2qL4QUjDEM4s+2esrBU1hAyshyBDekNrPnNjGxezf95z5lvZ3N+txXB36b swArZzwSZU/ko1jWfaLGhXoW41TxP9BTUFe5WVWQJLQvv3FYytdRTIg4fRkWjCUfh3J3k49RebEE PQW70gKfTsPqpa2D1WW+zW/tM5PuLNuIu9ZzJPLZ3jJCAugp/HcmhF5ujNLzwypF3OPMrDhRM3np IdwcRfgyhccsx0Sgp1B4yv05z5diq8KNRmqEj3lJ3sP/53LVvVka0/KLOpIZF/QUDeJ3mSE9idAp J2tp6ntOf9938FKqbLuE5zR9kaR19SgDPSWSOuwq8qmq3wV6w+k3kn3x4LAUGqzqiJw5cTYxSwoT N+gpui+l77PXX3dYIcbCZhtql3hqBIzV8FXcKaDVZdRVrThBTwnVjKPcfTtvWYIKu1+Xw2CSZOYl e1rd5t8uShBULG7xBj0F2rxWwo1orTRKqM6CZ7NlTmlfVHoDczlG5RERLSn/Lh70FM8xOnoS2qu6 bVqS9CuTAks0W2U6YQFaI7UHzJrdDy8EoKfIs1PotPdB/Hm/crHeYBE3pcxBX61cG2fmQg2FlFV/ ew96itI5EdaVEfu1mDMO6ZqfnPYrd/k6gh3PF3VTlA1fIjcc0FM+UjEHL8TFQFmlFU/tuDNN8ESe /n2r9NLiYNiluU909wY9hfGLVNUOjKmBty4tSVVI7/nYS1Z9EmzV3R0H/Liyjrgs6ClWfB9EI95+ hWkPrVvgyid857K2w3pS2IHsDYXpvhV/dgU9Bb37tUfwY1vS59tPRknItLbw7ePXmgZDelauUplM 0JF6oKfcHwuu2X033NTd7XDiV4vqdKjdkDxf6sV8giF4U4ub0AE9JczR/TCCvZIKUhneIJcpX/To KlmF7WVRBvnsaLiUVesF9JTmg/41aOzyJg4Nm2a/0vn86QCULUaRLteUn2cIKolUuqCnID8oyrHm EU6rbvKjUmmal/y6zhFC0cSIYilncfkTUL0CeopUMgvmtxxYF91hWfNcYaQoluSKX5Gr3EIaAS8O i3wVd6CnLOom/GOc1Pnnxt/Z8Hlbh42JAeMl7zHF24x2enRu6mgc9JSMg1TpsP0hXKXNC405bmqW 0JzAVvFHaCoNV+adQf65E9BTyC1PEOylM8vjMwP02JxkXSmupHA+QydsZ6Zg1zhb41yDniJyIr7U jJw0FzK8prBVbM/CFe0VYkVhvgAjhCfu7pRjBXpKkmnghumbNSKR63dvGK99dUkxwrhyAU0ZBol/ +W0GeIygp7gUm4lnvk6g1Jhi2rwYYqN8HVlMPOgyuYmGgpgbD8HeAT3lX4/cL/r7r0HCWdLIs9N7 1hQJAfrtcSnoIeOYBzYviHqgp1jTvlRcjQhKZ8ftUd6P7QmRTuxPIivOGAuxF3rdKcRDgJ5yCEda flyjwLKWNk6KRwOVzy8Qq5P6vhByDE/TPfdR7j89ei4kpEJ9OgnH7qmA+hwzCU1Feslkv6Af/rNw icq9J7mioKfAFsKJkYWH6Rq31cK5O3jGrGR28+jQ3QZYb9WS0EB57YCesllCEokbOybjVlScYl0b bvLXcd26DuuBRDmvjqRqJKgA9BThHPhLkW2xry1dVwJXaZeEKnd+g6PU8s2P0PWj5/RKSaCnvIQa xfjkU049pr9rTVW/X7tro/8mz6lgli0B81nIUlYV9JRONPt5Lx1h1FTTjf5IW7VO4a8rhLv9hKJy FzWybOsYfqCnXDumL6540edw9d474fjgyOEYoqKhWVsT9X/QgXy5g3cHPYUElfRvD5a1Che+oeFy 0sjqku4NrHtbS7Ih4wfbd3/HMEBPwfnyc+Erqb1b2HzTe5JeyPEkBNkJjsoFuSseSGWS+7YfoKfs mWwdvoNAmUeh0FuLdrj3Lbf9ID51D++94WuFevP1tg30lEWE3s1ZJwYyfOo8c6os/dDbi9I575tA rlUuFDyiIP4q0FO+UVEl7qbwePhZBfxeQiq2UL6p69NeYjNFXrStKc01ogI9RasA2WOhc9PkF9qo kctElpydNKcM/VoEJA5mxXxaLfwI6Ck1rZ52PIxGcuYckL/13Em/rjfU6/aH/EnxhJll/HotvgV6 ShnB/c+sEjTSfbdvc9FoJmGZ5x6un1urvJrLYBJN7OcLQU95g8XgVmUky8/MfONHpJ24sA6eqLxe 9qzVplBwVFfN/QV6itlK6+3SOyrzfC5vx+79vCUXQXUhGp37m6NxvLMUt4Fz0FPkO5w5PDN+TuFJ leNMeePSK35y11P/UyFiLZUq4Nt33Qx6ylEqBN9U1J/f+HMPaAsaj+RTa9/xq/7/LTDzk9+aSr6M Az1Fh8Mucff1TYHfVlNBxVu05e9Y5UPXzz6WVfNIsXNqonLQU5JyNG0J/2hOLT/NfIB0rgn+hpmh UMpWXsqytxm1a0YnB3rKxZatLJ4SVlL/pKYA9FG04Vlj9rUZMkQ2JMlV9JrkjQfoKRN0KF7a6GdW dzzJ+L6UMsplb0XiRhgrcVsaOE6hxInWoKdohYv9yn/K63eJ943yK0q8YcMsEGLb60J3bWLQtg+m JgM9pWeTia3Hb4ls6OUr4hcDrxbo5I/8fbnQTBw+EkPTlsgHoKfIafcJGIhDVB9gSNswBWLjZxru HvS8OPiRZjWFGMt//wp6CtpytB9zVsu36vUN6eDr/pfwHyizSlhvLYPfP7lHjYX+Z+/rzVtiz5q/ MOh7kavlX4FJ3vDWcAOPn7/NN6OySoryuAJATzmTT9Wh6DBFg7cN7PwRNml3Vq/S27PhSTt9adVa oVopAXpKA5TwIc9CdbCr4Px161kNrdyB7yokM5w2A5P8wz0O2TroKe0/YLAUXT8167Ht6IXb4w2T ObYgsDCRfNRNvnVOipT5z96Xx0vFbveTcKAHeWHZdGcTpkLWyJPYeZ79AfOdWTgpEQXoKe/02JTR DIdlnOTI3VYJidFD8R+FFCIo6rjYvkjTu6sbg55yHmvQE4q2s/NopdYiDXFqMQ4T5IHwAPV58Osr s5n5QBvoKdgMtNheZ6r+5OHntyhjCFv0dnnqR+qubGJFCZIIrMlvoKdEqZ3UuD/W6BQgbLIPu0Pm UXStfzHVI9CiIxX4m0RJHgh6ivufvQ9uxVmIAvxaBK28AYKJ3bMEsrU9LmT+PvIBPWX+oKc058LV X2BYYlYspC+JhY4KppCEYsWFoKzLY6pPrMCfnoKewvdRznkiyWuY1PidIKz/EYR4VATk8XOO0PFy mrzERqIG6CkKUbkV2aJP/vH9eZCpaW9kC1eeZCqCXph62KMnM+EaPqCncNGNqn3TyiVRraxDCMRh 4iuuMC5YG8f4O7ZHTs1b9BgKeopxIPRAmLNEQk+iZblnZdQetDQ+Fr8Be2/BeE3s8uDzLOgpeih0 ZvRJiftCGSg8TiGG5Ba6kOxIphIjs59I9LZ9o96BnpL6iMnxhSOV5DeSfArdpcBDLFnG48Ce+1C3 vGbwtIhND+gphkOev/MFXPmq8Yzx83Gq55vV4xrSRvw2dR9Tdv/Zvj/8j6dEkE6G824GLs0lURGj JE0sEfKbID0MH/DUyV67lWJfgp7CY/9wgqtMgI/KaNq2nvAEX+VW/BPlX1G8fuGo63jXPQ/oKW8N qVuY1xVtOCtjyK/ngRKx3uVOiT45JZ4KHlPSpjRuoKc8VEN0JVbAZts0icVDjsZL2LtKNq3bv0Y+ C3X/LaYnCwQ9hRudmLHVL1dfXeAh/dInLQ0/iurR8HQ+NdE7VAlOLogU9BRPap2wBmRTgSJT8rYN wdDmy7aEzUc0F5laVw6xyWtMV9BT0uUh3i0xORBYYN71e8ycRfv8o5Cp8kl2ThuKeV35oBUMeorH cMnFoqyixV2IsbtAFaUtTAnvDwQK+MrAreQkIoS3ddBToNpLn0dLSEeW1zcqty3ZS9d8vMK1ROmq G+zp78hRb4VBT7k1lXPCvQwoGCbzSok2Ykm4cHFg0nk09Xp/yuGdH2ViC3pKhVzU4pnvaxKzsluV 5z+TxUY2R0/r4m21OLKCyYrJb52gp/xjEx18ljnOERXRR/8ZynqFW2tJk+H7LuSt/BaGZ934HvQU edX9u0pdUTz+wOUF26HT1pNmWJuq5oSYjQZvu3/3E7Sgp6xL8ecd3ZgiToVp605N9c65V/W80Htx IlesqF9rq1skgp4y5b/4ZZNPf2QxPZLY9pBw8+frUuHYwmzPGMn5b1ijzP94Stm35CWR5u9XiQd2 SeefJelC5jdSJrpThYvUwhvZGVFlQE+xL5HchCHnxMi1CEFB7ghW8xpdFDJVVH8vHZqr+dE3Y/0/ PfqYhaufE1hzdOhyV9AVh78JfkdDUEtYYRehVK+V6ri/gp7i+S7PsPl93JpJcp+9szNfaHT9SQb6 nhbF6y/zloeWME3QU6zRmecHPFMJVXUj6LrwJWzoIUb8KMhwT/2xhzwJ4uVUQE9pnPx6xpo4kwxv Va1rqFEV4e5vjGU4lXNCM/EVw7rJ0wv0FJmxH9V6OJBQv75yMfWyUHzOq8m3PqhKfFklH6CW/Sjb A3oK2tYAVYT5Cx+L22DsVlGn3DWWhAmKjY3LPGTMtAr8bhjoKfbbGTJlMAPUvTQGDk+KMYJPek/l iLGc8/OPP1IhA9AKQU+JiEoPVdO6hCtk4Z3Y0cvluJqL0X2YD/5ur2hPIiGRxwN6SvWudc5B+5BG iM3vt3ufwNNKOdj5e7xG+qIPQ/5ZzPaHoKeQIzQKoR/Sd1AGwUXq954d4i+SZzHy/ZMPxGx2J+Zv CQQ9RQUG9t0niph15GEKrA88gmgBqv238/zjK3RWG+gdGaedoKcIDsyGFNzNWzCNCOsw0zR+SdVq ETs0I+v2Gw/6oUr6RAB6So96ilj4ygc++xjR1rt9rfR3WHgQliEHC+IVtvWH3OvioKcUaoyxaxhj NDtwmdR/qdFP+FqVIPukAF8ZS/hvAIri4xPoKf+4l+hm8OzLtvr/fUwUbNTera60MsL/o41zkKLX uvMLBvQUn+37BPVMvPCJybeNkJ3xihUUxwBMlHgxuuiKFvu16RDQU9jIG94qG9bunWXSoFKO9hmr 3WdsLe4x1ZntPsEvNM+GgZ5yIfE5sC5VituAkLTwM30b51RyZHgYzpN76EtH2XXd5QboKSWiOtsk nQLa7zMgSq7hiHaLkFQbN58IC+jwtiFYxCxmQU9ZF52S+6L+Iidy7EK8mrKB3kWGQJUp0pjB/x1C D1HaKRr0lDGt2dRwUg5VCjRIluns1n3+OUcssZTibJ4SZT1cl+RR0FN6L0gxVJYj24jHuHFbqzgg 5mSVIRtolvL6CQP7pOio/EFPUXhg4HJ/5P1ZHYZPKnHztugjI21Z8BlRO8TsL8prKYc86CmyqbBQ 1USvawQjeBGzHEtYm76qTSQG3Js0SqazRe2F/KCntKzlzJZiUzN8i2V/CD+oqzrILxiUlDXEbZ/n aX0N2K0BPcVI2YAP9ZhmLT248vG8gK6MuBK3LHwESoWuZxAaXmDuGPQUzfJGUSocBz8Fnt49ntZ0 ET2//nySGrhkwuDMVRhh40XQU6AYGcjf6XK8l9Z9cs+K+67WikdXpKJO+HUYzUX/+oUJEvSUITe4 L5kJ9MvuGDTt7e7oxqcmH5Z1QmvDqlsU/1qJmyeCnqIkJ059VI0pDRVgJJrDYLNrhX9gbeHT9Qqh zXrIKY+bC3pKM0MSq81QbTJrmS88Krlx7kqy/M3xrpXJnKLZI3kY4TzoKUnvtoQFWq56Xo+OjzOx Upq0OVfu7UVmemCWbc+P+28hQU957vEmjSsyWMYSxi4RW7CWzxVt8IkwwvdD/yZ9mFNPhwh6CkUD mxlusacXnMQLlb0CwRutUblf5rod3R0i/+cpHygG0FOKL1H8C1EfFnu+eRWWi98JXNWOaYfglxrT CPUg0CC/rwI9xbgyORtTg8Cxlc1QL566352t0YrdiowzGftrPO0Ak+0Y6CmGj9GsztevyH8QZNK7 OEig54iDZMkrIIbpFB2H6/uez0FP2fzhb8Qa7I92W6z7Wszuj0myN8irFPa96XH3Fg1e9gYe9BRh r25TRKv57bS90YWhGRyMmeHolpX2ns+nNpRXe/GeZ6CnTHGivE4xPBGwIVEGzl1M3R3eG/Y/XhFt wxLbPRTUBUmDnlJUau+DxEv7TT3Jy17gLSxwz1vJ4aGNugY7FhFWYSUeEfSUTg8k3lxIFY94E5kN 0u+G9KtJdOaQGCk81Svevx+X16JAT9ky0i3w7w+wlN6AnNuHS54KyEaohNoUg/7XxvbpmGj9DfSU ZHWTAnjS1AE3taR+2nJ1lomrkJw17X56ztLzZ6j3Qmqgp5QWanoLmF6skhUaFlVuz9UnRiMrz7ej M2L3Ry6/zeB5gZ4iUsmJ2uBjZdmE+rf4x3UIjOGG7F0HLDzmm+syVVydMzLoKfnu2ksx69o+Du5f ZFEWfmKfZW4LHE6fKR/Q42deEqPmgJ4SMz1BVD4vjdFgEaGpmRxbsFXvy+qAw2/8ypJGN51IhA56 CvzazsXsrZCb4ZoFxslpjR1n+RApV05EkSkKccRdAPw66CkzIV4vjpDNKcGd2w5TlbP0zX98a44o Gqch1VQLkZzuVkBPadJqCGdleTjL/5eQxbGTt6xfd/ztOlS2Bu7XGaagXnoD6CnFFsVERG9uJCWj puuiIi+Nih3Qj45C773k/1KkX3XPlIOe8tMRdcyNRZ+wihNlfaiYrW8uGWWTEpu9VvJq/xL1NMoL 9JQ+BNSRcoXfz0QU9Uneh4uKtJ+9J/Jx1RMXQkrthXZ28P/jKezzSQ0siPkEMgnovwrp/3LBaN5v 273PtbfodZLERFMCPWVIVEydbnX4Fn/cG/pwLFn1VKhV4J/4pDp0+AbqUeqLHugphNwF1picLxG7 AVUUXhbUUn9rXd6UBka3BiNiZSTtTclBT7FJ7EZTi33jCXLHk2XO2XqxJI7AGMx7QFZEFIjxP1Cs BT3lmfj0ZxbpsuSOH8VJwf/IuIfoMLstAMMxG9uNbdtOGttmY6exnSZpzMa2zca2bdu5d/z983e0 R2evZ50tpwlpeLMy2NYYjbOnwJr3pBuqBvSUsNkDlAikOLiXmrCNobrGHS7pGDx5w781JiXJ3H80 zgOBnkJvE4DwO4ONfMlrGAHmk/vPrcGP/fOrFDlZymYup/Kac6CneFgz/Ayfy0AcSnELcp+Q7Ifj vllCn2ElI6iw7UBNkDwBeorTFZ/mb/rWYlXdD4Q/A4zjWMtWUrm/WDcJXxZd8jGdxIGesnjuRDM8 GMGB3T4f/1Ydpz02kOtbgHSH/ZpxiWLKi7oD9JQ8Mo70RtjCTgj5OU5KUyXk7nC5X5AgvafKVx3z /sZdPkBPCfX8td8sZSj3ZJtnrhHAfhAetf7tp5NHaXrO/3dApCBGoKcksD5tXq16ixkvcOGEiZBn xiooWmZxzqb1WXwm8v0wcQN6iu/I3DY7XENm6U3aQ5rMZyCceu1xCuYsOvSQNZG6P/8Z0FNatO1o 5jgNYvSed08+5G8FKO0hyTVlmwqD2j5U5ILOg//jKVfsdgWnbIsKZY229KK5YGGOSdQ8XxNd5aQf 05FMBpVATyn69dmGmcwTISzqjnGmTctj5f9hlbDV7FdpDntSp3sACvSUt1ulRJNvtcLE/tPh+7KH jHb5/LmbFtooTWZNJW6un85AT7lVMj0tqq1ZU5xHzwz3VoHbNB4LfWeUhnRT0MDz/Ue1AvQU5CNy +Sry5w885dYGaN2ynfgKwfvxhRihJ9ieCFsKUQmgp3TEREZZxfaxhkvLG8lm/sv64zv0KSEiW0r6 8Eva3rBaHegpho4XzIWeT08gFgQ/OJb649CmXo97hdelZkVYowmOIZeBnlIhm9uFhr+x4XtkUWr+ sEtsDxEDK04r8nRyyHBevwyRCfSURfxZbw3TFpX/P/xJVVXANuJ/3hsxNp3rPBzUOWRWgVMAPaUb dKQodZ7wXkwbuyL5d7JoZ5Uke4jm5XOE97fjHgYsM6CnXOrxYh1MCpo28LZ64K81RhWXScZz/yo/ 2Zrz73VAsGcBespPcMJlH8nEg4iKlElYmLcSrXDUK8eWSIh7ueP8g3hWeqCniGIzQCFy7Dk3hvWd /ED43pFz4FDexiQ/HbUe4etOL64E9JS6O4obXXa8HZaAvDXYcDWwyvFIupzT29eRo1bvUKFXTKCn aNDz5CgInMpzvGfVT7fbf/V/TYI/wnIFjex1TJVGoZUCPWUCsSTqQkx2sIfZS+3HjksDbVx7IAgB 2nmwJT1MNwkrPtBTHpNqtoLCMAXurnsp7sk652fT/h7maRFZijtbht+CCqABPWVemqYh8lorVEkx SW+jliTmePnh9fh8zkHkAOOfrHAQFdBTJLAhP2hexm3AuCcOymcNpfeNHGVAfGW8E3qxbasgnVuA nuKOwQeXrkdX7rxrhQet2dA6BqbcHGLwk7DfRZ5TjaMeB+gpJXY34c0E71dNR5s9O8x7nfHgeyXy Tyt2KhOH0K2a2R5AT3n90CGJuQzPqCGIxYia6YANaqGktuKdrnKK7G27SYzNBHrKbIQnjdNW6uLJ 8Qn2Nptu5Phqn3bGjTLpR2slyfIEvwHQU5DBa3zS+bxc3QIMlGasI5Vz3PpKGV9tw54ERr/R3wTQ Aj2Fhs8qwbGl1DSxPL3ASHBtQFbmDZX+3y/OvPzwuUg4dS+gp9SzbC/jJNq2htlJjxv2viIR7EmN syquED7UyPKJ++WaAT2lCg3/7cAfh8tEMfysF51WlkOKwuX5cV/ZfwMBw/TJshnoKYpT2eP8c3he GY6M/abuEHCeT4wGoISl59m8yvEQUXzjQE/R81/V337Edshd4VJafzjnj2iJwvA59ipd8SW9Cv68 cQB6Si2ku26gQh+rd9lcus0/vxtx/otYSjUytHt85+2Aj1JKoKeIqW+psSKqxJSB/0NHImCfHZOV Ol56PGGh0xKh9IlOeAV6Ss/5zQvFr3Ah6CQ9sCBUpunaB1QHCi33kTTP8wvCddttoKf0JLKKgmhk wbmY28hNGQoXKKN8Qz2E+IbjjCAGntTZLA70FKzYBp6pn3eOo/9ULF7YTd5+z3TfinfDNnWlF2on 591CAD1lIxDx/eS5U40OnxacG2UB9oP78wCO4pWUr/qj4wc7gRjQU949BMtXOxLbs8h1PAS361Qh I8/e+y/KW5PKd9QR7qWigJ7Soct/XV/RK6hQjs6f8/OviVS6sh/Sq6pIDlNc76l1DjfQU1AcaWML oZgk9YxotYwdkS33enu3xH2yOol3Yc7KfUQogJ4yWUeZxs7C3Epi8mDBkQjVVlZ1fdq7rYLecUbq /y+IkgLoKUkE95ohc2fOyB5UMBXl4mOrx6+sq2qnfb/dYNWHrP7gAT3lSZzIkk3qqol+VVzogvCw qAmaVLS6kZhEh5CLpVOL7QLoKfrOt/gVRm49W7jaNC6ceKCLGMb4kOY0lA/6wnMKNWg5QE9plmJR A8EEJbQ6Zn4sEk9As1UiqgnXStZv7k3sxfT8/54O8JThwu/w6YThzvSrMzyJhLa3DX26x0cl06hR JbhJFfvQeUBPyX2SWHwesPrmkk1rU9ugLuBT75rmRu80V2jjb0jz8OAP9JQQ/S6T31f6LgbzsUYS qohCnYxwsIfNasZjaY9FCbWChUBPEajXLTCCWORRoDJzbFw65P0FoZixlXz+fZgYZNzxofMB6Cm/ DSujshOjWWhZ6CmyHtWvo1WuSf5p0343UQha/OPUwgX0lAmR/jtBlq+eQd2ZAYN22eWkzS+XzdJ6 rg9cPrSy4xdGoKcIblQT85Dth7TtH2RMVpLE28Ic/Olf/QeWNaw9hLVEowr0lN31nCCVzBgOy++t YLOJ6I40g+5NffD/MhorYzBu+16VgZ5SiZHxg2AOdyxS7hMiz/0AaWvJ8TYZszhQMVM+D3lXBh3o KWEG2efq265fAcR5fBBxEs9RP9B+sz8YFoXNVYA2QWZZAz1ll5EgmIwYiY6y9HWpYWBOs30ss7oF uoJPLQYvINPiuhjoKd74L2FkKf4jcKQr8IiNJ5Q3Krbq1R2WuTfYxDjDcaV5QE/JsVpLhGalyIeA vyJyYcr7FA5BBgsd6Y5xXNhtgioNZwR6yq5eVjQe4rhBZsXNMct+542EbWaI/zR1GoKH0gRWX8Ew 0FP+VBv8ctNyQis9exasCeJ1x1aaPB88BcmHPBXbkMO4MgB6il2505s/VVsH3++i+DCR19ES66+P 3f1oxeXZUttMaSJVoKcQUCkcpB09ES2iOVcOE+ydMjTBOyh96umsO9wL9DRv4gE9JUeofHzv3+L8 iZ2HAdntOop1Utv4CYQr75fzbI/nG4IH0FMm1ZmxuEhLo6A+jIXZ9W9G3VxRUoMUTReMIQobDvYU /YCe0tSNRpmMHsA7j8kX2Q3evnqCThMIifvywIoSkLA/MFgL9JTFCCnFK2Fh124ov7kYNEaccb0G l355KjqHqHXXqLBFOqCnJNaBhLwqUPgpvJcrh+RbeWnEWmpQoqQbirrIUecXwRIBPaX3ulMqucD0 A8Ql+eIufm1vt0c2F8NsQfKI2WEktdHIGOgpGGSKZ68oHmjphwFR+Z5XP+poSFISdRaS0R0fi4do T3mAnlJ1XD9E6A45bY5UKoEd8s2U5oq7SMkw4QzpMPKtLawzCOgpJdBKV1L2J6+GhnPeyj2biKE+ 3MX+3wtNCOBN+md7IXyBnrJv67rnITqfUla3kOfuQRcoAH09Ps1FZBbMC1uHRfInFugplT/0hm69 RUTR9C7KjR1aG5KVFDN6prHT/1KkQ7qGcvkDPUVdB4aoIPdFOzqgYwl1PPRMSoAoceF1vH/68fc0 ko1oBtBTSvjmPziI4ZKN60gKVFWJDAkX1kwhBDQydS5bNDNfPAaBnjIM2p5GlxX1EwfaQV5G/QcB K6jdXqx2xf06b96Gh/CHGdBTHjf0rZjIxfqbEuvHsUMP6TMrHqwUWelYDcgPzHuNK2WBnuKl8I8l QntDXQl61UTVkFBqqWfRundbp21Ma6nCq3rLGegplaMwcUcR10vMhnzRhCwGBc56LGkTDfwuFFmu 1GMcMvNAT/FXlRkf1IAgBU3J5vyB+WPY7ftW2eTDcfGgO5dOiiqKANBT+h6wr6M5TLixyRaGQbd+ dfedfmeMqbIbzq8HiQ1FpTEEeoq8PY0LhVddwsVpPQMREcWD3GaNt7Ta3oBzrIoy7IxaI9BTEvUf S5RJjMMCUzAF9+j/WSBhEQxEtkQwxMBMNskqcEsDPWVAmZhHue00bNAowyLX8w1y9Rj9752k5cl4 uG7IibAtOdBTzKS++2W8nXUG8/pgkNj5Z8X73uEGEFVyMglQW216+igCPQULmwfBkJ+ej90/w9sE 0clxcZZBYy1tFSUFsolKWLL8A+gpRWdCWp99Hx9GkFZy/zLJWd06/s0VSLYgpnKCroELwPsAPUVG y/Csswvd82frfadS2lCNUHF3vx22PNcIK78k3C1HINBTlH66YhuYf6QM5cQw/vqLXmut6QY/J9k1 DEWN52uV1iML9JRWj7ZnaIZfMvos3A+Rok4Q2bkIdoY1Eo6X19DqVfGNkEBPceUBqy+UwGI4/MSG vRAXkvQ7nTsKNG7m1Odvz2FrkzUBegrRCjUkpx/0UHOEt0Eprn2xUXw7WqKjJkrH7cV5SdgQAtBT kLVGm4YaS7Pl2gR26mnni3jJGl3HJ16OFs1QTRF1/E6AntKx9ViQG0uTrL1EdGNAL6BjyJE/XIqO Q8sZ37ier+ShAvSU5xhCAwfQWG63BJhFGO7s/AiRxU8ERdtKM44ensVbF0mgp9zYtsfAxxjXGJx3 NQi4x5UYS53bF0pxNZ4HSkQ0g/l7Az1FKJ9KCdtDRsYIiSYtNpK+PkkYuz+Q3VANHmMkJJ2tFgLo KY+9JK+vajrpWqxeSyXuUUTU13rQoQ5R5MVm2qRjn5/YQE/59u2k7VjPWcMo+KKH2DFM4gZ6uKlc SNSkxdY2wHZjgRDoKbpej4+2I00KeudCoodysE+ixqtvs+qLwoit79XTIm+5QE/J+egnAsMv8uO5 BlHvlq3os5nRWO/j5N/am4qBbmazXwJ6CoQYc9ioJqGXAulJLXydrYGcEU3Fv8eRzXFtLrJRxoBe oKdkkDpMyl5K2lhAoWOXdpLiOmCjTkyuP4T99eeHHu1aegd6Cv385J9F9sJvTGOK1E4BsPaVCy3G Xy2T5hZ1nhxh4O6bQE9x1/lB1Jr1IR9KYa1wr8xTfqSqQT27QJylToWCJNxK4gj0FIhbdPDnmVSN g1K5iO99xso9nE4+0iUC4vxVoI8Bit3FQE+Z3Gu6+IOYJ2Xb24cHgoIcmEIYn+1Q/EBNrDk19qEj 3wL0lPXqB4G+VzO6A8e8vywWu3F9VHQqOJaVKTwdseOlM3//Aj2FN4dD+cH/mlHCGwx3zzRPjU/+ 8lzGfXsS12/5OJSt1RboKRVt5TYRq0/LceGkWjIQRnK0/aEQPwOxf/08CkaGbo/1AHoKRqPujmzb +wDmz965Ed6+179Lde9yTBj+ezVW1W/5lUZAT2nETAyosqobZ7z5HHZ1XsLapk7duUVs7UhzbmHh 92SgB3pKw1+FkvnUrTvzeu2gYLpGOW2f3OJ1n/KhD672xfkrfjKgp8h7VMXKUZoxH5H+mp0KAWXD BsuFLwk3Z2Q1IKpcfOe2BnrKWzi9juOl5WeQetmYXn4Ny4rbb4uBikDV+AGxv5yX4X//8z8ldDQQ /AHHcO/bTSgBoVw+mnM1ZmPio6tnhBLKM5jzHdBTijGPGIlUSGgfy3oU0WvC3xMZhczSXDg0HeU6 jE57bRWBnpLA6tRB8Vk/3143iLr3h4opN/2jXbekNd5IR37MKnG1GugpijeXqH8DyuM0lcJswFkp WNCxec5EMzK/OQapqQ5pSFECPQXSHp7GFsVsHCT6qkqnHi62JT3fsIL999JzI/pGFfyFKtBTPrzA PCtFiKYanXfLdtCzPh1gD14zNVNywn/ABvWm+jICPQW8hFZisCrWCkmcQPs0zWUxJTVl1fjvJAqF UkUOVI2SBtBTLC6QVauvG13S+66+WR1abrRKDidh5ecjl/TXisiHH9sBPYW7Y4Ejd7DASmSIZ2zV hOoI609MFrSoHl2/hcS3u4XeeaCnoK1vRIFEKH53vHnGJwSL/DH8QVmguwrOGwb5HUVUejHwP/e+ /M1DKTChCQt0e0N4mCKcHHYMHba4/oB6Y6cS5rl7lv3n3tchgk7Fdre56ybsQdtFq2tl1x+1RvSC 5ry/NeOc0ampQE+ZO2Ow7RKvQc1Zj60mElDhXt6c5b/d7D7eARctKq54mAN6yrgXqHYSVXTOL72B GgLUWAPHpCd8qHLp1e5CFP34sntOoKfAQ+N6f22ienKH8bqz9KWFgilebprXXetgYkRSOTf4yQM9 5cKz7ri9ltCyo97nHH8yihl/fDvHM5q2ga+7t3VcPYH6e/hgu6O5y+rfgrboJY9gnQz5s1YlIyob lJCtzwzI4Y4cQUao2yWzlMF9Fko8sh4IuroZ/udO6t22PAQ9qSBEmGEmrI7O/c1CaCwXsiml8ZeD 9fW040dM9RrczeVGtZ8ZwoXHA/gq2890O9XwdSGm53OHwk81AdL1IwH0vms56lkIzmxf1OYpAzG2 2kKToj3m5szgvdTEuXSNg202KV6a+GOvMG0Xdb0lj7mtHmHx+oG14f46xS4OXkb+RCs76tPFqtM/ k/MIPny1avAkCczrJ7Oz0nUeNMeIBksXdjRENm0ZEOEzXZJ7SeQSUjUMGW1wEj5mMw4FOonpXXlH CTPbpRAQMNA6GhsQTHeVTrxMTDKkhR0qSsKFTCFfXJnfYsh/PEcGh4chDcde5D85qPOrs6C5PcXj Kfa7gUdJYYi1LQrPNcQvI78fjgaQdborD/MEB5DanPqp8S0iH9ggnBanwHQ6Rf/k+H1Swno9v57r 6Z1VHVE7wpRgz+xwLUXQkvlwRPHmolXWoSdVnaQSdNufmvKtQnHmoZR4RNnuxy4I+tEmqvAJ/M6t C4qLD3wQPSqT1NjlBbJsXX++HrGRyQTYdgep6yQMZ7yqxHnGcUcy+JfR9Q/xT97jJPYdb3dv5DXc qk7b5RnRxX73/iD0ek74/f2sTpw+TBKt+PpyPsQ/nvlbwnYxVxYZx/pZL+A3jykVtAmO2Vuw9Tw7 pj3I5df8tA3GMf1Rn1hpos1so3L6pFk/98wQ0Czpv4O9JHquUeugaWZxWd2qSrGdhjVcUq2rv2HQ Nun9+Oeg7R3N3WFzPjWtqfAYQuzejKNKRVRNdMueDu1Xo2NqJCnZZEvVTvjZ/aS6/Nw7D00y1XjR WLTcgWoJulLp+/kzWs3N+IKvWTv8ZZ7jaYccynnOw8nIzPu8lgMWNfXla0+a4jNPwtSUjpUCe3jX UARetoepSUjUArmURak0IiVxLzlszfkY1tHAFycAP5dDYeREe/zb3dEtSZKGGvxYo3/0pzrNdvEw pqxfs1cN8Rv3pdivl9kbDrlfc/I8hCNtE3aWfoQVEGIywxKHE8VytRvGWTGxj/F55DmxCydz3JHL oj2WCrU/eK7Ki4jcIYe1UsnupJJ8OHxB6IR8muwgB52nDiY62P909Bvry/u7SzNVx5X5Rnx7j5YT On0RdrO+E02hW1ecNvNjny+teNe7fneQZ8Q5k+MtsF15s+6T3j9WabSzqtJK3cyg+jmnZ0hXofPc ek7mfN6q0YLQ1G2MbCjMRoESc+uAnQUZt52t4xAYw9zanaWy5vggy1c61OcsW2i1mqFPxcR5T6+u lEqZOzvIEw9uApoZ4iIktx5vF60Z+aWeRmP9Ba8i1oB8OKBKPyRp21WwIX2U4pKy8KvLRSF8Nr73 QuyHRN4KhpUTTvGiYzFLDMROgM99xkqQBUTRCfG+cK1W/qiEQ+7iG9K+hK5UzY1U/dQhGqwnvuN+ xO2ebB4EWk/tMm7m0ajbIcqQblC1N6PIcCKGQeC66sXdJqeCvGqkMA9MY37PTfwmfN7BvkelZoW3 mU9uXeFN7aqwOjO/sTP9JdfJfi3El88TKnuVQa9FDjTDjTcXBoS1Hn/r1EXGN9exmWCF05nMBfuj R3jcXAs/1h/Wpk7Z2rqKjzkJLbagIV4gZZ+vpSu2tecJ4DLCfZwWfdCh3+ucsoKshzDvdzew4PM5 QYV8oqX3OmPk4wfpYzUaYiK/ib3TMN3Gu75JypzQKLsp9Jc1kzesJfw1qBIKKzEXo9Pg2KkLcc4Z LlU6jyX5cpDSk4fAeAj/xq9teZFiWmo52DPwstoe7HR1EhcXC3dcO28Kb/jk4u9tq7GrdPN1xZ1I Gkl59N3y53vVUR1S8+nVJ+m+Tw7eWZJe6R8/p6eD3qPW14xDldA6kFVwFO/GDfDWiycEjF0NpPKm TYMZ2W4w0fHGvS3yWzZSYkO1Y96hqWvLVy1Qh00Mc54n4qeCvQst6VrEhJFtkxTow5ho1u/548k/ rJJydu3bxiOTmXm7RP3++aZRl413uy1hZVaGyJeIsSRjURLhC8lKUcVeRyvXiNDGlD2W0bMo7irc 7UhSUYpVlczPxqFlEjjCKGyyInrj2JaWriBm/dxcUn2TmAXZQTRMDruaSgWdcO6NP15RIm0UPWzi INvwgxqiQ4IdAZGTXaqIHkr1fDnnKo3nsMtB3JvdiK0Kwp2TNT6Aefm9SMYkjSj/fTTc3R5hjUIz mBFu3RamS+PR7Ze5t+lLRFev+TvvxSlD1fImZbECas/CjPR0JV2bQ3Cp/L/StbkxFi/COTxRF8JK HmWG9e3gUE6iIMjvXQwqxmrTfs+enX5E6x4h3NVQ9t7w//bWuUxG3L953FG8S4Cifokfq81DK6WP +R43bc4naYVWYr6kEARS8U9zjIyf8bN/x7THCXPgK3kha9IghfUowompSjC/pGHpuEb1ZSQI9rY+ 8i9l+iI9RuSl4Kg39Rcwu4mu8/V0yHcjq9ycsBrIwsxqtWHEN/dxpKRGV3axQjlgqzH8x8BWNmCt +IVfgR9ikwffBMlyVAqCp/yDAyZOu8c9SBXzPcVtNFVxZZLSx27Kmf6X4FMwk8vUXQXNJWvfn0MR i2/nKz6F7ISymoEqna1ak187jTBV//6y7QxO0IUH+LXXHHuaB8mAzBbXoQthXKrZEjT/QI6mn/sL txH+BP484QwnYK06bqRaeRWx4yXcHGIu2qi5LB6QddGoY6U6s3vkZBiYkleGIox050oTcftT5VqU ij11HladQIGDxS8Ix6h1z3VzIO8hoga1J0TfzGuL+3UOZ0gtQ56kv3SLs83fBRWjhUAxlB2LTdGH mZ4HeUwVSet7q6xRTP+3HVIBAeGA/hkI0JWXyvNOizLaVeqnilMkZTOW/iyl2PvcoLq9z9LkQHIP iCemIUsW+dp2lF4wy/ujSCPDpM/dYwOsYARtGO1k96e9XGZKKTOPJn8Kq9dSEQSoohJy9f0YpLDF 67ojxLskRbLC33hvIt/fqRnx5oYuKXuyKLFND4isPa8upfnOJl8LnmTjRSd/oF8IoeYm/NNP7iOZ KQH9wHsbXwUbFKa/1Pt7J86euilbFTcmJHZnyqiCU4aGfmqpNNWTSi+YLS8gSAi+GkqBFCH3hI1t JvCc4sN2dek+JxF8+E5GQsQlP+7j9s6fNnnKKGb1S36F49twrzPbwd3v0T9gsLu7AktkNbLjllgQ D+hdLplvccKiAtSLMpl4QcTQsDY0psONzTOsPAgRlb135/9OJqTFApbtRlppC41hjobu3pEoQhWG g9ifqG0e+z0GcC45DHz6f0l4YTM+pMLcnWB8uuArJ2Vc5MPsfmMdluo9N2A/9hJiEfoEuf80qYa4 cqXEA4Huz9HQK+xIRj0ZWTYu4+9foQSng34NrQqkCpfdR3XU8OK1FgUH54Ps+7DEdyqulZvO/kU0 5faja21rvc+HbRapN+Bo/TlpkM0qqKc/rcSioGJmxHLhmim80c6T+XUtn2EpmW95lJ4M3JRZxd8o yPKwHEfRssQqCv9KSOMtCtZY47c/xpcB/vwcywPzi/XpC9tZAMkWHZ4ab1ZLggDozNL7mys5ro6d or8y5xqiXFF1fVh5QxgfOn4jPJG6mmgfRP6f+PRQys7NsmprKt9C0Oc/UZctJMaMb4fh07NZZYEh +W5qyP1bPJEZW9l9lIUzegFv5puigjxBvVxKEf2NAbCDzBHM4h580C68OQijOGx5U9idfNs4Trrq w1w+zWo8F0UhW0KCgjH3DucHmnh2ePvCb5vMmDUntQjGreqei07pBRkbWIwaNpHieBtLXSy7Z+eV eDCgvPxgsFLP6hIVrms3PWhUmu0CA/4Y3DiGejk76KYuCKqwBoIRJX21lg0qzVMRW1o/+n+Vh7SO 0GGWbKpyiwjYheMjZzev5CCiU4nItCC2eERDZh5fft8JDuq1jHd9EK9LpDo+LzIekflX77+K6PRA G3FYQ1H97AQtndUW87ws5UllkbvabcDbpT8RYP2ua51+XgZynVKNt6wKZWcteVdR19CCPRu8hIyZ ynvui4vi9Q6WyoCAOF0STmkP+hRydhpse1er30jL6EXqI8azN8NvKL5udXCUYEA2fQLW9lsqTjZ5 O6gJZAiezKoMkh58x3hskMLqduK5g1R/eIw/rq4A42+Q+PF9xFROcz7mfU96Qek9N1wgWUIQFI8I ESPuH8F0yrRRNnTRGmJ5UXUy2u8EOsIIcp3jsJ1MD7yCx3KLtQRbA1A5BMiZHn8GrL2t4356M326 OUJRSFM4nBqKsEIr62f8VhEnjOWxN5u6gE0Uzxqyzksnz6J/gPTWOn0cCeksKpDM2X+Dz6Se+vNR oFjEkFffQoJi15fe12kGZ4/nTFQLs5lYQ8GexvENZANWHm7hhPtdGRISnzld/wTaU4e/vK3ou/Hs r67BgGRVs92jFfTZwiujsmMd3zMFd8JfSW92n4gEIVsD3mFT4h4yDW6w/gaE7WnhXFQ6hJlzrUJS lf/iWu9StS91ZtFbcKLxqhI21Mp5NlDu2ZjyWW268kjQvryu2W0WwA4krPFMOo22M0VN2zh2JTEM Zy8uFZcdPLQliQOPkcJfMm8LKnTrj96J1CJgpkEQ8/XiPFu0cV1Z+HG1HZhhIf2hxGSKnjEU+7uy wcFVf1PIszo+kyAwo8nT7q5rjOsnqb7ZQ+ayitMynJaEZGoozJtTcz/69aOL6hGxaMbh/e0xoU+Q EnVstVcv+5KaTMdonPtxMLP1QP/kXGzT+qScyI8g/QSlSexbT4X+S2PUl0UP+xMaCykLhHFmRz7m xOqAdMK5oE8gjYFazCWOWIIwcNWGYILj/eefYSv55NF2CamPc+9JcIZnfgdKBrxSnU5948hgUH/o dVgLXdoPw6pin1SqQG74aIihiCz5OSopFzlqFK9WqEbiJDlIty1RcevcDK3mZo9ZkAId+6pQL9WB 4oK2bxd/Rq9gT4+xOSX42ySC4Gvyvsa6CDz0IyJUnv/q/fGIStj8yzwq2jB9yZmccTzNRMoFut/m 19yi1jkvvdqMY6SIkPVJ47zsoPdhk6ffUrN0JBHPQ4JV798dZ/H4O001+EZNczOuID4kYoiWap+y S37UCHsEyax7MESwDqf/Aap+mNv6lKCcmoA4XoWwZd9EltOAIKu+zkoyIwb7u6upBIx3eoHmYJ/o wJuadrBEje5hCX7tBK4KYY3Z6F9EGq0GZ4EkeMq9EVcO8pwgWQntACFSDbYX6CiQI7h8btUQzFvY ZtSKEudQVvzFcgGTrhma86Pa6+sjNNuU+76qpbw9mR2cmS2Hm8pU92RXCiNsl5sU1rnhW4f7OC1I x5+W0NZIZqfWFP0IV5H8eTUbFj//hfJPkUj3c7wezOEIFZhdj2Sh0XKrKshpHpLhtGlGhLHfJDr+ zk24E9BVnSx2tQxz8KvmDcNQFrOVmHMzITois/9lCA/TuN9o+G4uiBOVYDKMEejRRXGNzBSUHKgT iLIMIY8joiLHUD0SeCNtEnUYSqEvuz3K+3nuiBgr/Milxu657atuvaMdz547KZWrNlPbHdpImZ0p Eny7x3nRdINe6x0cFyQqqlyIsBYb2aJw3LhIM3BPuhPDRRYiRDSkXoCBEOLZECTVpVWzPZQcgYHy Lv+D0OX+9NBu2UoSJwpR798XjIft9fz48vBzPJz8iBDsG3W2vzkZdqfAIentmRK/e3S36G2b7pCq ir3CsTnirk3Buhy4yyoba9ekYJAW+L4tOV4ej5mw6P0ULkMN0UpzwxwPNE+8LUxg8vXXavQbltKx TB/fmwbbcyKiRwckG405sU17akBPbRtBBnzEEJfyoP1rc7oGAyIKhUHrKsocvpf6VRWRSAkB/qUl F039h3Xyp9ni2qYuio8gui4k8c0DmxaWuuOJC4RR+TsDbIprT1nIEPsIpp1gXiEaVdZOCClHJsNv 3cOHvuLQgC23w6dvvN7ip/VrXqrakk1jlwoSu7qgsd67kSoWhTYoJjOgnOzpBJd2oMiU5B8S/BHc qpmU0fCFNFUviAG17FgG+ZZzFcTGr8u0iPA7XRRDO9O3EZWx4MYTFZmkOKpOHAHehFzNzga8PDe7 Jv50yRURrslYAZkEhMuqzfoF+o6ReiJrd2OIdRZgbkR4SNJFIa+H5Qa1vk5FB+gNwT5cJMFQWelo R3k2iq60Cq/kwxx3pvCYWe68HX6xFjUBKPboBSRf3ebYyD6hdoEuR8cuwgr9K+C0hj80VtCe/RUq a5MMEmRnwh7fQJy0N0aioVWzV6n1voxeRlWGb6RRa3f97iZxWroqNzuniELKrtx3h4hCWlwJrOrJ fx6wIWmAcKd/xi+yIAuA1yZ67x9rBkrz89RlIBhg046zkL0amcjwT87nFnysa8ZSHkS9jc44Fde9 2R8vnAeUJlbaj5kes35PIqqtQI8sxo22+OxZjA+NaNF1oMuntu6OApOE/CfMc3BZcuSjULGENrOp KaUtSGvtdS3JZTuz44CDnjFSWuxQ4dsOB2lV8SAdPugNsTGSBvUuKlcKMumChakb2TLUG6sXrh7z YgRKGfaiI05Ybb0jUGZi2Osdk/Rar0V86Qz/UKswo5mklK0n+yCU4lamxOZZObz8M4K7+upvw4u2 AdF6Dm+QPg7qeGunaoM+JAZdwTFrJIVXWsWrQXJopC8U1IIwYq4lddoRB2l339mqdZml+5mrvW2Y Ctm0eIT0eneyfNl7Nxsl4V+WLw+qiEfqrqxAvswInb5Auz9Rh7cLJVBU5xJ8910p+jdgCLyckfOC ZGCiUSzTGphgtNYr1KT9NhIn1j/5lP72FWsaq/uAPgmBsh0G1MHCYFLNv1yez/yinXdMb+X4BPY3 bmcNmaoeBkyjKO6ebU95mQT1UYqBxTeWOPOYS6xwfsD+XY/OrVkEDeQE5t4n7e394F9VBaGb73i4 VnZibXGwhRawHxT2Zyj+vsMcZyhq67cbR2evWDLhGAY3s4J5UpzKeH4wRlWmxaVOfaQ5H4aS695z zk/ej4pcTVoaWNZvr5anjGtEXBp1gZ6t3q/Xhbq+DCIK7E9quOclE+PGaLr94T0vUYZR+IRMutg4 3jDtIFfsH2G0gL12KW1Y18kgnNT0z08DKwIho74dSeTVpEO94r/pG9wc6cB+1iKpo30O+eGca7SN q96jBoHy1LZB86nI/fj0nq/aHBzY09WbaiU9M9yjLu+Gfw65kdzTsVrnPyiF65yEPzhsaMkCezZI //HAZQmn901Eysqnxbpx9Yoid/tXMqZYJMhbmjxjYA9DXrSEq2HFKnyCSvXZKlnyVrBADRdXVe9x MvFiRw7PBOx5zjiYP5WohadRXfhwpgUwcGTi9mxWK3hENG9HjHqOly66QBIaU3ILP1aFas4Yp06e 1qI/iFCdj/IUZiM2wfXstItfg49gXgcnavbbNFeaX4C9okCu1E/hJYG0LSN5BG6NpGSfyM0+zrhv yUtW0dO8FOTA3qM466sLc6lb7rzrrO7U2Df77fas3ZB7RyQvRODS3W4D2N8nH2VPzIhdWJQjYvUF WGaAB2CMbMLMibrqpoZv+VzfTXwSJSr+XOPzU79TVYbf0neKsZMn7xr2f31ar4ZjxYWv5l2iODE9 pkcTjllUGenCKmv04O2JihayYR2h2Xbh+nb6I81qbWdvyQqTC73Z6LfFsJaeC50sZXmdBhQfJOmL IrC/4gDXyChUyxQjesgVT8ef46BWmHzqGvtGYcGMhs1IqQrsRdervW/PvXAKi/cXPOiUhLG9D7SJ 5qPy3cK48VVgZwiA/TpKCe6L/RpBZ/ed85dWn8C1Kux32LuGAUMs9lpXkY5pYN9rIrteUFLn8AEW NNDXLVQwqgrJnj7/o3dkSuiZ7b64DNiT88nNzEs3Eg/va7lVFPOwQ7wLUqGfXamjIytFQoF1fgL7 IKrEd13LgOymRkRlrE+w/GTjiVv178/raxT9YRTEB7PAfuw+CWkSWk2oJ4os0adS4zSBIoDvD1PT qe8BLFchZtB8HWkfEzeF9TeMyPM+87RRF/1RERm0sWH/fFD2sxVkRxORDjuFHOf4ZRhV5Vk3lSlK YO8x94txLcOaxZC6l1RkYOaecrjYEiVZQDkutE9IOEvx576WmEmtrO8PkDcRcLBvsBbB6pY05mN8 4NZxkCAzw8TdbSvmelY3hhf5Ynz+dXTw0CachwEoftaHtssz58Hfh6fCLdeh5XziTkBhMchZz9qe pNLfsPWYtURRSURJNzT+mdZGVOhAv8pLMDYlESxwV4xHRv4tRb2oHMgRzHyW4Xr5iqX7PM0rZ9se wxqUCt1Bq4Xnm5cYI1Pp6Ucxwanp2eYxFjepmxi0T0IM/6hyl0KBUVC2PGX5RRYl8TSaz09H/q98 3OolrZPgM/Er32O0uM1wuyyGITEAuaxLGjZKG1TPPmnXhdwNRKar8HF1pm+LhkjwmgldrEYiAetm 4x1+bVKJ/gkEUjr9Vju5ZCtM19WQsb8ZFQrDivoOBq8tJ0tMKHeAsHtHl7uv7+fccHpLWOLriCsV uKKfZFfr9/I7/GCJq+SxpmdahSfv6TCYbWoqlhPDAaf9pR5m5SPzhdKj6VP/Mz0U7NTy/ComCBxj MlH/MBCspa9ZMHQG7YemOd7aIndgfzQrGDrRjQNJz4G1ZMc4xiX0p0aEa15t4ZxvZ6S7IuYvsN+Z +MU4x7+M+l33DKb2ApkOZ4I2uGGX9+1UEAW0fmIwENhftppPxXAEbpV+EuVkgRynvud9EInFzKdA WZS+GawIB1nHlP+QiIzDNxex0lxAOilmJ1z3mVCxIL+LaMWsHTOI44WfmLkvMriSMZ5OZmI/9wX2 Qed7KwlRcIQGAc58nYQ65rl++0W0xKscJkRvmdkS5sfAXuBoiv4PlhNNlguILUMXGmH38ORFo0W4 vsJtZIoZiFQDsEc83dwWVEPvbmXMw+nqoR4K6wy2NzODG0LpZ7vyPuZdAvYYINPPQ/E1BpmIj7NV R4ZRAYkYRg2IJrs4m0Eag6bc/4A9Nj3ftzt572ELbNYBK7o/j4bpsRiS2/q5jiKNbvOHaQfAvtfC 6ZSjvQ0KUpH2JEqK01flAMa1LXd5C7dBJkpxh5K3izp3m6zxHBYNkpomgVe4A2p0ooOad1jqOBvB bhCfXVQ2Ahk2m4Z8TbVZT/6kE/QM2AvvwMR/7E3eTaagkVgTwfhrHHHsrKeOQeKtv3RWtDq9inPq mi7cJFLHVWmZWiMeBCc1MHo92ZHByJuxnuyMmUBgjlCVzva+NNeemRElpYgjAvt2Y86peHChRpTZ NCG2BfrZvVqwLlJ2Nv0xsjpBSyLvEWAfr8sXMNw38ch/XzM+Tj5GtP2nBw/+sVBTfOc6FITUoxTY lyRM4Q2RfdxaYEmfes43bt9HOH4dBogGOfQGYkVi+NwDe+dQGhXaMCH2PxlbfOqBUO1WmAgxyQT/ LAv83fXP7DUhgX0tBWTwAhgY2u0tmlAM2OPvj4IQfbltj1OHxVvL5XQSe/pjdQy8vKELUjtOap1B h+1il2cicGOijil27vj+ATvnhpbQH/GRc9eT1Xac8JcDWMD+3TvE7Lif+FaUsTQxBU7cgaHZM7Bo GkSgvpTbpxwt3OUGt11KkU+OPpljK+IRu01aaZv11y4VPZmfHx2EHvj2WA4DJWecteK+qVT1YdIv UVlgbwMTxxeGSpRFA/ul1gzaq2rFAoX+Ev3sUtV1CUN33Gpu849BWDl4UbDSBM+aPnaDZoq6UKht Y7d9l6ihWwyJMyDB3UIKzJPeL5ru3Xnsvl4W2Nvoy8t5vsXp42JLgOWmD/BIR5xiO1Ksl3AoQWUN dvIyw4tJZeCH6dvkEOzIaZe4Dsm1DbLbng4t9m+w6lyICrXRu2Mb19bGfbBzD5nKvUW7F+kPmZ+k vS6dmb1CrPJH/R5NRuCu1/M9NmRAcLMmWfWz/flZw7/YR8ntErRIDtnfGFIz8fbVjRrzWx9z4tgd 9UyIkssINzdW9DbA481i8Wvk3iy1jBhUL8RCTLBLL2vuGNjDRCJOm/r0MjBnHSm8NbRe6lqOn5Pk jqbevpWctEZH1EJwfC4ltCqY49mVSj0WxaXFczzbwsnS46c0Uy9ExXzqd+fD4I59z76rURad+to2 tX7Thw1b7/2JCj3duQmFQGbbbVLYek9S3fQq/BJJ66XqbZ9ZMVAiM6XfGmb7T5stQwcZ3kizwxEW pk7KoXAhu/Z5X60h1yBIYTAFnrSk3ml5h+c4IHJtV+m5iriqOTIEdQU4H8nFaSOPGWJSVd8ThI3E FbNuME70FXxBUTdEfCu8qQQLfBt+rY1VjkaIJfdSiGSHruCqC11ZUlpzarOeqZMwczWbP1clr9io KYXQA3MIz314qEEfvy2YhSRJ+X7S9Vny+f2K26606dgHZxjIwVHaEGVCOfyKCfHHT0B3SfSgjR6J FMuzv4K6F8oTUeRsfQLl5PNYhnxk+G6gdmpgGCVSMvuDhHCKa39Kx9F7l/Bvn1gXa5Mij+tIq4DA KPHpPynC+YflWz/Qi/cLihTfutjNuPXDLD0/EzzXzzMmk7gII8iu8GpCCKtZJIOEQGMuWUHlFIiI MczVfZiTnkOcqheiBNbcowhaSi8CYlO0vYI3K+4YZNs5G7mvH1WJBDEvmJXQX/RF1DGttQLqRfK8 v5gHvz5x2FBSIYY0ep7Ge5AVoGsCV8JIJFt54bUOJnr0gyYv2/NeIL1tTA8LIRbbSQMHBhx8+x2+ LHDzrIZPuJaMc2r2cAL3bYUtGx7VTCSc5dlsAnIb+ouUAj2laDiWV9/OqX3Ubj7N2tspSA/seIYn mPawfIE9oqAbin9kRmxRz6DcxQ8kFsRdqzgUO4fJsZdoYfdJxFdgL+LXaOWXAn9asrha2IQ2oDzA nbRK+ib+JRJNC5tfp04F7FPtBkpb2UPL8vs92HkvgpCsTLNF8Qv0WuRSbuViqhO/gL3OTlNOyVra t3Y+TdFfe8tMVRgJ5LQqUVhRM/juPVKVzsBe0c82RMtpHyn11nLbDGw5UXPxYmGzWFLM3FI1dFJC PxHYfzX2gfCnq3lbXK5K55B7bNmwsAvKIW+dQnjUChlU3h0D++2vWY2u63Y4OZZF6abRJba1y964 qj998y2fbrzaj1F9p6GU8G4UXvrESheTdA5Og6IEpw/qR4WDiyv+UN3msNcy8DZXKqk1sEJfqlaB EHR/YHUGevz+RSa2YI/R00O9KIgRI3/qEi8JLR3t3rFGqpjGv0+5Nj4JyPtiMBBiEyInFE09UG9E QNVBLjp6CBjmcgf6HJD+nshlyfsYrTOx3/F1UCgC117yN17WEOOx3jBV6YkVwh07nr9zgOY5my6s qAVfNeUlhePUgXQ8s4IyJdwPR0iVICw4j0HOR3GYs4Yj+eXBl+MaxF6xU2cPBkGTQ4g5UHO4ySB6 vDYpndCLZ0Xm4v2pzA9mF3T88XqhxXTgAeyFOuOLzRQUhvOKn7W2LwVOQO89v+u9ENx+YDM3YdGX pwB7pXKBwuhegzMSe/NKy/rE47h+/vGXvw+wTT7n0cy/JfyAPQWz0xSrr8kKkq681cpSFfnmPjcR f/WK0pHMuuBHnnwysFcvYc4uxXJIUEYzdAabkm1QfqfZVU0yVWFZqm8Cz4k5BvbaZ8RIYpy/OMTd oJGstElb5gpa11FimfNUO8gzK8UgwGtE1jNGnmnCaLZBNmEhprc38d3Po2OrW0Mc44O77vkGlvl0 CpSZYZIZUVEuLihf/wD71lPNn1zqhyprurtRpcG2YX2W+IEqCP2b3DdQR6QO1eLzp23QKyqqLAfz Ily/Wr2Z26tD1WJeYNz2a3gWDPk+lL7Gign+PHmKwq4t5jZCwVSwQBAgiPJqb5+3addAYQkKNCB8 3Jma0Se5zZqU7ZmbyVK7KrJB1qOId0mgYJK2kvgQGLW4lW27aEnGfTDa58KFE0gi0P40VXWV81Tg xj4dbHqRF4mxR/LL+Q6hh6td2hWndh71qiYfqqmjJo6vQu8C2nB9FMrOy5WaO2LoSyDCcoA8xUVZ TMbu57XLevAaGhl9sDgQigUZ2mli78/n8R0f3hjuqmxRgPFg3Pj+hahphdkJt1zm6bfFSHoKf+jW 5HFCRnDxDGf0bx9ZulIHxITh4/CUca6CDSMnJoxehpPk2mMe5+gojTxiPmdHvB32lXFhiW5V9RnD iWNYZRZaq+iLeIprlX9H/OSzSC7MhRIpDFD9WXu4BHoboaqmYnveI7tNJ76tyQKlqYqZbfcmuKjO RHwT7jbNRKjzAuCcDiy052gTWKi9pTvH0EszXh3icFtRFpnM+v2wUzUzHu+BEySSwlc2pAJBsaU7 dr9fx+e3jqiVIiRiELPXnSbgKKaQPUbhN8KjvaPBHA7qeFnxBBi2Ckq41n3p1aHM+iJdu16rIuCE Fq+ei5qt0d7mBByXvktT3xQ8qm5cjzdhbljyWyC8jkVLx+k3DXzZo58F3SKT6ff8SJuXIhbt7H4p EwN35BWFHHyBw8fn7TRmJW0xxHKuKW52kovIh8BHFaHIoveGLfjtus+wvVWgqlWq1wS3pePIhovi owjZU40kXHwz5LTT9lB0Ano6VOdQ4pW10vBRVdGgMCA1t+np/rm6+Ptg1GifELyU60rF5M/nLGye iHG2CY41L77MnpR9lcwlJJOXXHugAl545cUigd3N++L1zuYK8t8V4rVGpNsBEKJPWSaxHnXK0Oc3 57nSx7hZQdXT48yKYFbSQT1/7enKDtOniGT2fym/vDGuvbXb15DfcvxiPMorZNk7uOaRW7Pd/o0m X9wZhFcNYvypDWkabDvLzjg3vyNIPGtHCBEc3Ctc2Pum2KDTGbDTbrcAq/w7IhZTa7zAeB4U+vK7 tFdLJuxzWSUcTjNjsTBVsmscKJyB1E1uKyVHUtCNxujok97f+latolXhkqATuIYVWxix5r41PB2J UtjeT8pk8qy8qO8WntAfTMLWWQ3Hcl99viFesyO6Grl0fkTkargxfB6/n4uPsnC9JqDjj95aCrMd oozW7rcVuBTSHAb+XiYx2sz8RYXU+Tm5FruJeOsXhy/VYd2dFpDqXcGko66hcEfw4bGfEmBb0mOA J4pQg/GXClqbRqKRb9KiojHISrtaFmuevOBFZBA79oOk19EAVZWUtIaT2ulMsN+9lLSzzq1Qypjm 2cUxbjQnGVUMloDYO760MrNb/lPn0NNkouVVeATJGuqtMPK3e7vbahFEktu7/cIQopYlDJbQUll2 xc/HQq5a71YdA0mNtxiDVWKtPqiJ3x24f2RndLF70K1o6tepGK4F0gZkaNvncmyh/uVDByPOXPY4 qntF0cMmynsZaHO7qE3roGCT7WTJDqXR455t8L5zq4YlmD9cMLEKI+a4NO/GENLfBKo5ym5dnF3A QrhHThe/gGF5mPd2FuycCkvRCEBRCtgWapSTf49LESzHcWBKTH0q7d9wV4nvCNQst80Z8j0l9ws2 HvtJ6Bq79/hXtAxfLJtF5xTD5c6kL+ti20CHBQt6OJafGeHeXvQbprZRgD3bpjAyLV3mzKke1UIT ve49SJY3SNVV95ojmvrdak7bsBBcR4Vws7WlhBaIrRT6ru1l6z7UIr7aojTIFeedHQ7vvnp1mQwY CfVrK3O9Dk0M9UJ48KQ0w4jNhmj2b5BQZNwp/95s37GouGSyj3+uK6pudN+m5azIWMxEW1urfO0e Co8oTeDiw+9k+uuzqrsaq/E/Iy9gWV66/tD/+QhxtQ5xGWwINo49RAD53A6rnILLiufG1Ta9cSuV WAX2bCvL7DrEKRjC4hbHuAJr9DawTtLHXMaZ5LYpB2l+WQIjLFNeC4ZHzqPXHAGCyOgTVbmlx8mC kgYpY6Hk3ZfoYiqB18grOGS+BPx//x1h3fUm1aehkg39gflxF+GNq/OnDc3qt396dxbThtPsLemE mURBs3aNbl8lf8QjkhYn/ha7LmyVTP69O/09zPvROf3kxh7/3U6qqgOuhPSmJlmA15Y0t4cPy3Pz ceRhjcO4yEY3sGdbparNZ72O2+nF1aMMuXyP/aHfKYVQzIkNE/jzGlR2C9hL9Y2QzJS6NkF+Sl4E UqWJXdJD6S833BiG03jLh7OwdmMewsINDhl1Sm/KZlhLZD34R0w/ue1BJ/B2chWe8azUErcQaKMb SXQlb3TtECryqQD7rhCyqJ/j/JbXDCi/MDgYsW1ZcrSyM90c+ntO2h7E3ZaA/Xdr+nXlCDn6MO8q HLKpsz4mfAPrOc15zAJo02FwluGNpTbJeZSWaYRZ9R61nZYB7Vyr139YF5SNb2ZK09TlpVvGzJJp ZaumZg/tyEV1CVlTwN7fdMUWntfatR+bqG7oYTLN9EY6uIse0ie78ZQ8X5ZZE9izrf0OsRLnnS88 yHpeMUNiD+p1p1j/5h8IotKIVcwF+wTsh1NUcJuy1rZNXjNjVJn2/WYHaUonMB+5NR6ufBxEvjUA +0OTAqsRzUXeyf67iozftb1U5Pk1vZyiD9eEiIqqRjJCGvyuCZlpK9FPxEOSeR44lx8PSJ7/7ITA 4LCCtH+ZQuukcbCzBJvZoL06nlQLSPdCjpZzK1pE7+nZ+PJ/a8oLzxsfpz5vZuCz0mkJHbkkP5V+ 0xyqFzfgWf5FkffXJjh/qLdxxLl4JMaiTittgL7g+YHhsHJlEpt5MIuXrejkJi/QXW9soFlqO1NE XQTK96Wee4JmOQn54nWze1CRS/NVzGLrzK1S9pcJORoR9fuBMzrCs4WRBg6Y9EACvDdFbAs6DLAX OeCoopSJcoKaftX8IaxDvqIiBXs6zitKnDwLr6AXuUuLiit04O292sZpPJYRZgTDBxH+01Hvx7V+ kAOudRhUJVzNx1f9l3sGq7fHDmWiVwqw36XgYTUuDbnZs8hosoqirXeup3CnL//UhBGW0v/a9I4D /+5gELmMysrnLV5hhzh2mTeBhWyOy9cuI+2vJ92wodvnMbNGf+m8kFj5VFlKz/lTUBwxWbE510jd 0TidZWzUw61dhUQXS6pV502wC8u866DTGGQU48mZgwX3N1mRMVIbsDezPcvTWoiXwfrGSsOwqVx+ 6YRHcvq3gTo6fUw/EMne3WhfxnFPbKiZtwOd5hsHHZ83lXvXKLadahJuK33caAg33EkgprRI3GXb ITWc70GfCbCnKDjmPnPZZ03m1fns+YUjbOKuH6willKLsy6X9oYdYJchMyIbjc5zzltO/bn8EqAd uJvsYI2C65FU7hJc1n7D+yd17MpUkUqqZ2GI6JiQNB2uQiQNmkqylIQDnenoTSKszRyFGTTA2B+U j8XkDN+ROOibOlbYPcWBxnbqdodWk1XGbmi5yeD3MmPk/p1DMHA1VsWUmjIYubvV61IO4acNJ7I1 macwenfHR5t5UBnIGR1jUFmyrK+tZ8qWE+sdrqyzbHlb2LqdJC49Wp3R51aGYP5GjL6QapY/kmWu YZBr01/ecfOY7jSUwcJVD/Q947ZRUs972r2hjlEt/hT+UlP03VvhnKNiUzlzQcVXMEPQR7HL+D91 J0F4oBw8xqQwZ7bBIB5EoxCF8pdgXx9GrVMeoxgrTBHhcTdarmOyqw2wqnAxMJNtWyeKLQ1vPj7U DQpxtm/lSHD8xivWZq2YiFjiX5vFGUhSjdyOYhCHTtUCaXYambUp8qx05GJXrTenMKP4WnYUn7Pe S5LVU0BBhZMZkgRwSY+DrRJL+O31l8i/u/CF7MOMehPwjmW3JmKenoR3dFKH4C6E8q9aWGfnXwtv 7/eCxYyokDn/lty8RGA4a/G//WKk6s9+UIx3Vzz5YH2L0f2g02i7CPwf2fW0JIiiA1C0bdu2bdu2 bc607Wnbtm3btm3bvOe57x+s2klVKmU10spUW0Pj9VsTerb8dgMR+RxwSO/Yn2N/vMap2DQ4qSWR lDaWKN9UmSWx1CeZ1rjJMpFbS/AKctqwxPRRdAcKEjkQH2U3Gf4+nyMu5A3Jvhy929IR8+pXQ4cE WVQR/BOt2IRuDQkh60wIX7djqPbtRiQjqFo6pXgRpACTk6kHTgDYNCmRKSWqWH1kizZ82q4iaP9q eNFQszYp8hLam1k42swQQ4vTVeyqLyKZoHG3WdRSMhnmwzi/NpyRYfnk88oa6HK2tQ16F8ENJhY3 CuesHedGrOWoEZ6MY7BGza131riHPIavAyHFQB8EZmhQ0fth4KbyNWMqXhjFB1hLZanRW8pelWaq 4GlI4S/h3DPT6VkxhBhhgJe2y570OOCx/nya0FiqSAV95gwJGlBm4pYiPE/ivT+uuHs08FCiykqk htwCoQVBi7Jf/bjaCiUOnn7EZ3CeB/UaDKbASaYygmNX2NKFNV2dk2+150JFAXJbT09ZEuNAkR6Z fRWQtYZcFJ/SG4HqZb3X0ZLysbZVQClaRmgk0HQCQIXapmPKZHXq704Gd/r+t/m27gHnRXD2IVPG 68pHUDJP6JW25hEz5t58E/EmElNdfRzvQC7vCIO0EFFEHPmFkruEOru/zCWlKgv06J9y/GQmOHY1 JwuJBb4imEYHmkw56x6ApXGbzeMvBF8ptGux5x747v4JFSkvlPhkmF0zzEl1XY7g9aDVN2RhriUN JpsQKE812SdjZEPg2wUGQGLLLnpFdQTqAE44ZdXZqqv/wAKlHv63KzSz8AqbPvF6kv7QKK/5zidP yhbSW4NQd2bKu1trikERSki8kP9heOmawGsMvzFtXQezOvSEcyzWCJJ9aoWZGTCRKA5u0zfH65uH EUlAgRb6XT9mYqie6Or+RpurO8pp5e1tRIOUvmVz0aHFlGENV79e4TzfSLy09NnXNSVOAXIoJuVq +4wn8YuqipyTJIKJ51s+RaZkTKYTuxfwAI5OOhgiUD3OUi5CYYjidbrNTNpAfmFhOcxQKAiOEjDH S6Gq4PWbrtYVYnLIgOpo958pMdrEZ3N/3Skiz0zMRBAVJrWx8w544k2zPRVnFb059IC+EcLl3u3A nXgTnvECdp+bJEYlTey9e+0TtpbnLb/YZqy+fIvW0OnXZ2LOetoiE3z/Sl70Nevcd4CR2BR131Iy KUu270fnB1QVxZb+ChgjFVY2g5l/d/vAznLB/tL1YAL2MD1mFZLx0GVEJihEaoPCayIG+Z23l+c7 2FD+w4jsMeqU2HwfJucsZee6SGpsg3sHgA/LVG7owkE0wMA1F9DQUpuQyZl7PceX+cpjm/24oJc4 wnxKAIQkkIoRQCJ8Z8Z4pK75CstM+SzsjsAzoiEs3xHMVHGB69fDQRGwaoEe6j9K2KrH9Rhbn0L3 vAayrutMQfK2fpk/6TnxTHPJBNzbOWE4U/YgBi/Mb/hRfnf0djfSkMRoK2WzfxILMVn+u13SnPrA oYdk65e9Ot02NRAGIoQnZB33GFwWPzwsCdFdMZp1qIyYxYN3SSrsuvJyt7oyd5nUW8Yb64VJOjF2 cxy8QqivpdwHUu8DAcdxiXVLXNXFkqIjQ8chb31CVmMMhtGK76o7WxGubcwtyI0Edi/FhsdIeNxE tyWb0QqyBApcO9lDYSNeV+HGdttbOwWAf8AYAzwXtKZr4HoBTLFRBPZI7gjXcQhtZuhhJLjcYJk+ KOMz2WRrBGB6HIftNVBWHHkN4SfTTrEyHrZe6LaszU4uQW59XUICbxfP2UqEn7hRBO4cPmf9SQbi snbQmZpEWHe6zsVZ26+DVwgM/wZedUOX5Rkk//RNYLiL7ZS6Sytoxb0zSFtgyOd/wmFcvy7Yjqnv FB4fBfND4Sl/5xlT00bbXTTHRrzXz/dRxbGEW+WMBAHCSvuhg8EVsGk2dNoJLR4D8SoYFlNwmrRS Jm/i+qZQ10BLsnX2LrJu9NFM58y1xa13Iz/4tiWQLwqKDrCMusbwmAzYAbst6FR2mNRh+uqmTp54 jhBY98kdpuPUunEPqBG0VetiDl28c3Kk33MRZ18LLVC/5MhEZB1+Qlf0WZNnLxSctWsXkfCoDGtF 74WfeY54eovTfGydRdsbKyNfzndfB0FLmLYeVxj9/LzGQL9KXW0n0N+9A3JIFguq60nABFQIKNvk RTTgQ9WFKT5pVlJKdgLMKDWHbF/3AeuxwWDf3GbI/MNPwmq2h9aJFO3caxCIvsMP8Zcc5u9sxVfj 4iqKh/4vC4kaD5MqCzghBqhyPD7Dwx/iBBi1la6IW6EYBor6453Vdho+Gpy0FM1EM+GJfwmzeljf HyQcVhfjXP/oPLjxSsd3aGxEE2u4a1HmN2uMj7pRyExykBHYoeDuN84nV8xkyuwleaL+tjq4i3fq QGdBQG5jdEiqknfpAtppeeVGAjFupG/udGbEQ+kOyGyPVDXL/j2GxiV0KwNiSFUrAYvF0aayXAVK IZbGPAmV4/iL2KsU+7POeGtgp2COKTNTv+np7IPRtkVjSIgrK0HdO57jLVGWyrVqmvsuruU3uqeA Ke5VQJdb4J9cHdJRir11o4KgIjV5xMKJS4AfCo/0ws7XmKc7mcpy2uENvmv1MMcE4z654qbtf3Or XqD+RyI9zurOaEyIB6y3Dp8w1o+SBqYGpqao/BhQdCkKliiICkO09y9nonIDMHjpeo2fySgIK5Px 2q4tw99S1Fw50Gqh5/Bn3+xewFY3v8vEuiyha+i7U5k6qxbd66NMQDrFLvfh6rCq0fpVBDPIYhtE ZRv710l53mSw4yRwpnhU2t5XiCOQIOCJn8WP1wA4WN9q2AG8B/OIWHsmOAc2Qr6zEWVHh9+elPJL rDbnBVXwWWh9ddykzP0rp68deuNznMG7TKRlEe7v2E7M9gwzpPlqBAnIbnDBRmHowI3KhnUayOmH fr4KgjQ7Yd3PqjENdhQeNL8+BmUs0RhZprmuLmcLLARRzp9XORN8Lfyt5u8LZIvrwoD0Mfdn8oAR lL6mZgw97vOJK3ieLaMLFG9Ff2wYAthbyADrTtg5oTEAa6/LZKaSrgfvMMrcpYSkApzkJZBKcTD9 7vsyhVkw3hj/e8k6uSO7mzNjHuKoC5/k9r9yxYDUDi1ziUao5TImkBZCcSVqi5kjj434tgTcdWGQ hoypj8iyQhqRO4HuDwlUbBHxxFLOtkXpDpMaAoJdHqQ6L3+nBDZIh5eUPiUxO9Qw1IDWv/DFZfeR WdedpZtD3EW+IljGtI+6kmTX4NljhN7KsEBRDkFGVdCEN9BcQo0YrYTbAHC+uDVdjt0fZpVq/syp XFPvh9QLqRTlBeaMuRQ8hmIAwv/3/z5TOTtuHMeiZLZRynKjrJOPzfDVHmwwaeH0BrlsTxDkSaHg Gfts6E3z/7m+Bw8zqE5L7vyRAmGdXDfekhDsdNNmnEUnqSwcf8UeNZcXYyrhHemuPtrbRGDfpx5i xy9FixlvNpRbHwyFGR0QpEaMjtEIRfcgLUEpZnO/k+2grS3n2Ld1XW6jbfJR+fKdPd04fmzFBsVQ KhbIz/6jsazF/OBSBma3a2QzyK60lFrxQ978OqX/9/bCqGL5GIuJC3TVLSHt9GQKlnTlbqsk7jlb /hHGMw6v/3OyTQBISnlflV7v+YzzNA61WaI8uq4NNzJlnQQMUMxn/fDoRrvFgDZMHkUL4zASm6M5 6ER1AKhe8W8F0dGfutfhNQQsb+vONeh/Pkh4glxS4eE2jf8aJVs0B4FbWKYGSP4BYsfJU04uJ2Hn 9ApZWrQN0txt8jVutTuxQZdEKyMHBc5eWZLDEi2B9P1bKuOG4Q4phzfjurHLuszcR06QTRMrVIPE 2T9a0nP5izdyyD+6Usvt8c5yV/szsrizlRqVIPFBS6ORyQkLHBR9ZRU0OgE2I4NqrLLudtpNojk2 vCGq3CrTsqhc8vc2LQOdrnWLfL0awgoxcDYhkWRwYeULjj493OlfeylL9qENaWXNgR/JMEADIUPW jn0JoinivmBONIeWemRnQH5BCe94J8F9zQ2f6goQt1enSHUl+JTxH3alfUkdC69Q1C4EP6CwZ0W6 kWjFcPzlTMB+sKaGZZgNMXJ8DMxpv4eLj4h0v0RhV+HHJg++Cfwiib4i0z4Eq9rBKgW8O4rQrtYT C1qrm7RdF/vcxkDx/VZDIVD+pl64I+QmckXt5zPbx2DRlqrpVG51IuEXVXFu7HINjcuG7y9nXW8n F2imYpMfRsIEAhOyV6EC50IY2qduy1dJH6EkGf+LWH9WweteAhcKb5oZx2ZQTmkJJTz/MSkqr0o2 3ho9CevRUjmZiQozSy6VfJ8o7LODxRu4/iP5qz4CqpB8VHaiblLPmZSJuNbvOHY8Yv3efgrA6tns fOs5Gbgm0URwKVPiA+I1wrATegxSH9ipOMpvM+/VK1QCbv687qrMnse63a7i7xZVWfLsfeqiozso VFKb3axt6UHlhvMZWWUIwPsyrV5jqHCl9uSzZRjvEeTjjtqAV/jpW2xTGUUJs+R9Jhft9UJRjtFG 4ik+0HS8lE/uOm37LzWCLcNofa8RMNIQjCkF0RjvB4sNZbp/Pzye2isztMyVBpoI3t8/1raevgdT YxL8AkehCsEgJJZ0txrDtlOufUHOmpDvoNtua43UVQh6dwYRkII/rJp9VqGl8xoZcq8FFgTti+VV 2+4Qs4nl95OpDlW0BLyqut1tTogYh/3Va7Tbx5kfvCmc9PpXopirtW48gyM+DFPoyeDcP99isr89 6ITJ33H82Yjg8K7azKZY3iRBf+9Sic1W9lPMhIsPHndH8BAKZCZWgCWWjNO7KkC5bWatA44nk5Je bHF5KVmE+HqoYyq5KewPZ/95Zge6+11+v1hFnPuFQMH8JT9bMrCRsA9eGFIlTsDZelEzIh+7GEnn Z0iuPQ07zTOAfOWBY/j320OYEEkbtsuTD/UkMz6CwsO8sLJw7KZfFZHvs5BwErXCMra+aLf6brrk U0qvIc1IT7hHshz6Bn60AAwYN7C0pun7HXIpC0FLymGiKFSxY7urt/cWY6j/135H2HHenl5yWHHQ iME5kZD1m+ljhO2REQC1Xjng++zTHmRWrrx5e6yC/reHU8zCkDnwFARX0wZMBlR1/nXtIj8Smb5v 3uNb0oEbghSJxrSNutrkD5oslXec77bpa5hbCMy8Vx/sJewUzoODTrceOnnnlmv8aczA5kz24XgW VWhHL6aGYavpXemAndHPd9NRibEyQTLuHZn/eEA1jST7qdy1kiYlI2z93b5ziv1vT4KvZgCUXGrn lQ96Zd8/pCS4LJjrkXLV1qSpcHtNxi3qQstyfWNg4PVU2Xebcim1roABqxiqXlpb2SUMYzlkeSye J5lnnBRAfF+T8FOSpqDzyta8qW3YUKouXjlq56KAQybZplE95iVlBeIZzzsDuDoKdXr7rWhY+eco a9lVnWn/ZZjq8O621x63qZCK8M+irPRxaf+AsSCqmkhOgq3rIvT063KGczvp5s6LgDHfzaxDW51M aLoioHYlLl3Gb9tUrFK3irMF5dZY3RMiOAwa+dA/nT+kDJ9IeFfsO/yB2NC9+MAviwPzyRdsCI0M u1X0PUF7uJ+Ib6xXI+BIuwj+43dahd/RP+Zg/SjS8ufHYpgElSEfDXs+avY1RbZzR821r1DiPUNS cpThMedVhhF/4yASTYbWE9M/A6kabDMQdWvLaG1TCGxZtgTh7C0XEIU6d6Xlcv+AIxGMstP+FPil sct6PFyUe05rCTSvxsuSBJVButiX3sy+O/b0iEwNMHEJYcjdqIs6k4raYcxz6558x9aBymGAmNN1 Y8ddBAM0j+DR3rfTVk9Yapn7+vC7H5yaPVc58ek5Z8BW/uOX1XGDulGjubA0yI81GX2t8aYcRkyx DTVxkx/eHIeoIyURJ0qxQgLjEzcQJ5jzQmKCj4QdyrTBPkpxkuAzhYSSkGUIDpJbeA7kDcCQ9U2T VLXIhK7vmNHr5gIgmeCHBMN9UQsgiYUFLrYAYM40x/6xhjNaxiIOC+hTwt8wudQDCdUB+xEK84s3 zFi0Ijf/eX4Sh8KT8CaptdX0Z3ket7m4zFCISr5m476eZ9D/yF/lTRKhiOKnC5eYcdBJYTkLbtqk NRhjIrsGYoATLvj3ZS8ziWAG4/Q3+RyOtput355KUW7LyTKbesrPVE/tQLvNb2Dqu6yVMeLm72A2 R0jZUbvYGH+p39Kki5o4/NucrVUetOzZzZXL47vM+eM+GvR33VlQtOvS0YWEjqXuwsQcxk+LOaTy 0JF29mI9v88kdm3sskZE7C3EAouGAJ98LYzHWPbWal8sKmAATshY+YGAuDETHcsZGuPH5fQrpMX9 loKgtmW4+bBviFX66PqZj0pClwOx1cDYaADUbBjQ0aK7TQLs61Uf/WLRy4Z5alobPKux+yLOxLm6 9KzSiibNMYyuPNKR4HMOTC+9M+F9KHL5EYywttBmGN8BLhEhcSIPFyuLWbFUkK6Gay9JNNGJ/1P6 wo3034TFYe1jUURF3XBN9Wq1HWeID3FbisJs1kEosQQT9Sw/gq/nBBNU7MM8ZErgJjoxuKtBAe0T EQWNeEa4W9UfOrM9gnAv5dcfRtdP2yuO1E/EWha6DqUgxTcnqVP8iAPp9PpmHmfvbqhysfPAQ8kR IDcaeekOkvylWofMBNzZ7dqDYgemdfmSKBpC9REbXi9xfgV/FAjeMtdv7knwMx8Lgp1zZFYAtkJP aNboNetl6TJEdE9k26mtbRObROMdyjct12sE/5H9KodsjQFWwFU2UOV8n48H3BJNzxoxaDDjYp8Y O2+cTBC1BAY6JOaJhyc/wVzLiJ57sgjSJ58+vDjrK2YVGTAZctySWUP2xY8Oy4ngwhJAcBV0dd9Q R9n7zcyqDBx1ubL0xsk6sssOhB8MJiOrG5WDNItI1yEmWq+6QSfCIGvpcH9WPPegM5nAGVTAq5pR tMXRP5QlE8mD0SULuIBUNB3h1Kl8ATyTqY06SYmvlRRfx1XLPzDP1yHimzXa/2BYDtj2C3j8M+gT cqgLhCXyF84O0IsI4PMSgdTelCXZepZHPPHKkbktCowl9nVa0p4Yt5I7QNZxUwj8heOGSMbfKvjQ Rfrymu6dBgGo6BNKuaNnJkctT6d7e4oshVaa3IfbMbz/1ecvvC6t3B/IwqSp9bSoN//2iEDEA8yu UsjqIQBDc5g6dhAhNlhC3LBJx3Xo7msZurZjLQyj4d/CMlzMP9KYTqhCzs0PCKRS7kkljN3PsuSZ N6besx3tqpn2/1yuxKKOcVpiqTDL313DzA73lqeSfetI9nG6R6wc4cergXzuFI7X+sKUEUZaZvFD HOO0jRuxiK8CV1DdkKU1ZfThcCdioE9RpERM2qpQyqekzH62xj8Ppsh/e7z1odBp3iomRUdknFUQ lbbdcXsk4UDyK7YX8hzM9dwXFyFcNiBpL+oHIzaUo9VqdOp4bRoaltQ7du6/dvXytDkxf7gUJQqm rShwcPB9oSYSWxaIbwlA2lfwlZzt+oxXqz+xW8gktxp/t+5/56dOG8NzU3z6smxhsyIPt0U/kVjI yXx+eDdIiBU/WFo36slm7iS9RrHbytuK0hbEZQYxHQjmR/fS/SHoqmuulafm82CHIJc1h3idUPrs MSGSERh5e4OvKpIQ3h+1EgO3tnTRCe06nMcgQwVZ4IkqhT3oNL9504ADinfeo8qNFiLhgUdY1OXz jhSPnGd3UD/TDDhuMxAyF9lvL+rG41DpQX32yq7WzSmGQjys6TMRi68mtxHb0hXCUAsId8i3owQf nlJAcKVfYJJPvwBPs0lBQykrnnWt5syhY5ObWQOb0xKQYQpBb39ZsQi9ru5hsEcWjIu5dz8z4AGy N+s0HaVkufyKycz/oU4KFBbTe3liZNOR8PFJBrynatrmJ3C3LvK0cJQ2TtV1DoY0FQkywoC2iJrD czRskkyLw2wMu8fPUbIYIo4GvwDuJkPCRTFoVNKCKgUMUMvcUb3UBv/21TjHP7vawiWNPHfv9OGS TThqR8y9jv3Y+bK8GxAlgYqXRdoIxW7BZ9M1RboDZColCVwHcXZHOsjUJtyTUibWV83+wAMfNPSA cqCmoTToEY1y5E7b14NyWPxDtqKzEPu3PeyGsL5gvMkeeWFnlIahbe80np32AJU4T+hfiSXxhDKs oO330testmRQrJqPWUXgilHIdQcUZmsuyn7BBmsY7cO1NTqqfyh4H+U162oSdQjEfTSTdCyCzo1V hUeixdGfw6/0BvyeQtgtSengOOnUTu2kTi7bl6cbRytQ8Qz0d6vIk1RyAA7S355iWV030/HiigzX mOWdDiv2CkA470LTwMExXfMw+OF8fFy9zv1N9miipYXM9onlRhnSZjKBiizI8nORusxtU7E2p/zD RLwMJBLyEZX55DKQYbtupw6YrkugBx2/W/myxu5o1R+abO82I9yMGtRLo/m3Knf3ZqxCGwSlE8Gj 2/M2+t8eJIYa+dSNKxCXvese8kLgKkZXZ0sB4JKZf531OLJHFF8OrRgjZ39N2/eWyeSdZdobMUin DLksvoMMmsKd3NZCXb1wJj0gVUJOC4iDIfPdS6OPWHSv1mRDCkogRygClz7jnuwgjUz1FdJJwQko 4LLjD0JZgAGbBvS8fsbEEUSkQNp+e2YE6FZYnHf6LzcE2Btg3AJZJL9ok3WPyYsdTc727Astfbb8 08PLisFJ8vbut9m9CXCzOuOjsUlhQNsd8TwrCZUG96rex+rjMH0Ii2yMT3T6K+DXrQR/qGnjny2q UmSrrIL1XweJyycfiSwXsQfPiidOhTMeT/wj/pqbcfj6XqrQ/fYURucEis7/eScUUOyoFwnX4HLh lHmTcmwfTDNOSszO6zUobFVQNLiLqm/xNKuurwTdqJKtwuhFMpV1/Vhs333kZNzsB6uKSll9O34s 11WaVoy6YUDUyqzcRSSlI8z/9NriiWybfiLS9NmMzuIDQYvIkr7XALn2kJ77fJ3kT2F0RlkMejfF 8STeNu9TIQEi0fUozx0Qa5gXoIdqyMLpgiTuuAqoWzLfz2Um5w3kQGzCHUinwlr9K78IqsOYOnKk 3ff2ke9NiNVcDVZxThdcrUFBb0pvjvp43KlvQKg6oqLzKggpZnOr6Mv/pynFfkYsgLOpgS2AakbR hAdF+6WxfJOhGdzNV9xlJLCb0OEbtM28RhypAmlAObZNt1a6bwYQjiw13Nj1JT3jyj3HM6oZ9dSl +QqNrSp3EeSWDYlAcN0VYTa0mOwmvp+4IdeTetFZtdRrwnT1Ka8ZmOlGyaQNMSlcxw3DMezrPe8+ FVfI+Q/y4NxoS/S4ki8E1StCXplVzmFMnkd+S1w01lj23ffK8IiPhHq8xooE389aQugLQF9fno8F GqTNp2srCBqmKOGzc6nJUahu+i4XDJUtEJgYawvNVd6KLlv2DNqDKPap/DO1dO7JWnxU67hh79gz 8zKP9KfHqW8LZRveckGPr/xm9OPpreWVpEHWR77uA6GKlNr+6iMQuu7mvwVxhL5m7xGH/djqeJuT fyVcS6dI70l0XGvXZ13s8QzjZ2ZFiICShi7dHGvdyDsYE3sWerlWTVS68KmUiIekeoMDVO0zPKaq Yx5PlvQ0oRVlD6t1AKGrSD9V6/1cwaVl8+oWekbhy9+UElg0LIoYq2Bse8rKjHRGhiuD3PTFSt2x QBjwNNcwu6L0OMy6jSOwoNLREgLVInIFtIXs5ipQdrxzjraUwfd6XBeRiSKuk8b3zjunX77CnDWy uymk/HbMcSLhi6KH1uoQNGjQmw7yc24/K94rsjZvHiXr/qNt6B6ZOuqbGrSubNDOtCjRmSIVlaA8 cN156f6wyYaNaspc4LVTqHeQ9gmMHgqqqyhc6E908dJPc6jnKf2AibJnc2Rc0Wl/XzFjaQFuwALx w9JYbrKupgVr5UAGM1M88clO5l0sKMamJsY8HeKUzDFAMIvJAI/0Dg30MNICqzr7XeTBCeT7RIZx QwFvKd479JkUZzjIRgxO+0v0sjI52eDe1RJ8Qwn9T/wRobVkykRuxEDXtYqGQ3MlApZK1EJWXRCf vdI5Y5L1T8ohJhZS/IxE/XTOwQFk0JAdWy5lSXN5swtBUPQ2QfsCbJO8XzqBkKHnWH8sSFo70VW0 pPP+RTO1DVyeZQrIiDXojxP36hpRfQHE318qpKBthZQIIZEK7eLwi0o5NAOFV/cSqG+1F7ob5W/N O355v0L0OK0jicbbdxw1hs3SCxPpxUOVQ286u7VBqUoSEeH3LcCqOqONKN1r0Jxoyo+sX60F1aMv NO/F4QTKi22x6p4s7cbxRpTUyhDcbpI6ZLsC/n0e0QNjtlz47XGe5dRUxa4djL7Y5YRK4zNKDTLf NOffiByQlOAs0xseGjQx9ibtN5R9Xqbk+pF92hjfcjlzSe6ofdjKrTcVY2NNnEoG5Qur3vpivVwf 7ZuiyDP0hWYyp6o61F+OgFanK024hENiySe+JVbbZ1+9VA9rbOdZMuuD7Q2cRmpSUkRu/+3R5Yff HnkYrwYUrHilr+5ScQFeh+ZLDeUv6URUjizZdE07C7L2DRh0e2mCgwUn/Gt32aPiuVd7CZeWB/5Y MH/ucRgRAM3Rwcw1/OJeuGtYHMEE+LIa71dw91R6pGfwSs0b7LXbKHcSle42JCgiQuDLYClndIOq QXSmRtQecB4JRhVpqZ/pl1h8E76ydEixTBE3jXaS4ez2mZevDE1UGJjvgJvEQmqzbX9x1DQ0gCGc cGquxKU2WweAB2dWtG8jKwWMsK8tez4etDFw21ORTINMxjQm/SxSMAIkxOqVYhmjKCsuJZ1lnntl pm9rSdiRkyjghbyRna7hjVlNwCuFH2QZDN0snuu6a3iESmTqDKT1CP2Y7EZ/tD7qQJrGI+D5SA6f 0zQWObg2iHWS82YQkXzf5z52A3gM+A5V6Xh6F8evjs3YBPWqUquCusf970OSB3RN5vxuoEWYU/GZ Cyo7JSbJFAGPLTCvQTQFpWxRr2CzU+4g9QbnhUmOcNo3SA+5NQVyNpWv7sj6PdhlOkdyhWZy0NJX QhWIaKvsHuCz3MvZfRp8Gh9/ncbGE2euCiFYfryYKTAUnvN9nu9LdSGSjsb6h1JNOEsAjpLASduh 8ucfR2EZPFT57fo3/NVQKKmL6IdwIjSYHv/NqTIHIcygg489Q1DewJKJMazevuS1eFmhOqi9agVh h8R2Hp0oMsb0rKkrzsWa9XHl4vBbBpegjCjtjrQv9qlk6tEjFKOwDeoCYorHPxl0t6uHbracamjo C30eVeWa19q1O8AGwZvxI+A0g+0b6TvYdPj4Egn5tTMgLUwQJUkWtanq7aKUN4ufVuxdJNxT8pRI G5HjIsFT++q4XOdcSlvl7w1afZnKyCIRuAIfjOCGblgSTq2XuvPozVoahCKgMMmuEQWUoQodybe6 EI+WSGnBCqjnZHZHSlJYi6TUJnVHugO58G+ZelUiuo1bq2fBGqzQZiLbea0DtpmEt/6BHrFSGE44 eMNT+qq5b0Lx2GEJa/awIU4rrwh4t6Ypum1Ped0LQQs8oP564UCBv0YS2fOPlHMqh5/Nnsp0Otzc Dj/Ohi+URcKJfMB9L6Z916+bkl/2dV7FAmXETuFcAFUmbp5kObziXCRDfVZ/cRh/e8QCc+3Mja3Y qqiJBm5nH67o1bQJf7REgVG/tezegZmAladG7OIUjWFfbKrjWuNcfDFvDs2bx7CkpgdxB+ha4phY Xq59xOuFqXo1sxzVxNUeEICTI/1cDDzdbRlWHDV/1LUmxDa7QxwrwmoiK1FTFxxZXOXKZYw9hBq4 9HM2sZTBfnsm6JYdo5gL6J1vB1Uh4J9rPpNUvG1UOXqT7tz72PeJoPxXrgIUY2aWJjRKDy3Gm0Uo m0sj9PStqd7hv6VhTEg6HmBJvOec0JS2l8vi42Aq/IhpjJYfwOju+1SfzyKA8H6UIEmIBqnLWDVQ CTkXpkC3B0BDwVRK01/fNiVNOhqgGMBsePhxHgtW5wHryReyX7Lz9+0aCfswxCaF0FuTO5O5B2IK t9qv8tdAj+naiFYPK8mrVUKinSjNqtefvwthRhOniIues7oBj1AqZODfnEmbx37Gr/RIudPzej2u FuOjiM3mlztSKkum5hImywqI4gNFVR5PUyK5hPnGFOAavAvzeNvfow/UzJfobC3qDvISgX978hC0 7NVWdwmcGIY6cd5ABnwPeqAGimGqi+8/vQ6/ILNCjEWmIVtCUl6Nn5HvxDTaM9QXDCN5eiFZME4/ g/T9yx/c8GtnEPsDcgOlt914OdVHUHtOnYpee9HbQRkzNfTosy0U6s/SjSnLjhpkDWI3fR+qJ+11 5c36SlU1XU3GOq6M2VumZyz5hpVjphHRo0j5eikBhgtdMBBF1OhfaIZYirmff3RNw/B27Q4HgjM8 wg4MPTSB/kxxf2HUnFT4K+P8iPWifOvXkZMbSz1b9T2GwAiyMDGZ8VzMJiSbqs+9R+i3ws3G2ac2 uoykn1IyMPfbJ/KP9HW5AlJiIpLtMY7QCH8Zzl4REfqNsmcMURqV2M/jSf7RKsxkppT0kYOK1efz q4qSrIZ5JuTTD/uLamfLKzpU24bw8LoQ7gjDcw/As2ycZTRsjupfRUGDtAeUXZvh7hVUX+QmCIDE NJHSMQ6RnB47+QZzEgjEbDv9uBPvAoEN1N9asJDo3JpORgtUKsjo4AfxTmr8cJoZHZGZDQUDDz7w 9Z1aqMo3YfoyGpRMQL5kFgTDnEU9tttMljP4clzx4ZFy0p2IUoyA9qEXwXI0j4/qgIQJazqHd2Yc a8FpMVtLVJERubeQg3FtOYrLvfTBKMzZ6gTDSKIJioW+ZF9R+AGWPqTVv/aQhJ/U4ULFS+6QYQlp OIsE2NlmzLh0PcLr/5nI699Cv500EJoEZnMcBVb16ZhZCjFuNWK0B8Qirr3+22OIbezYWiHPGMQo CjhsBrt02zlkhaRtFj7psz9YUJ5jMoZc1xCxeqk2K5EyG4gi4mVCR72wRUgvUIQSY8tDOXVs294v LlGxw26z2fSMHqupSlwb/OmUoNF0PHddBJw8bAJymYFSsC6dFLaAN1Q0tal5rt2KHbuPo3J2M0/a W22a7eqp6IjLAyVFX/NBnAmRk30gmeZ/7BwHONeEPnd1kCQ/BbeeSzmrw7jHHCu/DIaNMtwQJZEx oXy3niXqDh/5uGfwByz0gEal3LLgVnNO8lC6+HgoL+dSSph2gk+z1JHTyLPmwN0vCVVq6oY2T3ut XyVSsi8uh/a2IAHV1PLCQF8npDQ9FUTV5toRZQnsuTj//renwG1oojkxMH3rvSQl6dNumAHw85I1 TNJEi2WSekJfKDSMRV1UuMFUqqCpPms2fLEKco8KDn22CuJlVOAkKwNVkHTyRQltaM/cEA1lVFxr NAIqJgtoXHmVVEnMTPVFZE/4uTfS/fPs0iF5vjkCZfXFN3khhrZx3uQ2klfAnKqYQfO3R2vFikjs KBVTBdbWdueVLQ4NTqviof2ZGffvo6pQPL84IzTItyVmatPIcijPaHXoRHSs5IriPGJNbNczZwfq NYCUrY/0WXIhk39VzeVRxxmzigY/x3NQRBI0Ky/aelOMTmfSkF8CSNQaJwD9nkYDHg77evWyTO4u 6tvLtRMn6+j0bw9Gd5anRw6cp8UxPJ3igXkOtKl9hEPYlxI0KluY4KpEBof/LKscNWgFxsD5VKDg EUcgTV+aVpzX7f0m++fdDXnmj6PLMO4iMV6Xm7jlVL+lxGIAiOl7ygLXqmOu53DUnLigoJfmMdhU shSvK7Cd0Jey5ScfEyyjcYY2oH7yOgz2n//bnzTROf2HYXPLbmW7Ziue+2217RKnVZj+Wln8Rpn2 fNc9xZ4LBBCNEkZfE/EZzl5ylMjV9ZiFeNZo22mLQ9iRimunLbtOHCJaJuev/rU3wfDqgmiFWz/J yL79lgRxsD3cXv9DsCcbhSgcsLosEdC672n2jkxwpDHVR+Q28jqjWZLfHi551aZFsViS4tOZqGjT b+ijmnGf6jcvGx1Ca0l/YIT83oWuw8WHDBZT/bm65tKpbpHg+oHQoe75Ugpn2UPzUYWmPqLPaM6n 2Dm4qP4qnqOxqx39M7qzy4jK4ErWiC3oMh54bK0UN/ZvpmXf9t2G+6z0UueQCDgbAV7LW2KKRAz4 3572doyX2f2yZp/xDWZAthGnC14fAL8wXYR+zWCGCr8sIlFmotmahbf7TpEfJ1qbe4bVAXBc1CeV ZWf9ytbRaLiT4vOVFYlmj3oc+dFqqjbA0+zbKA8/CtWkI3XqCOGmezpQsltvDTHrIEvlNP2YbEqt Y98ww2T/lIwly3sMYwrt1IWReBUDsGvXR3TVvB1uyRQDVgNrVL5p6CVobDjVrvA20diNCH8Y26el T99cKxApfEN2/Z1SqsoVaXkBX5VGJO9MWwYK/iS76Wv7MXj3Fe2i8ICLmtbHti5Rq3FxYwhrlkWh D2N4glaIoZbDb3SJetJ6nj8PBckGp4I6NWAqiwQtNebZQJFTUG2+uhpIpoT+zL/7MOFGpKAX/w3c PRh5FnnFn5dLeGfQlb+qaLs8TaHwB4aju4t+3GR1Tww9SEEVcV2vhsmonD1QgHu8S8HjyO+sFS8P mKUUhbnSIdzKHB3MtcOh0wbk2jIcCFBnRV978evEQYHllFQrAleGqLJrQMxFIfhGFzCY3jlEkIOk 0NmVJ9X73afJJeI7xyjdzrkvzhWXJW9kSOInAwW1UV5rd8zJHPZo6p8lYgAwb24jjCBGIkgVjkob OxwsyzhOTex2UU07FHWGfZ8eCMgJL08MhC0p7V+kr0MFbWmD8ntjomm0+iEb83r+qLZSISl361qi 6o1gqMHyt1Z/+H/yVJYeXtfOTrH1T7/7dA2vF9dkhNnCMkMs3COkBWojAjeJTsi0yEQIgJYPLQYP 0jO0O+mZDWIndR4uWIeQJCGvxcckUidQRyACBnqZoCHz79gzxCl0iajaHIItRA0Nzq/VnAyjSGsm tQmDsLSl6z08SGsqMpU+GArUWipdbeSGNsSBxflhbdp1+CpPKsX/9tDPHjY1P7zJGGhOaqAi+Hog XWMGE6HTYs7NLl75Z0dk5it66ncZaRok4tVUJzPOhaLgIds/pbQ1GBqVTpPTogBzfAR+xiPx0HOi uI/jBLBhQsh7323FDSNyUqlHNN5H/Cy4wmmDo5eYnqFl1QVpWyMHDGT4u4FUZTD7PZRtkvCQDolG wubcqcA+ACM/dx2EqKxi00SBupVY3fxNYSEfYNYRhciYtqWDx3YZcDpQ5WtAsGMRXZ+UFB+8u6I1 YbXwxvZeSPqHkSU+ZeINs6QLDkyzNAmxdOz0naBFCu26vG7tcyhxgIp/Gey43M0QuBt/fc9TtaRb AN0U5LAXWzieHzLCi0DwDpID9CjMsV0iX3p+TS4JVEak5qGCpfWy8Hpg8oA+4HqR7hByRrB6hkmZ tptAa1lxU/JPjIoXnb2sCt8Uo3opPSnJ48YUjTM+fhm2aZ8LZVVevceDYjSo7Wy60JWNFgXbF7Yt QNq8To3GkO0Jh8k3V/54lUsTZWAWXVrm5c0aYojF/vq882CVrFmEAvnDNcCB/RaGC52Pl5Mshh5s ZLJL4GFh1BbOhPQNPRXODwajj7V6thH4YQgKdtf9WoLRCwzeUTLKMxxdI6UfCRPErY1oAvxaUU+U DAGMTl/6rszHtJ7APzy/d989X7akKSJvndRvX9edu3SOmprH/rruuFw88eyjy0Aa3rA+i1279Apm qU9ZsPv9YIhKbYSj3a9Ql0GWqqV3Rs4nuYWGKLoJbVkWNQboeX8jXrnvUanxFRySeQmN1bnlBBUF v5FMSZiZxcJxShD3X71q7eSpCqFVpsE3VDv9lBwTBCObarajiq1EZ0iy+KDj2U4N5su82SDxE9Mw nrc+aYr4HxwPYRDpyIRhvkHW2cNu+lcAs7IbYT1GzqVPJ10mRVLy2OgC4R0BwxMs4Lcsz/ERvyzG /Ow6asWaGNFx7WBLUc7qrZ8jfaZmRPXnNPZqoG8caw97t9hOzZ8OmyF5bjMHpMQt6hzpqedVJqAr V6N26FDFRQwyOGGfPAOcweGYZ1hoY8DlorI9LhGNyfLI5VYFo2b18+EeeRiFp2r9WwTVYvEtbkal m93zdNlr3jRfULdCYE74mlXAJc7Oan46Tk0GcDKeF+IenN+eWMCWNSEB4bgbgjVIUa44VijhyZWH pCruYbkbF9j7fsWI6vnbjGVDZ3r/vM/NLtE4FoJs4vSI5r0HD/GbnE0bp3INVR1nTBuyCgpsq0vD 7fDddQr04ALaKCUV91CGf8xgwko8i6xN7bRGf4zcVFLDOxMCmko91tYPRhBk0J+l8y//z5Ohu4rE jzYm9Jw0n3uqVVBsOd/n8CiJ1oz6t0fRrBNk7cjMAridgMxCYeTaXyAUkHZROlXA0FT/brPVM7V4 sTD2A5dQdzIOqdaASO6wenKXblxf/H5Dbp739EkzjAk/Od99kG05g0qw6wmV5CM9nPmGFtnIWvpv vdm/PeI9AGiM3x7HXsdMM6Flf/JGFi7MUaFLsDSNRiZOPWiJoI7TQJlllyKbbXBtIeQ/lOtci+Z3 8UYgcAtMiYXoUW6Ij0kw4tp9RvHMAhX2/CrWXh78R7sGBUy49IhgU/LImSCoyWako+EeYb1ZOz8T bW5x/VxTqxbuU2mSrzgvfwj9HCAXrsV6aVHxfU4bmiRw0kuP9p2S7pudiCUUT961tLCBq8QSb6z2 0hdjSWEK24nLjzG/FnwOIioj1kZmhaMwObwTqpnZGEPoqdZ7+0+liA2dQEMgtnO4IfjHBoZ9Y9a0 g/HhwIvCyzu4Ey+/7XuW7GqdSIWbMKMkEUSq09jdGR3Fbhrq6d3847gSAegE1rCNtW4pbxYFnH97 DvtrurwY65COA76fCJ+M3RT6L3CNu620oTL1sk2plmIvWhA8c8aqTomtq22boT/l5iOyqYfzWhQJ L/EVH11fvD63Onfb90wGQEJG4TYF5nUSkt/tkZcVeuREuVS2McowcMOS5IG9br9KFWEr5MR949dj npb2OINMZ62qnoX6Swh/e2DcQtzAwOpPNJXj+aMyKbJC+6S5bZ1bPP6FH2+XgnbfFCsJFRtTeq9n XbJ5yHeCflJGF5ulWP2JUixJ8ugOlPoYcyiTmwiwpMFaZF2vz3+0jD1wYNgRhejqQb1ne6KppPvp tRDZfX5Lc+OdNlzjIRzqoav/Zo/n9iKjTNmqAEBX+e3B+VffR9BuNSD7HHAWvTX4yeJRk2qPqffl 6BFfzKniBuhKnVwO1ThbUA/UT47fUaxHedhKtbnYUBIk7WORKILnQGvvcRTp94Dnlf5VBSxt5tBs L3Zb5+4XMduRFXmRfb2X5RAualO7lMTtmNmaMltYIanvZ0zpF8YIqF8crdciNfnbk9L/ad4RzYgi seh0zexcgzh1ZkcsKsVn8a9zkUHPHRFMo52/rArH6Sii/K7aHjFBPF0aY2cyO2QZwcHFM68LI3+q jw5Z/fKFpf6S6nZYmi5HMuvaMCwkYi+Bd0QV2FTy4nrGYbFFQd/hcTQ/Ia9oGj1ZcFljKw3vEc9t EJEUZo8Xc+/5H4dVbtKws6D7ZUGvvSb5QldxLdTgzA7HoQCkwkN9V6XcKZl0wrOZKgaPkqK85MFG wCf5Rk0eU9rkd4Usgo/r8tBICpxg1ehLNEwwv0/dgTuJ4X5r43+v3cynZfg6X+JMK92FgL8giT4S h8gwVI6heSw5Yogm/QWlrWD5SLXqs9lm8QaPNGKIKR9yzzmwnTH8zPdgAbQ6uxOxf0WLiWd9WZF3 t4mZcO1nmcve0araRIwYa4ao11J3ny/ovGiyXQqK4DhUAdTsIBqDXrooZTFpQ5h4J3HEFHbVcBl2 B4vpjffDvdKt0vCy4VShzQs5dK37lD8aeH2zEGyH/RLpAHtW0QEdHGq+Ey6c8dD1z2H9PCse6ZGR qyYBNEgXOTvhbw91eio17fyF4+TpYs0xZ9f2BrogmIvfvVnSJqHg4tRT0QXFpRU1qxS1FN3qH/NC gt6s1vfZgDk99nTrznEBvoUyu1D6Mrr1MvDPKso6GJd/KYarxVVg/fs2zS3Pbu8O4tCuFmqkLY7W eIlsGgXchnNsEUR0JR+J4ElR4beT/adZCL89m8G9l6LMWnBTS0LJxYIdwhpPb0kla1plVTDatdj4 YRpGK/gYm9+kUh25OH5fpo12/CwjbAWHWNJS8nwaoRD52J2s6rwGpc76XTw6plsoixjpt510WL7I ecMo1Hmkr03TWHl/n5Uvz8vUBf/u7+Muijrx/kksuzNwo2EuPOfhXS397Unokx6YB3M8oqUwPGL2 fyP/KMGe/2L1PMDl23drPAK1m8AK/UhVSPpaibI8Vc9ztB+mwwXrAyokvl6grGBGU3thT0lSUp7a Gy3VTmrLJr/MwpoYDNOCHzDFwJeiJM0Dbjdyb9Y4CctqhItoDT7HA7M5qedS/djTYDCdV3BlSiGd /e2BU5kKKhWNTsPgQum1yozeuX6geBIlXrq3CQ4KqoeEo6Bj9eU21jM5K28xzygxZRJhM2Fbm7QJ ctHQzAG2rS/fJ1Z2ygUShSR01/qOqOPue7V3JDVdIKrbDaUF0A5MQxB/srU9d+K/5Znv4D4vUUvE LcaNIxQQtVHgBSP8cGyppr7EiDZ45uEFSJQzM6AaQh695mkg/H4OE/fQPx5BKB/W7UqypxS/Ax27 L6x/j94HnUjB22Gqy7EAKOjZr5OdobCtcmBlfaJrOYJT7WiGAM1wjUQsZAAyV+4NKZ4Vr6KNADhB 3cz7dpf2t/gkrkaKcO37Q/A01Fx00V5erm8ILcobSIdOhqZkzl5ZOhSX3yNKvSA7VZ80wLelW62u W7hvOb7Sj/Ijh47t+DdXFV9Ki7RaKcVdyZiLhwhdVtcpmVt9X8c5BH3RE4XAoMZcwnR6bAd3gYCa iTpdhEbzyJ7jO6Px1QhcbcvWjBAO/Bhiv1HWKZc4+8nTIEpJuX7GGLtuxn7P/UjRvayTSUif4a2L q9f3W/HHB1F0z62qKkjdC+05aOW3h7cktmjEf+BQ6btnbBahZGg3krZ2NqFMzRpFQVhxXYaTHPj7 BGhmJGTM3sQy2fUi392Ij3/69EPGq4sWkCJkVQmJI0kDZ7NLPEvg0RISaIhDqLnm0fcVfxyEY9Gi qumsOEDVenIlcT1I4hC7LJFNOCtBVnw6V5iUkbUk1XFzjKnkt4fwm6QR2KfEORebj3FC3+1z7ODL yKj43E0GrwQ7Iy9QUAvccquVXXvSR9qKXEUa8n6qX/+msuAY96qSD3fwOe8yBkdjP776qz02iD/8 zuTwwfP1RRzfJeeqUbVrSZpZ3MBeBIR/3gieKwcsZOpNnbRJVCBocZUzfBRZMkfMbf3p/+Y1BsiD +zwbUWgRy0/hrpJykMICQ5EZlLi06NHSA0SbkUEFkXus8JELD/3qX9xdKlAkbtOpTjNRVU+suYfj 8qhIQKBpO2WDHKI6Jz9ciZwQLRQNzwojW+Xy87HvDhBm0SA5V5VbGItCnDQAQtuhJZCU0BmVg+wZ gob2Glt1aWoCfvnbozJVxDGShwDYu4O63Aa1gWyuv8Xckbc+1orsPhfqgTMAiDymX9l8UHu3R1vI j9pUrrKRPHYHOYFlsfanh9dg2ajJq6VFI5wfqcfHopJy36V5Ac+xBa45dipWWLgI/twATVvC/Hbm DtAviDiB0d96O85zLdwAWTSW8CCco5A/EUTutwcz6HQPBy8xetOJfKgOnzjg1VCNBeN91caM5+N1 y8s7SAuUGTWyqrrwrZ6OtHnHF34cixfhziRhy/850s7XAUI0BbOhq05iUxGMwuFFSFSBrkZqwMsI iu3HyhoX3VkWYrChIwQzA0Wz1LfvYDrgtnVY1/jMTWqh/Dh9rlZ+iaZG5P88+aj2nri+let1OgJ6 rWUGXP5G9aMYJYSsIyHMefcR7BIE0UJSPtDGmlqKwY39EwDxbneCyjf5meSJ3HocnzzHRbRC70HT RytQF2+EvE/A01+TBItidguledVjFqeWfMGqqY882W7i0iHSwYtTRmIi9rYw5Gh98soQWxU8804T Pr890QXrKTZDtku11I7DApQrozJj9J6QMFT0mzWAHKVIfzO7Oqln9c17UBXf59GvrRZZX32VOOQ+ 6EcYFhVYUltvS0qqe5B3LFrfSVmseXie+zTOHc+h9rJI9JswgbDGfLPJTGBHndIedtyu4PB6oS0Y oog08k0cIRDkb//FRhiX3qP/9pz7cav1NF273ByUA9V3XToQm+/X/iBFJpNSiNQrTtcLbGQviAI8 TC+zvU+SMylHPIl54QquOhxHUfSAGqSEdXyvBuu61Wgh+AQvZTVxz/ic2l9s8udrKkyl0pEg6+G9 kviHfhQuF7dJOkl+Ogernh6DTAa93yTMS1NwUywAPrik//bkC0ETmLY/eeU9TF5FhTdEkGEBPJ+0 GxL1nGXFeIH+NXljoiWuIR0l9CItF4sj/SYzCYjY3/0ZLYqr4lV8sPxUBnvnVxZDEOqOURuLqinT YdzrEdjKNp+0vKlnmv2H7wnm/EKF3SOdvS/d5igE86XaPg7Rx2xa0kltA/c0b8aAN/jbcxb9ebaZ kSReWQufA/UHRLjdEIJa917TMRCAMhH3oU5iGT62cJpxRSSzIczByafmcKPiWhIc8ohncJCY3HW7 gdVGvNXiaq1qIv7Rl10gA/9ifbX2pmqqWrRnXpRP6FYsPto6u9nkDy6yBm0finRG69QWcAMP6jQL QVoeoxAPuibWb08cj/2SIwbZuGkJ8QovDOS6tpZhuWVyoyHqeitFX/HsemFExKpZfvJeDM3MxvMW DlV7ONOnA8/TxFP7OLpc5yVJv1eSZWlCoW9gBLGhahmbtY//u95rpTdxwR54Wh7QEsqN5KW1DNxF WZ/Hbl5i1GYDtlpstreJCP7hxPYeMEL6hr/GlhPYW6Rkp72KGEshrGSfLTTIDj7/8XBcjTqPBUe0 4E1GdZCM1oA48Ko1FwAmu2AZDK3Kd7jMgPbTQbCepEEqDFUrE9iphZMwcZIgNbHg4nOqG2lymeTg bYRoX3Cg40L+a4CkiDPfYxkwpbS/534216JAx6VLl3ohoI2nBS9IHoszIO8dFjXkGw3SDeF11dsU uQNyjkxPKIWGSisBehxxAZAlgpZkM3r/BveG3thx0V/K9di+hMkf0Y0zdxxa+Umvo6qLrHUcbiiA mmyxBzil9i4a/dGMwwx9cB0vGuh3SOj46j7OklBw6yFKqbswSMTB5JYnZCLZDNHwtgvV9vI+AB79 3LPy9kBipfAIrJgtcKQi5QKRwlQTViscY5ell98edXuzYspEcRBb2oWrQGktnHFZZS1cC9UNgiTA ZcFBklo3IY4WLr+W9ZdTtmaBmOCDZKZYlDymDFwnTb6Cwogls40GcEQFqqdtnGbt/X8OSVsSX/Ce Lpgf3kBiqM1NxGk6V/511ksMe5dAh2ShA/gw/W6jmmmA2lCN/dVtIlVRNnB5DjL0DN7E6KZWAPdQ JzeDVC4RRA4A9pSetgQexV7KZOKIe+nCnkghf/xJKWS1qAWhcA/kWqQ7Hcrys5JOOhRJhQqmzgAA 5vrsPb7W+yEl38+FbK9A8B49rTdd7xLa9Otsv1upMREo370JYIWIqacbrxiPA+9BFf75vN5q+jEV YI3z25SCpVmnppNCxZgQqqDCNB2Dqx5ybdICyOwh5MuJybapc2/OXaZ/26epAa8V9CIz0z4iKwP1 V/6j1q8J4GvYOHnxxfrUWetH/mFnhlWzBiAvHNvkQ2Z4bc2tUc0PItafq3aZlfTQ+IjbKEM9/wAr cjiGArPq4Ni+eM8IJ83K33e1lnrczsOj2UlWEvrbzUrbzZQgCXgIlcaMd8koAF+ybc/lGW1J4X8/ UeQryCh8yvY/MuohSBAFgQFo27Z72rZt27Zt27Zt27Zt27a997/3d0ilUvkHMQUxcPqCDLXnBFdC 5zHlTLy9SEFhEb24+2jkNior/E2XzwYsPetrS7r3UgrKHqmvlRRm6j11S66/3CU/CPO8fnWm7j2Z /RhyLXbhee8rVs/F1kgQ81p+MGFn4bHJEWvN5wYK7FbRDKp7Vd4hC0n78S6Ou4wkzG+MXZvIQOeU g5Abo33XGwUWHx8P7K7AzFitoV2YgoM5QlfVfiM3ymUbxL0xQRqkDM5PMj4OsvcAKGsQtbNY2LHM pdkaNS4hZXlG7CjhCsjHYofR55FKUWY325LgFlg5Ullf3X92+w7DxGmZN5YPmwbNAqh9wFDZyH2B YBeNBJHumRGJPyt4NLm59LOKN3+zXGsM64ST+Pz8RKyr0u5cM8td8FEZhGMKIX2WMJVCDVGZygAx ovhFYe6PhrZtjjUrFbUMqWQ6nEb61saMWyuGcjAZZjXBceN/ytCmfhdmbzJOzW2OezxCCoITRXqR 7v6hHFGm+js2MoNDl5l7+LMH+1EavClr/h0I0AZM3f3l3NhWZrmPgKHfvKxHj0fMH57Yctc2N5Jr oPV9sTfzTPIh/5Jwo1nha4DFcx26N8z88DYGZ5Wa6CwZEKOgaVK2+mcVOWLBVpZUH+PnsOGIeSTZ Np2TQCzYBrWpFlxGZoM+4lNcQceQ/jzCpkXyqe++1WhxkicWbID1I8x7e2SHgBlCdS5mCyfmnoi1 +AEI2Z4JBKsx/uUnbO9qJMzwepdlsq4bvdEj8FXhA0g/8WZ/snb7VRQVSlFuOPc6J1+pLkjZRyu/ WcDQSBX9r5zlkF+AURr3XyBj3GEEVZoJmEquxfXmy+/nMNjJXh1ZRcNC8pLpywXBPJ+XYDrT8fI1 txQWVdO8nkcfrGqq6rcqLvFCF6jQ7I2QhdFwoS7ajl6RzP0Klatxif+ozxDTZdmkP8QEkXZTAx/G h1E7XfrkJTgEYYs7WGK9q9+CbWdGpQLr33Ujgw3VW9xoF5dS3oKGahU6xjLTxQ6ljwyOUGSefa+C pfFv0sZQGrlBgkQe4tiKhee7tEs4WAtrov2bgvGjXS0ldlVOyD6017KVWzbkIojv9fY1uFZcH4oQ jE2m8z0Pu6Cm8xoXEljDdsGIT0e2boZWDIUJweB3bdiPi/792ofLKu/FMczChttW+SOPyrGBLGEr HvEYREnazAcVcflUEQ6gKVvXMFS1OD7OgSfzrlWISXll8eRGVIX/a5835CGtkK+RBFvF/aoknBIq Sms0J9JZQFelWeKC8rilDUaAuKO9pxLTpgOTYBylvnk4mwlwnyphC4DxTo4G7JIo61yeqbSy8AZH ZYfxikECt/JitqPm2tr58nSe3l4J/1R94d7AVHVcWHCJv5nGpRJc17r/bRADo1a7gY2OJmYqL2G9 K1e47sV4WbJZVtuk/bstwiikuid8KqiLbHW079Fiqfb0gT31N22oQ/CxlFu43TY8TQ3gYKkFhFJ/ e1E1FJVj+w+WbQpzpOtr4Vmsx2GnOgDWBnqzPoRtt+X7Hah8bQ5+FQXxYbIPizXA481VH9DbsGWg nF07GwZc1Xpfk7vm2wpIynQiOWWeIvXYuM6fexwxJWs3KmJ8YvDaVMqLcYEevNOz4AmBcciVyp8A iI21VaYUZyW78TIzY26VbR4Ketv40oP9J9VcLzom/ERwiNs/IZGMY5KvZFbTfyV7YbMFjtmUFtZx aYqW46QCVafeIoCGPSbOkdx8DH0WQu7sypdGcGm4ETCLXoRtinVF0MymXn5JgjzX+Mup0za10/Lw IjEYE9nivL+Obd3lSzpvlB+//Fd35OtYEVVGnbo43EIvp0vyltN7jKZ7EEmRgW9ZQqVswcDs0Lnq Z5KeCJ39xXYEUoTCDiDmNQehz1Z61/Qzu5PPk4ppFYjpU8duKYzOPSzAMzg+VD/Rw0DTk+P6TnW1 CFKXJJd/T8NC33JdDemZNqYuERMXaDectOKssuS6/CJWWrIv27SahGFpDgath6OiWNFHMJcnJg5w N7phXy+YgAMDc4N+w381pDVZUjDjzZX5ceFoJPfyiY9OpKhLpQ+2qR6rPDTi0G26DzbvEgSRgj/q VO4UaR9EMFtF+7nuVzDr/PBT7srHXninrxQ6DINCswVI++Wf80i3WM3WKskMjdaLMCsEwCa77JOh /QR1DR8Oo23f1w90IAAdmuIrfgMhlMIOZFqFyDJ5jvWHkklnVHbljr4sV7pWmYxEDQBWdzucLzkA /YLHi+7PtBGArDWSO+gm2RZ3Jlm6OjzqagHUmoKFh1/6w8oSLr88zSuR84f/CJ0Bdw2H/5DiVkVf /Ltdn1vnzDPDXWo4U8tUulXRfNmJ6ZAqcKhXUCC5f0zDbMbOKPykTbZ+hc7L/dJ235UnFXatC4D8 IzFIh2tBJX1S+yGtNu4+fDASHEF+cqQf4F76fez2/FhnZs/oLdySB7bqhi3QxyRXgCp68JVH2Q27 tnC3Va+yp1ujHbZfgKOZk78Q2Be+qy/rKykaBwsqvw3MTzpYkpXXTYYbPQeoH+/eFnnpsblcer7j t4UvQe3nDCzQ1Gn2OycNxb6p34vDXyuxVmYfzvfDnac697QvcdVbVRf+6t6y743R/44HTxH680oJ BkSOVEe4cXft5fLyvi9NVajf8o15j2+ENiFwGzjHVG3etMWxN0jlkSRDuj2zU+xi/EIjQVlvxKq0 GFCzh53JVUaybyLC1pvjulKO7U8bXwSIfOp5Vuhy5PgF8sBwsU1kbrgeIEkG4w4I+LBvADpHluxY lOeN/lF5yR69IEbIAdoHY9kHTTANY3W9Q/LUSi9esa6J57wS0yRyBCDd3mE56gcInNWPhwv+du0S KOR7ew4pLmJ6FNeHwatPsT8ImARuruRppCehN9f8ZBXjeSGEpLiL4VDAKLtah2j/Jgv4Tp4x0SMc PpqBnP/UmZn1cQt/Fa4LK9hAIS4/9u6UU1UXI4k1Almn1GmoTKtjnxcdPulKvabaJocMIGP8FdCJ KqMe9UNZeUjA8cTyfA7Onz3JxQlVY6knEHkL/32W2M6WyA/F4sJBwuiVwwLvnlD7IJQObT9slUDe ECo6FbGqs0d2SCKtBE85D9FZ5ZS4u6zrHvZOS3JOhB9KwRJ5VS/H1pMhFhg/9X8pzlgdYSLDbJ9F 6TVI1bYFuR+y3EKlOFi2qINiqU4+9TLI/JrPChaIojXLcRLe0/21SjB8IB+ZrqBsy2UUrjTcZ8Jz gvTFB6WJHjSZJXLaZrMEdPToImxvOrq5oTH9cyUBZ8b1Sl6lY8PCFz9VxQDlFDMQu4K1Ssh7dN+n xS51MVDRG5BL/eRNuUNXfv7uKiAUuY5sNqT4ptXFUIPuqpAjfZ2ys0hGSCWIX4TLpF7I5usO4h13 ADpQS2/TLOvsM24UcvmmnIksn8oQBQw4/01LBjRx3BiUY1pmFIMZweXG45/J+lCihAUATnbCzHI6 PJ3AYBH3SrSHhTDyl5pTiBQTHCt4XpSuS+iM6sB1PqSHt62qS86hiYKhLec+VopJ5b028bg9tmHE VlLJKm64foFdKPCvOQ6BP/Rs2lFwjr/mI86rNl+BXcKSvrOH+pLawrE8gavOkTypnLMi7ZdiMn5N 7TyofNFI9axPllzDwhufg4rcj3GTMWPmbEusU1Zh7KWnXNtgZyvtRlQj+zcjcMSMoC9OWOgZv+w4 AEZZeuTeeKy0828FfOiqsqpB6eHdHjVEbGpeNXCjTx62izt/0r00onjmeEwodRQZ1AjET96cA9gZ UyAJEjR+8/J8uCnB84/pVpLXs8cc0CjmjhV6J5b1N4vG0r11f/dP1XMPz8KTykAjt2nFkeRYwkYk ofodrEsCfZ0T7eeFbIyw/JWZDWSOwzIm2HIaamagbHJXmG0gngYew1dc/meNTIaUsKQAOn1cMqGv mYH03TI0/XxmMn5Xmn2u6l+dDhgv3K197CmYPePqpvVWrDkmutaF23sVPj3VXNkkPzS3iafV0OM0 LeuAtZoFDqE0nE5fcxgrojO5eFGEPhsvlnFJCySnOpKmpaXqHvIooUm4ehZHSJC1Gxicvj3JNiTR pC1HF7cJdCdwTMqM1xHBeo+XHteBwFO2IG49GfMqBJlbIzI8gk0yul5HsaBGy89VS1n8+BLadPq+ nKimcHWYLPj43aGB3waRegV+ziRW3ST8vqphM/q3dscvoUWKos01utC9w3nwojR+y7/vbI4YEVjO BCx+fhYVdst35d9mD9QQ1ZSq6IGpKgMTXtxEqKdDZNNhuDm+T1q5aGyLRe5KzwaRbge9p1kgIu32 2EFOBqA+kn2Wqw+dU4XmtgD5pgz7xqhdQecUhXMNpRM6lX7aOixgV73vLbFpXPW39YZpOs737xRt 3wZGCPnX6s+9RJPY1tDnje4Sq2PfCcxjNYiNzCH1p1vKfpu3G4VQx2Qjd1A+z/rHRJyA3z1uIgWZ inXHixiLkO3ktMkKkdLm2ByxO4x1S94zr3FPmD2nDGdxBuIBjV698cZDbNPtdGM15+uGMuWPYGuJ noHUoyPEpyDuP7QJexhz4ThIlmEAJVUGXMMR0ZPIiU0KeCv20DvNyKGoSrb6KyEkFb6yllia3gh7 exuWQSSu2oVJQpyCUqjKmj6sDbEnc8u6t4uRdO+61ptoh7471kIhw25+4ms2HVyYGDsl7Kqpr92L zYe0dDkTXKxu9w6TWTQfUZ7gjwzUTnhhxgaMTbkU5By6Z38W+hHbEH4ZPo2k7R0TP14PdBd9lSJu vtITyFmNGcD7C+fBJbmq6mTKklEPHgtzsnx3jMsqkI+n7WGw/QJlg4XiZTzxYrq6KdP+C8khAT2n gjtKXuCYI4rWw9SYUlsfLhoseIEY7uoMbYt3eNhRXhxQDeieDzUs2bKZvQEEpS3GizvORQV6nxmY 82m+BfDPZtcAJJTqHViH+7wueYcI+/dPgUvv7uLNY6DmneNSiLGaSa9qPpplCUNBIVxY1N+me26w XzAiACU65V4QR4gK54JZdY65gmVfVOxSdrJY2PHHCeofCMr7gNS/q8eKqecV42tzLvFpFjPjzGeC aK0OuUGxauaiwnQ/+9sDVhBhfSMVbp0qJUztJFLmG7KDcTnUXBI4Rq/sgqF7lAu+3GVKN6yit6ug U6HvrFSeRjzvjDICSFOsNQiJ5W3LVoq2SabF/cEQtLyViaXWe54xh+XvEQ1z5fGf29ToS70KKtXv xtGW16Wd+NnYgRz4eHl4XSBIltKMqO2kJqr9iUIaBLmRMIHWZv14KnEN2AtpdIzdM+05MgyPoA/n sAoPKKdmo9YP95wIuLrmaJv1hjnR4SRhdOC7RZ0zEMYJaN+OZtbMyaIvdwBOTzfTxBpGIwBqZKDq eo22Fdnrvby+ulgJYM6KVzRI3UXKyjfHFL3srV/TXz2IgozJ8WGaRUZj9tBTMvg6HKgQP3Lg8oQP KcoVrEiL6OKBcGBttELjj+ovRkyNWsglTuORoa2hKpGniStR8wxXzoJSGJwJDl6vH1v/Pch6n7C+ G4DC1qOeY4hxfTd/CkRYv3XrzyKBAQVm48Bh8poMK0RHRjoWDDYnzlRQiX5nePblE2RG3j7EUjX1 ZwurZbr+pxEIwqFo4TfRbAMLUHePZitolX94oIGZzpEbqRumOt2PSRUe1oCdMA9Z6V6iKKDYqBPm fkdAbeGIb2faUQiQk/Q9cvFdCTApylEWZFztf9mbCcaaGXEBAu1JCk5jKCmch//wNsFqZCzcfz0N MJiYYKDfhqnjYvKKEX5+IEjyQW4/mbh/+IJ3yyiEwaX6OBRBsjLjKQsZk7u+opCmWtWgYL5vth02 dsN8B7qr5DgnJXaMp98RaSOoRyJ5GRs7QCwPDjZjn06oM4vT/6BGRMFQk6xrcuC9XUzwpG/Mxcnx 5VA0njSHQa7neJ0SByVAB4gpAi6DerwcTTrf8s0TFSOE4KkqIEKb9PrKHoihbht8It7XtLvXwuGZ g09PVZLyXBF1yf7YBD2tOb65gC5Y+fwPHPfBUdWxt9ek9y/mfFDLOTzj63VhrQw1MmJu3Lw6DNl/ T6vEuQu6o6DSt8+DqMLYQnpZ+79xM1C6/WaJTbvSNrcnwDAsgVD6l0XUZhKHipOb/KP3zbrDGKjv x9cEeHDCBW5IEkHG8Q1W5oF178Ds7sibxJLwd/GotsAlqE7MzAgYX4wm0pgVDMogTkfCH/79QERQ y3K0pNIad+yr1wCQMsglwxcbWOH5KKMqmVyW29gUH1o6Dh/VV557oWu1OQFDZuhiF8uEb3AQ9Op9 VWQOsTiKj/NJZHbsFb3bGRoaUy6lrZLcN6UcW0cj7dKABf3jD8SgWKl62RR5X0vkyYzZPP8VxSAu Ihw6a4NltbjJdVMFlUflOma7tTbZI7emjkwzUfdcFUY9R7bCgYYq/Mpw8ghIXU5FrDyNV5XR/I13 eRAzt2RiEbINlUhSg7L2I954MJRwb9ctgsaLBH+vQNNbe/mJc5TypWwLZZh1My0tNp2W9xfrZoy3 w1SXL0Y1JaZSp5nxS6Rk0C5HZ0KG6Xz4WWSBZIDX+5LSUdPX49vHlP5QBRSjWNTDpl+xvawMJu0/ uQpJFoXT+viTe5LBftdCw8D1F0pZUWO/hve6HXx1Q9VFE6sqn5Iz4tEjzIbdZ33l08gXpuvlricg 0IqaaIDxm8FI8JQcLRxh94f29k5fMPGFvvQ39Nbe11WtR+R+jH5SEhagIVUyMRYQf1A5wEGI591v LHS08/dOtztrnDPesLlwXo+nHvWMcHV4l0/OPPDslJ3ucG3Rf4kpp3KpcACQwCRx0Q/qxgHXIHl5 XLhkt8vEP5CzJ9mszoQecSC9RgKaxA6oAtComy2EhLyVCJC25SWB6BMQSLaVCwbLhrUNnzkvzuNX 2dTszp8DDmUUMf4gKJHWEfJZhQE5P3pWILWGOsnL/tZq/ItjUBKqYOVolMGsSUen0Zj8+JtMxzcK vecdxwdZAvkXLiFIToEHf0ZTLX/y0GkF88zqzbruGdNUfWOA0MFu7XDXmVjwg9FZbKybjvz7gsh6 jsJDyJkGKnC+CbCZ0Kpn+2UnjTGGCZcjxyWlyj4R9zDJJ3trslT6rxblOwF+C1Jxs4XoGMeavCEt HWGYO/C9wiGTIcZpZTkblYronXyY1mCD4eUq7kfrWbc+HmaPIennqHGdu3q+jIpm+hlbKcB1tbYd admCJzJvd0arwbadPAK9U7ML6s0kzL5CaWI/P0NhQU7tFbydl3nlJR0ar5y5TvBKa5RqXKogzE5O 65WrJnLauISffvLPxjokJkJvHaetNHq7VQ3IepXPBZER/j5zU//pGRP7aHLnhgR6AooU4l+0gasD yCRK24o5J49J73c7afFqu/8bGu0iOUJ3Szl1N1ioD2L1i8c4vujuO1k5oJp4h1V8bJVwEA/iN15/ nMJvGHFxE5HwPKNGJzredj62j4K6MBxzJMf5vsp2f6IzY4pQRUEdM6lE3ET+vC5XhUgk/yEMPFfD fq7mLbmOXWfdWMG0anzDFKMQQ/cXSRvVs0/wZkrRZ3/n5H5eGpfVVuDV3hbTv3F2DVQYhGvxadjT TViC3v4AkprYK+BZnWxOrISOnx8hUYZnZOLKTFlpjBxmCCGOJ9xHKVwgzZl8KhCQz+v1V3LnV2wx wVTGXIdxMm+l89V9CBrXztjgHtQUtjLROqdhEPBr+SIHBRNYdCOk8AXxD8qKCo+YtlAM6VFOvm6k ri21RXCo1izZ9Jij/hnp69tTPkx1yMjw4WkbzAU6UMJDzceRm6VpDgUmG/ZooDx1p7ehk/oTgste mKpBaIq5+b89X3zL7N7/ehEMujFF+Eo0FrvGpmCjXHDSTLU7xrYNBnLUxYFqImeF//oKb0Ht3n2V kNg99fKya5Wqeeacs1aYPFMJJY+zuJPc4//68DvUi6dRPN1Cp9lVy8yDUhJKy1zhHTbHjIbzqG2o 3fv/+kVptyy/FqkPIc+Cj47AwjavLrVF768Bg/P1Ap1EAET9//rJX4+X6RTtyGlYwuWbNwCq/tjz EVh2mHNF7DP7Wig8gv963USp5OHlmLkTo985ZxjO8vhOgvRPyuT5H3VbCcXOUKv/+harUF5r+MFY OzhnHa/eCPc6Xsovx/x8lHpqkg/C2bi8/3rl7MFe5d+jY5ov8oFtY+nuwgPkTENpXKjueoijUDgE of/6L2DL5shnQ+Wi5obsKiIif+0rfO0OyDCqUjVI5eq834v/+vL0fVsvBcqkzwOQWwpMAKE0n2ch 78sqi66tSl+AbrXz/3oA1lhVyLUDQmJn0tEB9BQ+ZgzK8fZz7/eEH99UwA3Yvf96/3/d+JV9F/Q1 BbDWdNbjVSYwNy6RB4U4uqu6Jiu9JDv/9eJi82CrzJERBLwh21fuGkQty4wnAJ7xhUQ5Jhx62pWM /5e/DMnPvmqG8psephrBfSFbkIrPmifK1k/UB99wu8WR+b8+OH7hSlzuXRRlbrbSQMacrSLBdMzd qVEPmSACzJcFdfC//k1wsMsbUaVv8kZL2OY98kzqBz+wHAdYzmvD2mQNeI7mv371Fo/FF5nAlfMc 6ERnv7LjUuoEYByjE0ig+I794pq3+b9es6QUJNxX+GxlqrlD/LX2MAihQ07WTdV5hp3D3nbDXfy/ /pdX3Lqb9POwUd60f8aCfTIKpqV/mcDQ1Jke197k+UT2v16mH+1Kv1JSSbyVu07d1Fviyl+9WQXK mrIiavovEerb/7++mkpxZJaiCjQR6yLRlEtdr74nKGnGF3h6mgarbYz6oPa/frZQDs8BgcsAreOn vRFQOYNTkEJhN23ISx/FDapUM5r/v570xBR9Y0e1JHoXWYEopBS59RjdNZLn3jVq7oUgAiji8r9+ CVaPWbIgDd3/UuMuvBMWceulsnGGmagp/bu/THhZTOu/Hm32s4O1zHlJQgzofmn/AP4Fmp0CPtBQ pez2oMJNhXLy//aQlcj0F9nI8ugSGADeP9OQxgxWaFE1VhAa/jZOdd6R8l9fyrDm44dbydXqnqOK RuHkHDhbCBcIlpH/sbpLRAveFvtfv+P5ZYCO/H2bAZ77qM+f/OHwBLoJt/+UKKbkS4rjWTr2Xy/H EMPmpMylmMnoEOxZFXnSNcKR7r11xhJSjRAn019w/V9fx8cO03JaNCMwjViWQndcEt5/Tv2rDVUN KW0geJ116PVfP9/7q7DY/xCDHM5J1EuapbwoNwyYuka4Soec6+SBtQL4X4+0giONV/TNQmMeM0A1 VJfxbAiyln1tX5cdTq4FWtVX8F9/Lbr4AM5d+hDxhhN7zdJDuYKLY1OLqAoNhgQ3t2s8p/JfD+F4 L9Qo1mDPdqDVlLh16ETQqy8hsrLjGSGeqA48PSL3X19rlidTNf3uHFTVMwcYG8BlmDaMGUxWPRia MNgw1OCE+F8P8ye4f+gCPJINszfxxBCtk4z7gsIZG4pTkF4PDguJNP9fPyZEY4YqJza4AiKuo/ic o9dcu+zkVKiBBxMEDM7mRXfyXz+1fDzjq49NtdX9QmqcB8iLFk+EqmQhnoOa0Ait6Hq28V8PzSdF zvJ236JWDOLeuWJEAGxftAeUosvZOpAl+0GjyPdfv79j/1m1rbEZ8tmPU5SWvRN2tLZ6w0s3Q98l s2chSYH5X//PaDS/bDZ1M9rE8xft0p2q/XWymv6N4dFgeonQuvWG5//+tuwveapz4LELCEZDBrEx BVC0AyxR8rpmka2CV9fkcOH/+veLmpU7EtTL1FHavlZqtyCqo9pPiVPNoMLgI3uInZT+r/9QVARB Kqg8t1CaPSkOc8xeOoZ996d9opshst5GPNjH+q+nYIalypuUyNnoQRXNH/zN4KJtv+bgMp9puFcF a1Qoz8hjqBSEyt1LrYTiFLs1OCsDXk/wOLooC4KM54WwS58AKVU4G2rse5Kba2vLhk4FZSnp28nU c0sXSZkjDfJwvHCNykotgMQo34UFl1TrHFU3PrvYPzbvFaRinC6+baOipIEt/AuhsVVvXSSLP4j+ o2Aj/qGp+LbFcrWj80HSL43l1xr3lUPLP9x4oALCVm8CNVLEVRoScrFZNFpXvTV5PxKa4nmd32nY hacXrNBtpTOR3Nwaqxedm9mDnvjzpmCmr5+EK3IxxSgcS03HtnMpDvDZwYvl17/66kN5dyx/2jwW C85+jDI6cZ03TiX24Nr6C6Jdt8pg76ykrRGynAeiHX1pMaL0uxAzR1LiYqDaZnk+VHDMF8wm3iki 6lXyKn+wEgDOV0+8agmPnI8PO85z61nkQnfcf5JA0+RxFwXlMMqQ4cHOg2ohN+yy9GuTLWJGQ3BS V2P6IluJiHXihBUWkiWAVac9v8uE1geDGfI69r1mAfu7SBctffitCgeowlPOtA7vtjWWLSIql7/j PCa7cLFZ3vLqCYqdPX+C6a/Q7jDf00AjiXezdotfHBd4i6oufEuCxP1zSXpuhNKRHG6MBS5YspQo TzgqV2TiRwiVg5KHGNdGpReT/la7awlrNKqmge0QVCtL6zQ5nSDfTAOWMk6LCSDJf16tQBnSKw8j dreeRLAyU4ygl8nhAHovssOOs7E5bxA0g5XdSqPkmyZ3ZAuZLmOrxrurx/F7DuEuUB2Dosj/EPun X9jdToR90KDHH+iCGoWHZ2zFn/eATcvO8U8FvkX4qlSwwViNXMcW5279nLHvt1FQzFxE0jWKW0F1 SjakE8/OP8CsENXCMyPT7Eu1ssuWJZj5DpJSnF/px/5RGT9t0lVnhReGY5r+EvZy15u+oz17KUUg dkiXBLmsZNFrgx1MhOeu+cJVTq/jz22irHlt2VzGDOyXRhlP8uaIrA2x661AOQCmUrA0kCtOK8NL 5bgMr/oWnVd5ZEaPXEm9TSCXM9RDXpYWkNdkHAh1tEGQ8Mu1KfmW2eNteC1KbBzZPEgBNEFWujvj e+h+d7aKMhid6gO6ruT48yW4/3ow4zq5Y5XVLFHjoNef3nzwwEgwM0RSh8hjqt8iW18y3bVxDNUY kCuXiFnZt7U/UiJC6EhWGsrJ98kbMnB0ZC4eEH8lMK2SpVNP0QBws1ZAkQCRxnJKTIzp26eEJy50 j5+zUQzD8RcIQ8sI6CIHnG+tLk8pWkukSbaKRN8PJSiH5WHOUvcEdTKjJ7rfQyErHQ9byYkwKFn0 52Niz+b+XTgx9WfHyulkYmqrV/xS1KMtiXDStf6US1BeHs9dhpd+tp2zJGlxtGav4hglr/fOfMON EEdc0xBimR+dmwH9Gr4koT4DOFYVpQ9qxAs+ZjDk0btZVEwBLx2AZwCA8fQpx3wzSvZcJk6PQ0wq mFxV/M9H//AGkn2Eho75UF5sw4JV6ETZpOZFI+u9wga1OobPV8qKb1PVgfHzN+A6qz1AoQT0AhWV z4lG9GfZO6ixqGe2I/Za0ZU+As9MPON8ZwWX0Ulz/IhaolDYHgQQ6R0XwDuwj2iZ3OBFcG/aBG/F vPyhz1qMflSDQezWxpNv87cS4GXdoVm18DaSeTuGWoADD/hVx39XnT1r2AiVR8bBMhRxVZMyNn8I T82u44bE9bqFtSrJDd6CCzrQuu8OrqCdRYBM3QR9oD+1HvBZVAHwR7PwwoudRRUnAF9guuEguejR aV24heo0xEu2CEcjHXI8pGOliatNmyLh8MDe//rvmwk6LmSamSS+OCnioZm2++uvnT+lIejR4wn8 OT+70Q+60Sr7kTVITwnEfZ5wPgsy3Y3GqUB34cf2k5ib0SMJ1OczbaIknzWOLmLpZBTIjpjsBh7M FPlwLgRG9++7FAzZTN6iGfmUvFPM/ZBjGFEQ5m1YGO7kDuDLfZcwLSM3yd790+PytplgVJRak7Dy SFslgJRSECmXWV5BSFFD2KuZqztQxOr8BuunsbiJPwS2YssE5Pjs3OE+ZGWulf7T2uCtnJLvVclU WLdJcqyVpJ+/DBT0h8UDpbhmcbNuVG1CkpKx/pCnMmmuHG6CUHz7WubzHAxq7UPY+5nPmvdtGMF1 HUZ1cUV+/VICBODtKogeGwZakxZiBj2bJz/38jTtuOHYYOlMKGjDLKP5UR/LdXPY3AqNO4SZdwIk F+Bkh80tjU8oMtEuyBM9Fzgyffewyqky8BJVOxILKrpKdGXx5ayJbylqhO9npYlPVN2h+KOH0new tzwqmI7N8d0KW3GF+Sm9dLbEG8uG1P0HOICd3g3IxSMnFO7C0L9MQKyrkafUOHrHskAL4W+WHuCg dpDTO7dppytj/4NLvGJjyIHqlSw2z/5Tuv7ITuG2XtZzO6vL5wBJ64tU/zSjzfzSk95aEp/xOCI5 eW9vvBKUWYiqRoIN+jdemDIHNbEjQd+HURKL7ORbw3DvTosPOiMUXz5QkJZXALjtGql7ypaj2EnY hOWjxlYFx+S3M/hlpA3hX9lPmKVm+ucCkGOasFJ/v7CDfyeXPJGPbv2sToVQdQPAx7hUW3FsUgtK V7jcV/XOddDAIUxm095yV++mbqsm3I3Pj4O0ErECqOzzw/rPM1/aryhbbA1Zu4A6VSrE7nKkoUS8 cpR4K1QrqKeJTqMTT8bOM5++tuwCkSpMGjuliFYX2VCbwsbyCHMlrvUMw9Z3vzGfWg9mxLLvTCwi w5Wn9NT1JiJsXquUT6se7Hs61eQ59h/bPYwi9h5G9fEube2dIT2DLIY4lENURfUCflEih4uYyG6w nouGkDw3ryUh+COPsEsBhEyPy37yKtxyZpxbKWvngW641SVLD/RXuojnJ7aZ+iPbIIGhbof8vhV8 yUCNIIecyiXZ8sMssYmmTa9u6I7kqYmpMGXpcg+Y0QdahbgGg+NKP5AmvzjHjTkH4N6PJfUpE/E8 y/SjQ6JGVyqh4eAvcMqfiWuMH2JXRC9B4Uj5CQaQddgu0ecq7qG7zkMwYsWam9U+9+TBWqTezIjN +PGc4Sps+gSqvdXaIca28W7dNdXCp1aRFapm2YGTbFUP9piX1x8HffEbA1TyhPQBwtKU1gGkU6Xv fOyLlZCnemXYJAk99u184HOOtnVsaZIF+D6TFRrhsTPalWF6gdlKo5Ky7Y3qiH60/OXeTgKThvvw 1xlwtbweT601WQNlMYaqQBqESeJNjlXTYguXydo3RkpQRhOBSgIaMtJMnOIYn1iQFEbmTTC3VSGQ b1i3DxiC0nwQ64D+WdrUPy8wEg2UGVbk68E7SiV/Muaozlx7Y6NKTJgiUymcbN44uNhDGPh6TKt3 iVOPc+3jA6NwLyKUnjrywzEf7u3X+TcxRerkt8msH5FPDwtFXa0+BC2yFTPNZ3kC1DWeWwZAYeFv sfOUgL75TZt4advk5Rajsdy07O8TRGLq6gdKhkhY5k9YRs0fbzEYmxzDzxz3qJGxcFQ5OhqFL051 xoLWvI9Ce0yOMZEMqG5cXpfK0DupCPGhsLlHi/PtQhCF4LBi/d44tiNBDKKCQmBY8djsaa7aPb4x u5tdQPOuvZ5rETFQi2h2OQm+aKRJM/VRSAoIyji8GB28B5BZ9B5Wv7VYCbtSmHF7T9Et30VZJWeB 28a5x65hysLJyNhcD8r4KsbRzgB4HMm9bnN5sc9tj4ikZpV1Rh/L0nn+ExIRbaMG30roZEBejuts HRrbb/D5I/ya6LJTNMpRev5oPP/dpyNWEZzElx+ratZfN6h2D+b5Vyq03unvQu2NEy/9eQMS4TQF W9HQEW5Kbhi6qC1CJXuW4bb9pz80kh9pERVIfyMW4UQslEtLndPQ1YFdsOfGJARug4P9o84M2DLE 3ipdm36qrgQCIYnTKikwE8CLOCLJJt3EEHhz3rvaQFH86isniQQsOEXyyfokEkOXDrOmuGdmzDG7 fvY0SvOl5l1j+yjaXlGiBpzxh5x7TI0W5+B8CCRhrVVRWB7zaiy5CNn65uktwVigik3JsefMPZuc T3wTntQo3x/TqtstKKcf1diDt9ubXaUuMVsD2PMYBaoz8UwdM3t8gayLU6ixzbbhclMGsC4OOhPR z844GRpf8dFAtEUXRKqbYbFjXLgS3w2Q9ewB7ht4U8LP9euFcfHzV1QPhnDEQtjVHIqhiOcB26OM HK6WKG5WHqIhDRlGjgIZehbRgXkXFz4hkXl+LcykbJIIZjldKu6uQIjaMiraa5+QdgUPnV/lIbvM W102e4U9sHuMajANv/dFkLWdtW30wQt5DkX0xCHERJdEVIyoMpilm8bzoUWzCzm5wK8urVYacdy6 YNFGP1ke3MFuS6XjmEnu+zEv4PeOig7Rm/25e+lrC51sLy/J8VOhdlQyGaD8ZnmClXN0BQWUmJlD oWF7FXK1f2aRPm+FFh2VNCv3UEt8L806dYGDhqa31H3V6lQvpSHQafvGoixpwCUW7hvSC8US7/BC PQ3UVITUGFQnic/RhKmodD9CIb2knRO3OMNO2j5pqwVedDcmmSfwUYS6ph8Q0XobG0s0PvGB6w3u loIlbI1gUqDc2519mzfGwpQa0Rj/6CvkwVanCEzUSIlq4l42grif119mrZ/J9B05JPONVKww4T1U fQbEGg3YtpE+USoZ39o09KxVgZI9R/u7A9BsDyDmT37QjU0t/DrbDaRVKF/nn13ZXQTYvcrnRqE2 bwwDD8zYZFUkqaBo12EU2Sc3sHIAw7UMvJD+BF6WnwJ447wW45s5cr6QE9lH/TusWunfA8UebFZx g2bxnA0oRMG6l6KCI60Zmiq75zNOku6hitpKFhQMLPLCM5D956FitkygMfKtk/G1qWVGt/zzbd1G pH7rnKvLGRpc21Ue0vp4b2YuIim4LS+dlPVCKqvlp/AlsBi5+2MPDjLJzAT+gHzj1AMq8FB/55sy 71DOVcjzIPyLW0jDfrJhJeHkYSOavirB5ve3WjrafLhrP1VEVFaO+fUrh6JT3crJM6D57zdTyc7P OKIaN/gNPjwYe86A3LYp5ku86J32xZ7nNLa1YY/LT9z+nMy1ZD40oLjubPLHwBoYiC3rq0A45iLw 8X/Xf23WSIQIjxG56k11Nqzk6ax68GQ3UfortnWUdOCT4LDT70HTQJjMM8Y9Kaa6v996zskuZBaM 4U6ORWfDESL81fCskdcqhaQiHbTgt2kNfISuXwC0kAZZ6smuYvqhYBYNP2EmQtIX5FVSeVX4E+Z/ UDPDuoQW9YR5MhjC0S3HAFa/uFgN6TcYYsnCq7cUedyKslrerh//gSNPg2sPoypZq/BLXSoAnF7g 5csuQIBuSMgv6vhr+jCleE/8wWvv6iyxMzgBCn4UwBP6B0wPIR0peqXo1apUmqO7u1/RN9wXod0n oHtzb9pBHrdtyFKpDw9sHEVkhQh6jK3HmxKYIUWeSTqmxvlhn8fGLjBMZwkCbZVox3JusK0wZ46K MwTri7rZ/OIvqsJOmo+IToFd4jnZHcfsdFEe/qvMuMWnxO8s4Os8s/RxbkNasSmg8rxoxfCpxd3O zWIG/MbNVjOkQTOIXVmqnpwZo5Jvnj3XM+uVTECQThW10uKQoSySCEd2lqlGxcReVFjk36GizQVq uezQLscHc44yKU8DW9jr+YTxUBZES9/pKa295mBosNgS+ZNiunP/aKrHPiuoCN/N+CyKof93suUh p/80KzGFJeLxiIeK0MHO6SH5oNxJJunflF1sihbzBF42kpe2LGaY+K/cGNlNbmWu9vQy/E0o7m/0 E/11d9qtzqHKe8HSZi/QS9XNao02G64AZUE9XROGWpEeMLXAjXCqq5X0Tf3gryKQSUOOofGsDqEn ZpCNpU8r3JmJGzKuhnV3akW+6OQEbZBuhOAnF2wwRnHc3yzz4AP3nBssI6D5GBF/QBOmZhEOGHGC DN5TyLyG3zQhN5mAJtHYqNfxllfq5QnW6zwZn2ynpUz44BBT4EI9dyvKs2md8WdWCXc0mNKd7IFG KEruAgtHulA65oVH+rAKkZe5vpF4aDvD4VYkTDFvsuwTp2+ZPwySLP4JtJ2ZJOYfVB+6mIG9+QS5 K7Xz9u0qrHB3LEZZbgshRBJAAot3i5N1omTTVmYYb7gvqNsqrCR6Vvsd5ALCDfmlLKsn5QMOzp8T MjgVrxy3TWs6Df8sf3MFIBo8IvAMvKvMxHhPiZSy+xpvqdjIMOBsTQmLkEOx1NsomgNV0KakAwEj 368UGWWD8LRG4xD1Fdt0zC2fzzeCeOJkQk1+LY37qkYkgjtd8pBrMPB9wV4GPkkV7IUlsRRjMMfU ac1V2TmSyBVud1F6k4BVwrYH7kfaIVJg+gSb89fU3DVTc3R0/Sl4aduDCIkh7H57LEzHzTdAdQre jFs7NSLnwAn7RYY1Pw6bh6rx6rIqgSLhHP40Jzc+5oKo+U7mA1ELIfEvlQKd3U8cd+1WdzFc5hto mPYOR8mDHlwbAVV5LUWA3dmnjvEgEkCq4x6CUd878Lckv5k9q3FlhXt+0aBT6Cq2XQC8q3IJDakd ny2GGABeN28Q2FpsBOsjTLY1zZx7soIj44epCBVmJH1QHx6vjDI8f1wgRvaGjuI7dxv5wN5APmR0 gXKkMSJk2sbcYsKWrpgFa8U6qoUI6NaFmkE5Uy4TU1tIGBA72TTQ1r5VLiK+xpzCgnrdN2GkisUt lkcE6m/lp0kA5bpOirL74dym/+MYPCzTArFRXZOwNwpN1s8vRJ3wOWPFsBL1FwIyFxq2r1pBWlfd QrH5QPM3LdtsCBUbiktJzSkwFFkjLVazJ9qwWLEluZA7QEkCdTUBNGEnTKMs9KCB/VqYfkfWQtB0 Y/oUtXpSV+abzsLaeDLITD3FdGpWXmB9d0v1pSLts+BY7ygYLJFhhsPUKpce+JEawobz/JJnVydi X7qELF/vYf1K6NzmAAh8UigrUuAbisZGpwc0Xx5U7X67KwPInl37rxGpmNIZ/xa86jtc7tD8UPBr iqg6FnB4M3rxKCJuuwHMMjQhtk8eTsj+foy/fkDaTYDiTW3XWbNVzFxilFH4szGG0LsrLyGgi2pN Ridy5EDoWSojZ19sH3hMLQ643DWxAK0bpCpB4Y9jP68ehoirM2f3C8XGvgTDBgfQ+jX+SUAp5+VR MlqE9mrPQXd1TdJgwyZeRRkvwjhMyeZiUnW8/MsfsDPUxYR6EZ5lGJqKW/rakcxUwhaFPbYh6vX7 1RegaRiJbKs6O1LTHpU7Yhi51vcqtbqQXy909puIVOYd58aum3L6HwKAsXtmY+Gw9USoMDEMJ89Y zvvU4xZbR52UU2CCHQ2AfB/4nt66yBqgGHrnpXI7GuJJAjrGWOIeGvwWAbavVaOLBK9w50iSeFtP MadNV5NINPdhMZ0hG0gEBqSbQOAWedzcHwxCBemfBeRnI2GSD5p2s3lervbpuxnvHMSH7hmyw3Xs s0xr/bH/UlhIriFfuYxlF1YmKa1JS9Dq33U67mecfGKGdPT0YcV42R/2AT3mYr+AY4SsSFg/pDJk cKypOhENQLm6Duwmxj2NPzEOQpKtvW98DRB6tn/Ke5SeS491v8DgN7E23uCXiUlwJZBowMurNEJw xoTj2sUM+Zxh3OPIoM6kJwIHXaSjGdZP14Z4bsehBvg/DoNQBwo4meTb1udeNgeRdAshn6qpjjOQ gPbMEOi/8g/2lBVDHt6MFw6Gk54JS8wx9snXyAQJZOlwPVjYeflrmfRLClKZh96S408PDZ4vL7BA 7RE+SzPtSg9IEYeKBtJYEAodZMjG/LAHGciA9BpU8rI+KU8KjxRBen1UO+y33/qnsYT0n92A9xEV 2Qim/nWx7N5U7ba3QhpHLCn/vjugc6FfajqFQw0RBxUPRni4M6VnmIXCV8WVQ66egnl2V6cdp4OY pHXNXmSZ1ySUO5SDsS5PMq0ItievZxYKdpGzsGDpkELhuEc0I5aT386XU2xgAJj4TwJ9zz4NiD7F x5+xgCqMhKOoAADqPQMKI/FjGWegoEkOKYQRysAk8VFAh8vo4vt/QrwRP3wnzB3+FkargKuijvp2 JPSfz7Jq1WqJCzsrEYx0Sv7PXjxzchwFmcgR80wpb2aJ1QVsbZ6CKSDWzOm2ed3K/QgSqdAABP54 Z4RFqh9WCCnLzUJZppztqhP1b5sx4O/FGNL5Zz7kqtFBGoWPN7BET3j54nm0Ft+rQ7BjErj0LE+T R2AS2/Hdj1+Zi73bEvYADE7ZFdt59BmLZtw2Ld2m8wB68F5HpwAZSnRWpsRZK+MVAokkHbG5d9kc j4L+ItSM90MQnC2vTMILIxDW6aHkvjj17dZ6fb7A6eCvz6HHsQPDBIAx81ob9a4yjojfYHF9cMxz sfytd6TMGwcs1t7pESxxhMHyq76UedymoaU6SFJZ1QCk1k4QSOjpYGpGUW5iO7uI0cn9BYY290q5 bYeOND47ibSa8XJgDw0Tck1oxTDIvjhUffoQcf86fAHEcmrcVVT6KBi/A9h1wezaXdwPAbKVjlIF L0CeGGW4LOayCNYGAZ4cHMycPAma2JQQ6IqQrTLOpv/+Fd2zQMnQPK4vS83ixyTTXhiy5408REK6 mJmBfkuEJGgCFoKb5lLT59WCADLHJQ4CDj3svjGblh9vOy6pxhWPC//rYhixw0TYWhlZSTcyXX+J SRV2aKHTx7P9MCm+BloRvlycff1RZy85ZQg/WYpNnvwp/XbdebJRJXFIXABkM5xQ4lrvfCqkLVTJ cGIjiAIQ+T5EOcoJavaG2ABAsJQ3XQDIr2GKCSF42zrVXZ7iSp/m916nB75hCdaJ1b4JCrtosis7 oxXnTHwD9nKaNFcLnPY+gKeaMaZuO23UJjo71wxzbLZNPPaKXogqQySJpe56LDPa6d96g8FMseEs yRywV1QeR7rjbHFO6KAboVJ58dhuPe4KmAchgFOlVY51isurZKkBdPdhr9Lx4Mc8Q0TMkfedeFdt 2DqkNLBt0Gzr2xewDSNBPvYYcAX/CDpw+CzQxL0eWl8hf+FqqxRHvzadduAz9JvrL2g4zI8pHFyI hhRzh5CDmaDh/qkRDR9tSpo97C1D54F8LARPeT15gDbpGetRV33+jL5LU4dcq67kfbp6b0uo7gUp CjYOhv2N/E1AaHkNICqgY7okCDFuHGHWP3672omM1Ro5IEbB6oSSpz6sctI/LDohlwwpv08byTD7 OxNe+NmI6VDc2yuOfo39ITxwRUDmBbDbOHPBSIIzZVg/8+KYTK7Tr6jHNLOswLwNuLoE7wCBwoVH +rlSVO8m+omCfOsQWGgCwaFik8PXCi6xQy0dyroXu4utYBv85OQz4YiWZURxau8d2lflz3r/zB8l CcV+tTno+jmYTVipKS6r5xaGIPrm3tpaultH9g2WOq9A/DKshnNVjRQIx9oc5dSidHGFy2Znfplg 0zlkRDzS+zKUT9m2vXlNWVR7Hs8BirgcYds3KszQFi3ak7DTpyGpQBEcXtGoROYUnEYtMy4pcEKf DJ7KqL8b6bR43h9PDLHJxQpjSGD7LQzAyozHw3Mze45cLa/9hJkc78Oaqxm09/YNMQpbAwyaMJ2o 7pTlkmj1mz4LT8PleePtDtr9efA+d0Jq81zTBRpGAHkDRaAfpfpzHFXV/ueQ60cMtw4RCBeGNEzq k5NEJ5xu2MBisKcKiX5fbgdWcpPtiPVFurTDI+hKOqPrY2dUHe0cYpygSOIfxArx2kZcmbdVjINa oTKq0IkdWTR1Uqb6DqZuuMaJ5uPKI8xPH65WtSLNKFtPhQI7Gb7vfGO7FKsWyZa5vScT+lqrjXzJ z6qMo8etIJLUE/PmNMoNja7tPseMC8YaHukJ2WT6Ds5Kw/Hwj6VulXrOMOLCxQLYRIAHqE1wV4Jk 0GcXTF3W96YWIlN3Vzi5Nh7EyqMK1zK2fEzKwOMAoJ3dG0hHbefkkIdFVnLcDDZw1OXrW1efma44 MsPA3iT96ZpIr6uCz1gIi5w9vaIrd1SCMHj7wS7T9HI731nYAjux+4Eeo5gUcxWVnxKv7VROM860 37Ti69gle/IinsAU9Qay01SEIWVuRLSnuXC5Yv84pm8nwkjVPv9NOxh8riX6KB435lKp8g9urhiZ EsKvb/pcP3+IXUsKTOYDLkGtimTJBqT9ksxEOgj1C4MSKDSGkyYhfn8WrhFZejpTcUuPjBUg4bpV DiEtVrGZq4N3GlxZTjfEliQYMoYRDmxNOAa90Zx5kLHtByOTHcGWOrfiOxlLewMln3QU+7w/ojaI VZogMU8jjj8wyZMz7SR0wZbPxPhhVMBrElnk1TDdCfZfGY35yzMkORo3EKUye4L2MrRd5WIYuCgI lylnGSgnZNR5VMg2bcFHlKtcwYSt/9SiWu0FEp0qYQC8WhyBcW2cLqcv0cx5Rw1T6kgExfq0427B lu+aKWRuz2YETv+snwMC5oYv4joCl/EAN3S3k3yo3eXpIrIOoSwLfa7oGN4b4sVXsiSKXHFGeZfq zZhSA0Mhtu8unlzN4wjDjdm/X8EyZpthsUicE3yXhpbYWn6EttlHLCyiA4fLC+trukGUIXJIsGWP R5NmY4tW4IBfO+f8+qBi/k8iV+K2DleJyUGmREZPycN2fD+mw/gPfyQIwq1SezTh5h/ctsEoSaKF A6LGR1KWBuVwGBM/1wphkL9IkI0ZNBvOSaMNbMrKCHYc+SrjWQHOi5bwy8lepnWZd1W17ABtguwz E88LbO8CEFRuK48sxc7xOndrz1qrGSv2eqseRS49H6s1YN7jtFYv+H+c8QoH1ROVfs5cBc6PhYIv h7cZ38p+621wgDEgdgpCqYhPuKtQITYSi3Vq6/QqSzFbRJf5DLDBVGW/szYjORbOBhhwuU+MLHwJ WfiyLQnPZpYXpWZrNOztP6d2pFpb27fCSHqcWnEdC8zBBUfB7IlhesT5kUGW4pXRuQtw5UGoTujt quibVs64lpX4ure0vIvs7EQBCN9wx3FVe6TAN9ESlhtL12omnxvl7ToLxlWeypdsACx7scKn8hR5 gpMqsdnUCXOWwVPPl3VRL5mfVLL04EmM65MwnnidEE393Ekfu9SO2lYU0Jph5TDQPwmBejkZXRWO 83WhIXzszZsFUl33NrCijoFJHYAhIsMxV8hBAPlzaKCLSJS7mjjngOXPal8vCXsl9Pb/nne/rzwb 3Q3Jrv8clvJLcgDPh8yqimPS2IQBlmwH4IKbNlpIV1c3SC3QD2hq1RE43IOf5Y62ZYj3aqM3uM3R XSTcQB4cED3iQt5OcArELmrvDgbprb52lbSEPxE5Nx8ynvavDa5sE+krA4YbUM3PIsS/+JlZPC5L Fbw9QXUtXMw2AoYi5n84TxyFKG4PhoNFu8kXhCgH6RvnN9gS5LNyidYYa2V+ado8p1gTExvMlzAE MsRmuKy2/fYKK0AA59VrgIm+WQeRQGJyelkMBXwtoWggpms+P/LnUXGn4pON3w9kwIGKkVSBwXRL o2XwTUQTsEjfqxxi5nJ7wdzoWtPPucDn9zKrMPxYd2J5PLI47la7u3y2gmEQMVRkehcmrfat2WeX QkDTnKJh/1oLMtoiYtaxvXUyxx8VMBeM0lIChca6YqW3rki3NyAotoBtGUTYyXh3FhN28ajqJk/C LLH3myk74HmvQwgdzd1NI4Z44Yke8DKgllPH4ejkeGoNukcDENraaqfh8GzLKSHpGKA/osslmoZ4 5gyuj/0PmXdhdk9yNFQ84k3ACJqnD2N8uKZKEmiZVE+FI6iYHbyaZSXGGTq7+2PQYONlxLlvVcPg LwPRFJt2ugdcErHL1dGQwyDAR/zJJrMUwcgZ0tdUPkrEcyowJpLLuJ60qhFXJPRSX5SnJYWDD+qz DvF5MLtkxcxyRpDqSAPqVNJCJWIlM/YYC17Aws8vsic1AoQCPj7wjaRnks+DVD9rVSqJizd0ZKg5 f4KoXmFnGC45lji5vJjzT2cw4Vzvlpd57Uhb/+kpG1NH2CYzvxBjyW7ftdtJmUhHvt2UYyK+0t8t wqefkX+Ycl+JRQFm70LR/N4zRrgS0v1gKL5D5VHWQopqIa7utb+FD7e/5YFClhb+vhEjuBquM0pe J9BROC8zIfyP63IKDmyJomhsTmzbtm0bE9vOxLZt27Zt27bt977z33Vrn9Xr7LrNQy/iUqTKzQpI /4EBfZ9BlE2Ra2EDygGcZWSO/H9VX8hZKTIsMEFWM5S4veG9SVo8QIDAxF4pNJM9U298yHPXT1mm 5GBmzasJThOR1Odgh4piuy5yXozBif7bGswwqzKcHOKIg0eZXs39W2MZC2oMNqliCtTDltx1vFLy 6i3nm34PAIKT583l0TvF3jaeZp7D9V3MbjjJduCUTDmCDA8FLctV82VDjGKgToxCUnK8PHU5Sin0 QHLD2n7KqdSzf1ll4TUB98Ej/ImoMp7n8WFYu6WFl8ATSGUM/nQsO/yTGDvxPCouAJFTPgbi6QMM d825Ckv5x/8vDsZhnYU1bh1z0bxj7Yq0T7poM1s7psZuV9us6dkRq+OlrSJg+QTOSL3YThbzQLj2 QLoFUV1N5KseN3zNTkdthviAglLlVzmw+7l3ggoeHSMvsR/BZZkMSKQq5zCCYSwF9zu+F40A0l1+ U0g9//myTwddOpmsEzzYgrmQlpRILO8PBcS4FmCWNG7m2sAbC/cDTT3I9jxLnTmGnGiI6zR341Mj rQJzrqCUc893hxXSVOigtqPalba11SrN9NNzf1cFqaD+P1knTkp9ARgxfPqIJ03l8ZheozRG59E/ rGKFusHj135hFz29/UWIagmW7MhYsXpCn1kbQBaTdtGJsd94s4D9QSXxklyuDwOyfe0/GDmpSCob V1uUhI+scZZGzsEc12nTgXfQiCnVDzHnp5TFED/InZEO0L5UfX2HTIhVdWvCaV+04PRKfXFjCR0l 6l9/7NsmhEVTiaJoDhStHEOypOhI2kbWYwXCtQxoKYy5sqUePtbk4bMu5qokHYvKwAgkmtg/AFxS 9GFt/ka7jtqwN5yFN9GLr2KsipBVnzsVVIgOCv2j39HxE0yrSCi5rgyDCKAqiKy0EoolQ0D4pvLF PJCKP68jEkncdKJBPQ5DsFhKRQS9K2Xnb2v5aRQ/c9KbVU23Bf/EsNPJhcWeABzLvr1FS+MgNHeX +cLrWsSdTZhE+1zuN8FLYDVurjZZTdL8WOTc6OCOzN/z04N+/8Lxk7h7q6Yyw7wGcmDiX8Ev0ghb CYt/veglb2PS0eLAdCCPWGDam5QJvBvOZJjEJ0oNkuSjPjjFMTbw9RnNDEGzh19VZd7R8FTgraEw p6iEdMjAaaA8dxGEvvvG/2yAcYLRuATgazhg5fwMpt1JZNOQye9GvxPydNq6zc5fghhe+jpGrRtL BBArvsA5W0ugZJQ4of+xgRFEnZx1hskAbArTrFyNwImx8Ff6911M6Z4CD+Ze7ezsLtlFY6w05hTz fTqQcovNeQMEA7NF30yNnMVd3Hw8Ek4U8QcoYyRNa3fzMf2FryV63Y3fueQLcOoPLKAoIr2yjrB/ wvSHSjrS1V298pzcZC5+0pWxW6h8zOUynK2gRht62dcw9cbapb3Qs2rULlfnSt/2RvAfsrWGu4Ix LkI87LZVE0Xx6l6qN2ufWRWTx/dgpqNndL1+Bgy+S2fOP9LLrbTHC4qam2sl4toBsGG1vn/7Sk+l q83glbCROHrVtvLmNPerHC+dUeADq6KlAcoPmxY308PsemvDt5VR4dBxbvJ1ndjLdVd5HxcFZNA8 YKpapOEAxNmCYEQydYQ87BauZU/REuu4jWiXRG5zmMriJxbkgF+BvHRd+xlkgd7kBuPWLIAd5G/L HbOqFsLWKpWo4TusmnJXVveJ0mWoXaC+9VeyKSsH/onTxsmrFlJCqgQxgRO5bT+qZ0BmVnr5k1VE XrjbzOvwHOWO7mOacrO3n5IAmnvXo2vPtJYHYFJNTQLh8pZc3n9+AtYtNz1FhbfubOCPJY5cwdI2 0B7QpekfnAzjAwWRKAuyIwLzZvVqKwJ5t3jR0SMVlAsP3g/fOQM2ugEQP31BuWE1NLxuJADOvUsS dCwveeS9h825v16a/IyjkecaPlqEXoVzZVYiCegEqMs2DbeBycY/UyQ4zVYSPwD4EcsKnFU+EVrV B2hKg01SuibikFhn4tBaU6q403s4xY3siJ0ZNhRfhnhgXvDnCR8lQh/Uh5fB8TzlCQWa84jzV9N4 pVTX0wmJnd4KcQagFbsrsMYlFSmXyuY3hVUapzu5VycqcKibtZA4OImboQXZW4ZLu5vmS+otPo28 FJ0s47YoZD8BjXTUO8BPapu0EwGr8dgiy0D5eR81qTPZkx90Pl56kHKU9YH6ScUbmbBcll86dt2K x94IJjPO2HQjO6bSxNviRpeordwyljg466HBzWLNKfUpDPrxNWuMkDGxmoyil2DrGF2ILn4ifF2W JKXHbimHvDnoJ2v1F7TqIk7QpO/7DXS0NeGUtfcbpz/fHxJQO84SlnOqLT9yVDLKUQ15XQU/e7UX 42Z9N0adjoAOvAU63J/uaT/xksx5z5kBpWm5XmulSeBRd5vLAMz1cjM5yzM0UtSSo3pyXsM+qpxb bzwe7a0LAwhMmf6Y6SSwmTXaz28oVYNkhyOjetibyKR7DpM17Twsmh5zkdyWW1l+06g1dBoeeMpr xnzBKFjnfMdTK+XUet4uiJyGHYcKBx2TrLTFYUpdDsdB9VHaOI4AKxs0SLnr/YWJCXtS8D06sr+4 RJcKwB6hX6e7I0XslOqJTGUy2FqlwM0EHBNX5kbszkNL11Qt0BdLyxkZaGJbcODHlo9ItYxzt19x nb9FYFRGOHbKth5K89kg5d2AyLww2vItONhZuYwjpzFQ8ptJjdFiy9QbYN/DS8mELT3ELCv6WM5o uvZLSlwp9nxQ1yhtXzESnCWkH27TNgaHDps7oMlKnky1oDTa0x+Nk5etxVHgg5B1KP8BBAx/E4tG 9YIush8bQMYONpI1+gGJqIqyjHgqRiAjMIdq/+Z4h/fi6ElgqQmySOGnd686E+QBEmvXzV8Pit0W 5rNmqs1Nftfur3KNOYNkpDNHVzP4CjoGvSZOembi2mgfRYwW7J+Ju4TyYQj4ckCtiofcTMJ8i8H0 KWelh3O7vYCY+poAdNPFem6g5UBhBIceu03i32OUvmfgXzy6EdHN3X8wDxQ4v+dFOuFxbGaxPtgw FHGdpGWT9N5mDXCXPjzM/GjUxLkV5qkupLrL7ndooz559N39CwKJm+1SzhvGarUAOhYv2eAJKLik bvSEkhN2DAXG6vuMZQ55cfPFQm/NV+8+DgJyR/vsOqIfD8cxv6NGazvy92GLDu2kf/ULoQud7G4H SJO7cdEGYSPjIeVWi5/zaHcS/B/8MbrFHEPKVFCHpB6EH++LZNmDFGxoIaFDYH4iyyF3L1wYraio +03ZqmBMYyz1NK5XStxCEdqCUys3VdeQffj+cL6qAVl+AjsPhBeGDvdoKJSdasTbv+eQIVufIOHP Yt2O5aiscJqxhT+VZKo/q3g3gRlbXX+jtFnJLpUEvtnzE7Ta23xA4EAWoe+RhsgmnDhfisUcU6ln AKRIcS42nZliMs2dgDwLV7axpFuL8CO9gf4Q6aGz6xZy/v+T6JuhwDzDhpP3usOuCcJ++zfTpnsd sz46ipVVZ0F1/vb7fY3MH3a2GISM7wswfnU6k8qRh9yDz43fFiFGtyAStUjPNEO3KU2+I/sKNfDy x2O02kBN686TtANf9Lrh7+n7Nu0dEDcQL+ABX1946xgWohqQ8756nveU4y2DL4ThHaVL02r5RsrO wuapXsZ0yLnHohhxXUBKhVPFi4DZlcjB5kAwsH6t+dwz7is9+hMAqGb05ZVIhNpNMdyOa2RkMJZ3 Xot4FwzSMU4Nq+byQZ0lo3/7ghJTp7OlH7+q8pALJyATtW50cMtlMd1OV3rRH6TzjlDarQAZ/cSI V9Z/JaTS2H3DMqJkuvVmlZEfE4eUwV9vNT6PN7Twyc7HJy3OuR7s78GaJxIc/4A/5gXLQzjc72Qq cQE/XgN8rqeKvrfGz0VtZSjuBj7BVUsCjC4WV4QZ5kEsuty+BPcuhMJpKkcVAoqyKmRfQkWcKpuN L/N0JHwjyjP+fnRYgRfvGRCYa/L1RNNRKRV3yjAKivN4SPMkNMszCN3VPHthfh8m37vKo+nSlUzI PdMOasmGuOvm3syGgSc5jHl0Htbi2NCteJs8p6P2Ixm5fEvn2onV1HUU2y/X/xxM1pTjTan+0ZVj 8fLTOIZlxsyNqWikiGfRLVnTFA1w8jKqI8M04QuVWdglsbC/frKh1aCOXAKv8zq/lTuZW18tTPMk Fg72BGhdidiHFs8MA2YshVXx8INGlouTOuc9QdSbwsJRy65/Dwh4f7rYkpy+JQBJo3Hpoja3s9dQ NutV3OEBH7cYJtekMEgOEqYj4m/E2AvkBnZIXY+Rksn7jB9qe1NayGMRFrXjeDBNFkCU5cfdQ8eK ozyaWfDSfOYmMSiYyHqpxHlxGEnaL3x7cLjqxxerBQBJDoixOTZ9bKnZQ59qR2+A1JrtSIeuUqqb pSa5NRCHXbulhC/uQtMPGnegV4/iRezibZOAXeBeid3Pv/Sg2dCIVUbK2Xgqd6KEL4cg9GBkKjzC oOS8ayT/kX8OO0F3XYKWkOXLuKBFUSZ3e+Pz6U6pRcql3vU44FNdcErQoBFIt8PK7YkwETyW4VQg SHX6F8JLp1xeLxGCm+EpykYqObAiBBocCVFXdWQOADt2yazYHOVOqykI2lURL9wF/t7SXK/0fPoj U1aWK+KI2JyncJETM3fiOGkflj1cOUyzO44LX6hEGJSTL1MlZkBv+7UDQE3pzr/3FbhKipnoZxjT FPb/89c2Chpt+9lmncFZ8kI9hWcWKIgAOLZ/feJh7MXBUAXxKj+q0b/TdfePcf/X/gaWBhGtjL83 rgCGfxiIyXYDNXJdQ8icgSE02BYw/ZaTsVSyMrIqKjwCMuher+YCIY4Cpx99gN+ZiSGiTi6H8kR+ hzBzqw6PUShixBGFHv1D35XR5rRWDwIx1TAkbRS3DBBQp3zgGoE7R5rALaTwtoRNDgg6hM3pYMWn hWQH6asJI7LSaySG/R5DiLwgKtxn9kKKh1aHcyMG0f7/L8HMmAaXSrTx1148jcRUIgFrWYrYrRUz dl4Z5fd/yj2TzceJTJ/P0OSxRjyHsJuw/N/T1QnRJKK+FZkULzfMfwhLtaWUcrZJz6uz3YLZNRJs HF+BSuVUeXqQZtb+DHw4+TNu8t4myhSJNIy4KeVo3TVm+sJ0ri/D71/jEmfVVMxfRQRV55ZZUrO0 QabjXwx31zcyQ2v1JVHS1fSna5ZKB0mpOrXV+weTWVTvayxa2ZC0X9L4bmi3yPbFni/jbCnTeVWI kzpTDLhYnk29qk1DjjlriTMZUS+uzmUN63RbIEp8hkbahIopv52iiFofdDBYokls0nQp98OJtT2F j0zGuRsX+VS9YQwhqKtW7zsoDtdO3BwcQGgIcdQgds6HI8RpR9lmjIJ0Ae1vQy8rBqk4uu002R2Z tTjadhC7bRvkFgJBUOtQSy5v+Y/H73MalqM7r5shTMElE4zKOkSWhBWJzYcbrjVQqaLQor7Suw0F tVtRS625c5IkIXv6N9UFu0ACP4xnwxwW5aanSAFIHdoGsiij/Tl1XLw1z+MlLN3IizMcsDJxsT+G kS8SHVcUXOIEy3jREvkxiUSxQmBJYS8S88nPlFHCu0hwy3FP/LoFO+saLXuQ1Z8911NVhmJH6yEs 7c5mK5RKL4YwGn9bBrQ5F7L/YeMuIp8CzhVA7jp41zHnUpjySPwxitRV6L6LXXRW6C5Zb26PcLcp j+7RB8ocDfAijctvUlArHW36HFPWHdXCxbalQ9awMBBW7ilWyJw68jPxOhMKlmI0sY6pU2Y21QYY lPpAkdzoRd6woj1CzdNa160vdoe8BGRbaqIpMreTObIrazz+FPbz6K0yqHwDduc608wWBkYxg5VD YwtzrTXbC97hKhh1aLMfzSfGw2HdMaYfMqPuKZFNE4sGKw1BUBBQ+ptLQJd5FzeQ3Mg1og5/FB8W 20iBRiqgIsSim20gL80FAaT57f22Yocxk/PzPAYHhIsCtrVJt/CXDMG+Edhsq7indT70exV6BmsC dKUQN6hVaxhgHuavdSLZF36d/cmxDb7AubOe/OubpshD918/X/Lrd3zdPxbuqoRDjTbHWIvO605X iK18VgS0a2y7JRUbw31hSHhEbHkRh8Y3zg+t4cDqsCACum66Tr5vsR/2ALzaFmWxQD0vverCqnJo MjqT2MqUiDNNT7p2wF26C9ptAI+6BNDR7tTgZInxcsaWcWa4+cUh6brz/bBwrK2h+lGz1mEitdjE NkBvi4HY/a9hLj44XYRdmnl4VxICxCR3cLDffHReukdR/zgthJqh3tEXfq4tVu9frHEG/BNEXzWX 3foM9BUtoI742BmmbehFDp46v6ItLsxCrPexKG7M0LAslpyjkisqvuc1MBReg6alD1RvGk4711ub o751YNmhlRDmAdm15EGddf6dWzfrpmyOIWx2hQOlhVCBcwEv2A0ZT5Xp+bUTgIXyhddMeOEcws40 x2b0CqXaL4RTKze/MXmii+FSOintBEv65PdS+TALV/rIRSTmGm0doxJRCr6ZCBnRKrxtiROr+lN7 6NLQ4WGHDQCzOD8/kYgraSArFDrUNx6mWUk3cwKCijUpg7VX+XEUhPrVpzXuTryKQx98RHTsa00Q TSx7z6LupiacBLrRowdTUEeQCBiI69/tr5JUWW4Mg1BcfDBYVL5q6UE0eYrBkJfaCuAqTNz081s8 4wIHnpaDIV7JxMDVB4uYPnqHM0Sj3Q7bfYd+3MUH/NSdmlHJ8wH1P/CPVpEnTEwC2Zbdbr19/xSI RqbMUCQeYkXDtmFYrDLk3jbokU/Wnaf6JLCfVYxE+rzGa7qqsfEjJihoF9VSPprmboqJXTWoGr9m ftxzvNEIWQ22l6u9zHcuSNv3aW0hyW0hOC42i6hpqbuDNCjfE3PH5B+5Sr6tJ/NZgZLusAm9pqsS pAAohhULWSrmNAYQ5EBjYuC0dLshzehy0Eo/nJPvLW2WBmflC7g1Zhd10zVbieIS61lJ9/rK7a+i OlfTE67bBzrL1bu8dXU78FVDoZrNsEjMF7s2Xn7BCOr6lYMuhDWwoQWGFpqWtuPhOMbue+2dH/Iy x03GPJ8dpY5KDdD5F8RoZ20qseSVSWvbBCCrKK2f3cV6L14Dy4GJ967XfS/nituON7JT6V5jSDbS HyUu0p/ACeEL4rwzxyG8CTZrUJtPD7yOEZ/TTQfz5FKC2PX4zLKF9f5xn22SraXYYKtP/tdkiDjs T9ynbqReLtMW/AGQZaet/Rf2hdgPJO/65VNHw6XQYwjSw8glQ/v0KJg6UkWZ8Y6qdN58lbP98QDa 5eZd97Q809uFFiFEWBI7RQYgQTcMEGRayGNuQeAT1WB+Da8w6YJo5UBFk7je0RedcByzv1YWhIxf ne6wSEsyRvvoLZj80H+9C+lPIyVvAv6uSBcFFzrHTF5/UIiW+kotrk3oCaeODGFEsmy4SfJSRENE +MrxnlskESHUQrneYAJfZJc1IH4lfN66qLc1hCT5C+5aE6+J7NlTBOyLr5vieUuAIzkEHrwoZxIa fM6FikGjB0E9IuLygXgf1m1E2JvDEpuoPG5J/XRJP5pJOqv7CzzZUN9jLgh4MGGyHjElIwovmkxS /h9kcO5TepqTMhqOkbSDrCjq0xGupRgJiqDKmFZ/G8yU1y+6lBUAHB/EpOhv+sS/TMr7PU8mzpKG NeVeeAyh4hjtpLo9rtweEXI/KcnMZfgOGtD73CCIaSUblDpo9wgAkz+ys3rH1QXjbqTrve+iVgW6 EkBBdNobjXGZlNohzb5vREprfp0BIbB2BaedrK68YBJAW9+wtehdqn29SDXKKBD2lSyJYFzTeVMJ 0WneVRWuGplwvK4kJRG6x26yYVK7/dW5r7QnzxtvkO3D1QHc+SoeDxWL/+JZr7OdTxHBZ8agZeJV a+iyITjeK7/rtU1thtGcjuR6me+6AB78RHt9BaxOZzUQJQlYqsOAOvR5lcDMIOgXFLrMdRqMKG/S 6+Huyua1Irornd//wX0FE7aQZ/5TIdsSWvHAnfqUeDUEXT7QK1CkzG/omg1ficuIDNxMcce9LVGa 6Y0j7k9M2Oyyj219GZmqisCUzFQHv+c9YEy75DsnAcSMcIdbCkSyzfq81aUlAw9D3XmWLzo8Tn9N w7AMelRAfRa5wyWwqPpzypD7PE8zOifNCy0tiqfHgS2e/tpD5IFm7+Tndb6Bhi6BEcAyl8RPSLim SJWI85xcvD/DxkLBdUwmcRcri9iDbkVsy5Owd00B6VZDIniVsiSRC9KadP99xxLsPhbTxJMcIc/H s8/6SvOJkJJukGc7tpcd5TCgAYrZhJhWI8eanOjX8jJ4UT+GTF5lnE8iU4r11IDgO6wHngEHu/+t TWvubJYKYpKm0xgx/0zw5GQ6rrATxF3/CEWO8AiDXnROl9vMxjsowbN8PbiVtK+3D65zyk2Y5W+J tBrQ2iOafGmgH7wmOuIQHuDYgnQZ2p48vLN0uXRl16efFtXtdwzjeKYIvdLBf8EXJyQVc1A3cyaL qmlz72NQsnvojbkvaZsbJ22EpqEV4EGdb+FvSWJOCT4oyRl1rIOEyjOwDkO67f6PoEKE2qaQF9to 8b27UCHbIA7g1jq0fTlHW2vUDWsJfaU7YjGgSQMng/yMPbzriPuFisAuJqBgwaLfeBsf6kv8Hur5 WCd9nUTwpBdzhKssdzsK6Nw4fcA7HwcsJjH224vriyawMLOyUuWS37Qnb6MDlLFEr5DpJ21g24yb 17m65DZEMJj4HDgF2RYvjGrfCAsm9QmWGAkAsMGciBnRUhd6hhYuyKqCwhbexlRlpZMJfEP5EmsK Ea6bA9UzM6jED5d5kN8W1bRjRyd69y0m9If/mpcL1/8ISuvg0LTNbh2YGiWEVtXUJ7QuLXs/LhZV D1jg/eHpfnAEwMriESYYRGZpfeB6X4OZtZm3P6GJMu5CNd6QVnMTzfnfM1SVhYEB9Ib56eG1/b6h 2e88SdpsqaukjM9UhgzZSg48HLYqvAd2BjhY7y3DB7zdUsa/8yDmux3rcNVKpTA6JZhzJtnfR3jA y1SiCaqJbNRwBefG/s5T3Ux2fVVgigdNqf7ht9s/3XegsnoeQyc0ox53H37BnPk7DwT3CYXt0DeN 9CiuG2VSuRaUrvBb6w64jMMW7XnodW5vlAmzSKhZ00paHojTOC1ZA3vgIbarhPf+Fz8XIGOcIILw aWrr/gFaKArtHmYquuo66uhwZfiBWvja5z1Dcvpq2RWSNy2dqw6T2eF180scILBX/EogTP9kEiP3 gUq8C5pSHm2lgiGua7+q2d/bb+HOH2p0DPpkbXUuCRNPMgqzbRKdYA71gy9iAI/1BHokitZ7Um2B pg2MHHVX5ODNlqJQq964IAHUTUwbsPcjcZavK0rXSPOqkJFgJciWumAM0KaP3/P6BSMZxFFQ8TYS mcWdCH4r6CUtJVk/iXYkus8wAh1hov7mf1XVwJ1ZgCkddswHGb7zgEDDhgJ5+pbqk/43kP/qcOji N/8Kd7PVCbdw+UpeUCDs+KhDA8nOnyFj9r7iUsooA06RrxnuWvaECNn4KYSQtr1NLAxWgm9pfZch 6muLb3kkk7xDnnmlu3NGajBzsvNs6L0nht/52dJ3xNwUuWngzgAQ1frgToXY8J2fpCUxAjjiP8CU Vl9/n09sXBk0BGJ31ifGeOi3MOcAfAE/mM+9ZPikJuue9b1R8cH7oQBsuePOij9zOnG5qLn2cu2g AXiqJCoA5oZ4LYMoyuHKuQaCRgC0SOJ4CpDFdB/UDn4FGFX8gnTpnttXTwKbKP0e5mf0P0HDijKx zeqwsx3RDwDFMuJ0C03Z+44d/80zwtxccwXBrla+9bxtf5jeYqSPqp+LMcBWrmPhtcvzNes3z3or 6huTLjV7m5a7M9lKWwWi3QVlaUfbs+eePXHxkPv93zzdNdsvz7JLPsVF+EHhxm4JZ0HkJyPwkx1R 7y+rOF/NXX7PK5xfuv1zAvd3rbZ+tEIlrirKtLjR/9zCxNGyVYF81GzyN0/UqBrfaQJ9yadv8W2t kChcntOygQhG55TZvOXMGxAInd/+X3c2TgChPkLgAfBx3agmZhTmVPUbIs2Up78RMCChgz789j92 gKyT47BUXVlYUTK2MvHYUtXupx2flrelObWOaXfj8rf/orU5RwAFrC66arspuBhKmoPe638opZqs ViCVDMOTIW9/z+vmDYMaFDjHmr6iWVS2AfczftwpjecDLki+uF3TBR9Z/XveH5aIgm6k0FvAM1AA QaPzop3Bi3GMMg2x5KUjWwBjF44Sb6WhMoHqa1E94vRtSKaGNM9DzEIeIdS4wqpRAiBoKkC3M1af Cv4hzJNSjMBqyCbACHIz+MsMReCGSln5tticHyltQqDkn3Q3ODvjSYP2WyaALjQTyVeBniz22rwx bL/f9/sFuwT/rirFhCZzSKg7u0hN5FcC3Uqch26toOfxDQMw8fv7VeU210ChcsTt1W5MuZwlicPJ koGJ+XimVIVSXGanAg6/fUZ/ceoWu9oK7HT+K2nUwpMaBfB5dgZLduI7fJQN6bN7/tvnwkKjRIEg WDKjPBHwUiH14XNtAo6yMQQpjvHJDzo8XIPfPsPuU+Fm54LJZoakW4K4tO4hfSjRRRIQrfpe2fLI nPNh/J4X6J1lt2Rq00/OdyLrcUn87HGZyVZcFvtHEOeDEyExMFLHUac3mtc92STXnTnQSP3chmTf nzyrMFRacDf4r0AdjluG5c8jUCzPrjtKurV5kmDgTpRXI6vOVSF60HqfkIXpHH8P1lpUhWIAWxB3 +QUfDLBeGkIFm0VjQD8Ma8Pgq0N0Jm3bY0pSFWvC+v0sg5ZtW3w9OtM8gPBGdcvBwVtO78iYpNTm LC0FG+2CIBdVAdvv/KO8qg0FevOy0TlrBgYAN0QXTFIs3iVqG6BnxE2seawFv/OjC3d1I7Q9rQP6 VRWUnCWwxphAexxsVnQmUOtpdlJ9EZ9RKb/Gu68jsMMrfLTmyurXAKxonFpSSqTbYkHizAQsuTVZ sVLHW53dEgApz6+BFv722TEY6Rhq3RAJzOS0SbYACjOWlcbHgYzx9E7rxkObLpDq9/4WTJwMJ9dD sawzSgSmoraYg1Isc0rZKLHuDVPwFJL7qv/e354AlapwmOOjwz6xf7TnyZDWCC2oIRNhtAlfE+YE UPUEv/fXvUO8M9RbV9j/Dc0xKaamPcYKXnq0weRMpWu+xWufi+G3zwmgXVgGGgmFckNp8FTIzsLp VdBB9lm7PcW9NZNzXHF4v+83w8tB0aycwIOnGu3bokGhVl3/1tmSiG884UGXfGqT553xLWHFoQAZ p1BTW2rBldie91+KxwcK3oIh7A5T4R7D54EkYCvtxf32E8UjX90VzOlvnrXZtl8HdrmW5JhvV5zW ospJo7t/3k3IFq25A/sQKGQ5f+cHBYxI5yoRUzS1XlxlKY7ZryBI+vqbiNwfnL2jPDg58/k7v9qk MNrIQGierY7ctQpqzCcQ5/FUnAOSUQWci2nDi7jG7/wd8LQhWU+aTJBiezwaD1eTBaDSfawWvVUM Ix8RNUSvML/z95u2Rj7WtJZS0gCRjyhd7lkOfgmCbIQTcGJxTXCKdzn89oHlsGC5fLASkoYzrgPS qDI8/8KX4g/dktIhd1SMv/NP4m8fil6G4nsknr6jlMoDq92vB2eki5H8/Q5ZsmAsvGvSY8V/+yDA eXdXDra672sokqNFg57UmaWDoNCb8yDbIwh01fRg85vngLaQqHlUU/nSltKFgnr4Co0JdP22BaOv 38fREZIzls9vnn4lK1tW9sY4sjdaDoF/a9/z9OqdMwsevbw/asReCG0Bf+87VQENnKox+NyCP2G/ 4ZoviLWvuOoNnGXfKZg4ehoiqfXv/jSybqC2I09RHs3auByQFvCYCDqa9hqnf54H2m1p4BqT/d2f fJvmvYIAkes5Zeo1OKz1KgRqnWm6mItW5uJOXvxcL1u/+/N2k6j2D4ugkoiLWp/LUybwQg168lis +IwWmroJxl046+/+0XyZA0dMIqvr1Qdw9TV5SR5IeFlCoVCYW6/8q0s9jmHonixFmLKGuoAfEtHq py6+e0A9njKNmyiqAZ9J1ztxvJgljWAOMDvoZvKk4qvGmgryu38C/Hp6+p2SAa21ANCBLvuT0khq TF+F0cQ/cVBPJZHtO3q3FdkiJQxmKcfMBrhV3iT/FAeoYWuw9g06dpsYOZJC+2661KjkC8sekzgn H2aQD/728/nynyYRA3CGmjNvyUvo01rJfKvdJ45wXsxTJBHt5tH7bx+4b0kcvDGKBrBxfri2D9Pu HtMYhwIqIV8fdCKxVs7pSX/7wHGuaEqeyaZYNJC+zqiqHQQj+ocA0NjUQSzZoAiFKRf2tw+nFaZA bMHIJxx9EeMLLoZguh/aKy174DAtoC4YR7KPjb/5JxTdISg34dv2XSAWki/+taFt8QUUwaV84Hrk vTxvpPP8zb8EZorNr0tiSP1kMAY+Eo6FSJgAnssriw81L+/aIPcK9zd/BQhkR/ojSgsdw9GOQttA 3DtilL+Nzqo8KKEDNg4vYENZZ7hyDxvU2EANQNCGcp4midbCexbx0/+C5Gt23jwoJi3jzhEAYahb HVGmiKi3M4j8b6s676woEoYdaFiEebXe9DkWhvxs/g4iZz46M7crDpSOMnvDisgpLMiMDkvpdP1z lglteZhSXgj1nJPBwk6U3c6nNzenqfe45SUQVYr9kbQ+F+O5meMGO+gy9YZcBtgL50XKQizHCh/0 0bXwULtjeUxG2bcLKg+6CoOoqdpnEkTxQzuoiUJCgmomqIh1ZybfXbnkv0ug3vzgVsfEoEXZHqgz PC33DhIk2dSk8Oxa6oFyJKa2mIETbkf+kxk1VLsaVVv++NlaALVQlw5toTZx7NoQR6WBqEKukkBD zH5gTKu2RG2kn32RsvqhX7Rv1OjVPFYMJVL70q4mLi4hfk2pdO09gDZBDlQxVOqHbx/thsb1nLdr x6pJtZshbXco8NdZ+JgSzGzGBnN2qFEEh1a+t77KJo8RM8kMBkHUxz62+Fl86oljFnFCbaOFTBO7 x2cY91NS8gykpH8WAUA0wGZPhYCZWgW0LP9uWHARKWXjqaGGQXoNdhmouQu3C5T4Zc1i5yj2Do+8 rEyUCx+ofhCK/A+tB7yAls5zqh9OQ1R5RK/EgFCIN1G6ek/60LL+n2OoZQatpbvLjdkUMkcFZYvX 8e2ico2aKEJGc8xiIcSbJHMCtUbLdBf/CkQhShYajOfpzR+dS0HLFwBCPuq5favcORLuVzZvTquD HF4pNZ/lbb1GP4g2S4NVYO3QyrHXfv3R3+f7r3nMdE8CDOdLXYoX1OE4yWUEk84CEORjciaGtWMI iUlh0AlIRAFw0tvqQBr7wyPOO1Kh2lheqI1d18alaOXfLTyb9bYBj5VmNT166L6eGsXh8pblGVlD ZKS+u2sJzwG7JMnOCCZmkFTzxSIM3taNg3ylBas+pkWDU1aNXOcngz3c4CWJX0pg45F2b+01ySAf 7MZf0AQ4Ez07am6FT2Xm8EoPFoP3wa2X8xHfSJGfDLEsPcpFLu23I3BnfY5TRHi3JEw6u+2XINCk beEUbYc6vxMILMIdinV35Q9YXAMDdH7P+65176/8HAjtfuK8InAW9BaYuYGogQN4Qun+2TYYw4T8 dgjWCVM6PsYKISff3trL3hR6eafHY+kYAYo/xbUi4B/d5VsBT82ZqLZocK7AW6F3UKUpaFX5c9Eg M3FR4Qp0pNlwsoc6SP68eYc38OUUc7YdC8QWxwvf3FfT7vQMXH77+mVmyYHq5kSHXE8tr3u55thf ht/uz5IfvB6Sy8uW7AxiyZNYwGwGlRixuiXJOjAyMGngpy9yZcY31Fmz7sAXROl3jWItEtVM92op ZJeQMab3I2Er0N1DJg7YOZyPSlg37iwK7F3fGkT1iTtx1X98JXTU/O+YdE/KjkP8G1WlYbjJhHtk j2MJLyjGcWt4zqjcjC7hhXgb6FaVGcx69xojmvp0Vhr1ETc2ir7wRcxX7j0LIFVRLb/Ct+ADhL4C a/wcMn450IK1Qsr7300Jhdj8SX+7lughBdV6AT8X5CHF36jIcW7x9Ieamcqi8GhbbKdrfokttF7W pY4zzJw5MoVqBxB+CGOuCVP9O+CU63Ozap9/QognXUkWVWEXWJIwimMgfWJODq48BAgeyVQWnT14 6R++cWj18UJAvQOwIbePCbRhRfzVoDv+oXDR1SMB67vM62IZyMWJ3qeu4DpgWAInuMUX72KryQo3 4PsR2cvFSet5nFVHXK0i7eBm74VJxnD3adkZvBJOE2pO3hv9Nn1bU8XsHrFw6VpSTNdI2lX3URCW NN+xlDv2qZxR5V6ZxummaqruJ6NwI15rW93P2F17odsBsgdbbxuAPZRC3Qvs9s+B2duDMMHvadFp +WSfTZDslwHyjnDNXGMg2rY3GjmBWPRyOOiKmduGbXoMk9PR2XLaDMyD2z2p/m2pRT3SmtiDVfdW r4qGxWfc2exMxxAWxo+W3FDiVh/8IFGxpF8ulgfmaeFwBk9xXYW1bkOPwXd5jlKp6Vkg69bO7vyU fhqG2quZ9z5u+f3FVNEjmXSEtAPO5SVwQ6Uo0YdDDCk73qx75lg4dvf/HByAryqUjUStZNpIihUI T9QWxXD4k7mCn6/fOZXTnbmcFmqD2sX13bevpL0vMCv3gwgytMXC0SGvQQ45VHm1ocSAkXGItQul sdlfqV0qEDPqvhKsHuIDrgoHErjGnQjJgdgOQl1g2xTC7TMW+rxLW/wqFL33ieM+j4QQFfZI21R7 IFQIe6ld0LIK/UJM/uUP6oOxNFB1h1Oa/PW+a/ElBoEl0ob1IuzfGR8LF4pTEAb5C2al8vAUHhTQ dcypC5LQPyvcu9nIGO5f6kA4PTXHlncDNMfegLCUShcEVgF+EEUic3solMP4nKTmQ362uTHQS3fH 2WKxMoZxsSLQlymIk+eQIof+fUo11LACSQV/boEQXXlQfp+74JLAek8+8fAn0f7gyIaEWp4sw0aG rKMxjxQ6HvvMAD2QtdOGbBxEa8OFcUwtKeuMktTnOval2XhSfFALlVhrrM65AHGj6RKAQDS9RbKH mWLaJgq5y5X35KJTS3ZrWSPwo3r+9R6uig15CELTaMfoR+n5yF4eUlNLAmXtnskPKtrCjSJNQZVv KiQ9jRDfz5i3tA/L0l08DwCOZtbZde6mf9OXAmU1BSvHvwh2NTR+NTXVzYrryAm8s+LQN5yPkp1O UGbLwgHI5SSSlxCW28Jk6IPvhT/2vlmVYgw2sloKyGyTPDgephGL33lzVmngnNHJ3j5e0FSFkPqN a+iv9iWsOidO5dBf1geCImll9MlEa1e9KiHdxIoYZyPG1YRlM4jVkF5GcZEaq2USEoo2Ak4Jsh7I au+MvdH+anEjk9G2Vz5DdlPQhOc1RrA2y9IvQhMVS+wLWU1IvElETFXvgKz1LZgziUpt3rOMIpOI bsMEhtePHkZedeAVAkGFlh0iII0HrVvWmObip7RYCPSiB738rsg/VttDs1DbjPGHO3Sd5p0mdRCX J2fprYy6OaeTUgfHXFt3YS9RidGGs9oYrboMJXg0SNHDWOeTw9wRD68w06j8L/utEsZdXaDKgl2o mUpqAiAmSaZscVcBPg90uzuRx86reIfNBZ1ucLJoIjUxnSH6vVPAj5uf3qSohUV/yba8uOYvG8v5 xMlFEHAgPHsuOBPk1HpHCUZJGAZTJrI5zBgf3gkLgPvgzPh87GAiJYZGe3wycTiUePKLLzRmPvlc ZWzmvyou0PNp5H1gzvU13coSCzbZpp6nsdQUz7SmgR8XhXnyk5J2aR/I7ToyTsAJM+Fr65JUa4oe /aS5A/2wLzHcnboiVWbA5Ef0v8qVkRv9HehcgxwtMwNKd8dHBp2KMNKWrFe0NXm4BGqrTTlUyRt4 NOWwxGp5PMpq3krISA9zctB6p8BYEdQb3kNRRqV4i9TG6b0ME0GotebyJFFWORT0dACiWrNoeLN+ NIC2n+/BQrNbqO8YKz9pjp4VX3wAk+sqBhg/8oifQCUeYA99OWLO9dYjXHKQGRNqsqpkmF9MtvBH +I9xyMc2xNMyJ174Xo0Pp5WwtcaRXBO3lfoHF5jjpx0SL/Qd6N4dTJ3hFcS7uMULzi6/wW4QvPSi Tr863rz3G9Tj8MkavRdy3LXre6YkExZ5FD3BbAZS4z1jGX6MYfrvBhgqaym4D/WnBNPKExi0mgGq h5xbZg68i1m1vFw/WeKkT89FUbcJMOfD8iIrhm8eYPyT4JdB0XYbegu+0fsgBkfvQUMhwPryXaEl 6oVCou5HBXfTCLN7o8Ta7Q00x0vXJIL9G8OSOpBf3yvoAD290oeBLpe5f6IOjVapE/5xd8/uhgS+ XrTfhKUPgLDAdkXd7OlY4qbtXUxjcx35gLllSrA9IoNTX/E2pGgGj480tUdQcGFgZXwfqZC8Ys4Q ei7FIvg0kF/VT7CJWM56eZJppwmMaQz/MJmqhdIQpSh/u7onlLeEY4dQq5X+wyTo1d7kPsXkkUJJ fsa7K+kYXsmIve9bVwKU7qtk1+ctcgTdxeH/29dMwDaI7cKQTvqsYxIWRWaCIr/qB/qHja1XqhYA BVe+zUXs6dFCsEVUV9bmKIoAAcAR0z/bNqmATv6miQx1yheW7IuatXLJfDtNDSKCD01c2TjSgJMw yoMPm696YXzDrf32C5nhLwI7X9K+3dMNsETDHpKDDZM5mg8HuNGfvndgGMo10Hsw5bXQAm8YBLrg AJil0JLeoI9uET3cB2dR1cwhpAcxLtQIfRtnqljkhH8KPpiw42JauvmhxJFxMFL8mtGEoO5c1cw5 cn+uDjHd7qPAMY8/iJfHo9ILtMg52IVLlO2oWhEBk4ld0DClJSop+oHtCbO3IbPBpKCjmNm/gBbU 8qYWzbPjAvb4grQuXONyuGqvtS1o4m3DfEKq5ZGDNLvSk4yQQ8RNhKiMmJLBcd5hZNo507ttEzK2 tcbhKxNt0fXZTFisP+PORWaIgaXvL4a09y4nMWaTdwEARyYeJgw5mQgXtkYP0nE/HhHIVqQS/Apu GdOhlztYoMEFtZ1FQNVmNA6+gvmHpeu2fVQZQEni4PvbLUnRX9ithLlKOw8hi5yMPou+tLS+j6Xj DUzdPg0fTn6MIZu503BkU1TPVlwW1RKxRseb5+ZKpBR7RvhshpMAuRAbigUQVuUsAmd8uQma8WgA NKeISZJklfRPKfeYmEE7gZgoKQyaIq+rETHIaZrmFuAigoSHsmAHBwZg81P8IqUJf+g1XtRnzowX dLEvuYXZYBTgapo0M1CWESwl5f2iECiYByhWKAKv+/MlKa7rILxIxmlos/Eso1McT8XXgcOaVlp4 /HK98kGK2FfzoUyq9Bn/hiUtRqtT/kjFwI8wCL4uZSwXgUiDOZCK3LrG77p6GgFKmNkO84XJnbfp MI0HckEgnSKf8oxlRPDdq09vWRxaOWr1DlxbvGQrM8xwonk0Q75OFBbfEbZTjpQoLZQvKUAvr+r2 k07PsPptNApkaeHNjXI73AfynyAQsKFF91EeX+ypmz3Yn5/iq7lOa6/ydY+WNqVh0ILNIuarubJ4 qVWsSCl9thikDmNALYdhYkVJ/9+g+EBdiNDo5zl8OAwOzvfi6f2rTe3O8StbduzbuYbY4QaCAmHz 8TOLaUDVQi0bSIRO1Pe7BImyZlg1s4UVSoPjacnY4PLhtDaiu7pRrOZ2EOJlvkizhxQppYQcJ+Pv m7d2PTgOx1tKCyUd/em1xVr+H7RHxS/0Q33cywJSqwO0BnvCVCHalJVqDyZbsQIjuCNR+vq6CMts 2jLlx06c6gDB5GFYHSMe71vkNcSkf4aU6+rQ4Au2BJIx34nXID91hxzMnoBPpvfC/14WYejwQIie gFTGERT8AVSwBx4q9W4O6w9DJEW8Zot2zBeOIBDDOh7rgrfvFRtX+QoauZxo4mgwvG1TYlWQkQ84 HdN9C0qr7q4wNYu/xKE6tyxehIl4DHlnCpTwa3aHOSYccr8DkFXmzhqKFKIJLXCbdlFaG7LqgQ/k QEplN+eMff6ptabWbAIicGaour1AIoi1/JTn8At73k/Dn6gUY+8NnKB4FERZP6TI063I2K8tdp0F pIBxDLNvMxyuc2nu2Uk45lZ1XwTRWPNynC1ke3KYW651TuAyBiQv9tNOkRqnIvJcJW3nzkbWXZRg nozARmFGJf0xlwUS0Pz3YBMEIHbLO3C7fip3TUOSwNkp84Fg06ev3SHMHF4CKBAOsbqZcrQMVqxY sydrK+wFPPR5uX2AeV8w5OAJmKw5JBsEm8D4aBIGRfBvj9jks+zFNmGqWnUOzQbWTtYTaXX6EEi0 PIC5+zJ+sM3c/xmZqino9H1Wv2oEVt3ULBwrQ1e42ta7458QOXiz3Sm+5pxJ8AVoQDuBauxhxteq L50vRn7Od7bCa3Ddb3/mYfhuJbZeudGMDZKgI/vSne+sJpZ7hhT19rgqwZKRIX/74xIcEjHBHM/j KYWq8oNP4vNPwtgHW5bXPIXlpDiarGLgtz+LlCYfTLzKeS6N0T+V26MUTSIQ/ZzsOwPxpB+abRhB ML/9ocdP1YG8o7JA3c4aXjq5Rt07sQQYr61nzd1+/8PIwFzx2x+eOOV/QZNPmRcZugn4MjTjcElC ATdjiUvqp8HN1uwgcb/90VqJormu2XQ9WDqwMMN7C2MRnGW4wzY256H/jEev9Rr77c90O647tB42 e8NIp8BcdavExi23PNl9w/lr7Z4TjcX3xG9/oAa+a0JYBrE3nZkUtubrGL9ewH+EYl7f0ADlP+Ue 2LN++7Oo6FVFBy/SV9gFVXDcz3jicVZz0MVzYXIXtUzcYFRO8dufxcFG1lVeqiYArDdQdeWHFqpX suQLE0RFGdL5Hz4Lub3f/syAbcpMWHj+cAAog6EFnDhJ+cEreiEYGB84Ik2RxbOG/PbHPMA3eK8e 6ofv39zYhR8XcOQKdHbAYnbG3IR47Jnu8WOMHN8e+yjDEdTmZ7uJA7SOGBEt9xfR9rqj8DwMynWY OlWRmleNcSkuow/ahnpo3WKL0UM5HDqoszVKtS9ImIkErK3ppsdPaXDPjfedClCrWyoeNWFlL4pQ btCrNgg3Ezk5QXa1kph32ATlXB3Y5FxabfdEORj41QGI7GuBXzFEb3v0lcYJoLStptTORjc631fo /EuPHLKP0tvYHOBlwYCZyFw5C3T4BVWBzCH20HYC9zrIOY9RucMekm6RcYAiMyA0nBFKX/qbjXSh rqa9xJ9cMnaVOYPiLg8D5Za+cEtmzwdd4mgE5nXXlMuKfhwAa24emn72CYNy5JjvqGmzQ64w5380 yuylx1BFf166swvLPF2SP6vvSh04ifaQb9DmTgIU/P6G3XiZmutOZZsHNTZww6MqrUevyfUiYnTy g2xCrxgwyTdDOyo8/rzrHinZh8FjfPDoQoKGlE/gbNpNB8fbtBlxwvDshVEjxKC+E8nThFdFxvBo xxMn7pyxiNMB10t3K7nasSvom9uqNFt+SxetbVdU+CctAquY/Bt06PgjN0aP59mNBtAN4RwQSA5F FKqXZqVvyrgAPZUF7MPY+EzLGbkUBv6BLssj6c0GuInNgRmnpT5vr7/x03zYrsWF9VSozTarQ+lE zkkylgfG1HhMnfqF2bSACoM8LSopWkAGmFoZHYg/BzTSfE58J0O8IsCfAHqRBlypry5TUBGo1N1I zYvE6MIXfGvt1GDFWu+/drCAu3Gcq1G9Z/cKsVZtEJmgVHcopKDhlL/fcfjklB1PtSHn7+87lvfG A86TFMvMsMTsAukFijZluggV0rEJRtqmjZuXvEpAbLHBVtxcaD570TXcDDdPG3wmPmECrvi6FwSf eSUR+K73/pjpuPpX4g9I5WfN3HCVy5XpsM69QYyWrI1BW4SA1baz/4RT+3ssAUQyZFAZF+PBJ5sM ocdyTykibXjjDXYsWUQOnhDEvvIOiJmv/2puSRMwFqP38pyr799DCh33G264ctRgLqNljsUaVi9M kmYTg2/RbAUu7scYsLJ2i4DZAf4BNJki+qVI+0YKqlwboU+A+k7aqw7IeSE26fcUsUf55hCwEgu1 XGmoKbNX2kK8ChV25xputh3qYFH7AzpBQyH+oK7TZ5Tx1oUA93KegUxI40fdv1iT3gW4cPrTTo3v ToiwRrriio/L3J+glHfvfwzraWWUSggU19Z/Sh6ytGwOR2rxfH/YvB6dGBAlPAhEhgRgtOI2YfDw R9bS2avx2bzQOKpIIqeyrUSs1vfQQi9qfPAzu5do2dotlqQG8/D2nEFFjo1HHG29MecokQBm9D1X 3oucIrIlZFw2JWoHCoQzqzCAMopxrhvjdrsX0q9nskrp1921X24rvOtJtgQDAamoCybgF6c7hGkc rEHPv7lkYx1RaSVg8D1ZiLq1MBpuZhkVFABWFnPEyhyamXcQ7D7gNsdCzstwjOc/ATQYlvhL8MM9 QAQvekyIEnJO0OMJcqmiA77pPPO2fZVZIIAKZ6vXsiYofXImDhaMy8BvsejWp124QOMVgQwyZo1E kT3vGPLXShPUUpQvtP/BxaU/+y1iZ9tM3zjLRQ0/lvvRwHzzo+5r+h4TCc+aNUmwDoYiejevw9Ls isPfi/4lOvlYPxIN9dBn6spOuhfkdgK40n2ooFPZeZ3A9tV6UykXwbMLas6nYvu5IppB3KDfqIut PfoDJ9DzyJzRU6hVvYMzMxigF2a7nuA1exW3AC8Iib4vyh7ZbkXNceFYv4+bork+WtOU/AUTdfju N7Md4qwZtAY62usTDIdSW2TClttGhnlex/4ER9HyCXxll75NPnlzbJSmIyc/mfwZdYQu7X7HQS+0 XhnmU7ujjFgZQjMPmXJ49p4J2ZO3sbDWoao0O2Le76MbQzuwZpPLFk7lsuuVThOAkwyYhR/S0zEx 71etAH0zW9fILTI2l4bdxxFbt6vNSQIJC7KcqYFqZapzJRj/80B8vqS1TkipR0993STjMJujayoU 2HdQy9TglWo2wwyFGpH+96vdOF/20cgl2tAgD27FDVROMb69t/eVroxmcibpfXoRvlKpbELphpkh hQXDzRsEosBwyMu9PZ2GAuGHxz7kwsZJo4wS5J1OtrvXMwb/BpC5IWYrVMSDrmAGuJfCLhl8QELw Eov6h7EGz/iliOEPJeGw6TLv+UqWu8NHCheyeg/dcdCGwaG1dvmfjMKLxX2mJPXh4j0PuFZUZ9fV qAlRfb8z5kJJYhq/NRzx+E8rb/wZL0lfPI8HDVtNpuL22yg+3Zp7zQyrqr7xbQ1FG1JvR230kuih yFuLnNA47aW+n26cL84bhmYSHATL589Dm2/WJ1LofxinC6nnhkIGkyxXjukwBNEleG15sUTpAe9W +xhuQHGjTiceTOZArFg0XfF5Q2tH6JliWQdbz3dIb//OU21b5uhArDPJ7MSEi+IY/z2fHC9l9YBp H1XABouRQR6TXvfDD2LJVERYpRjxqHpeSXuy0fdfQ3PfNwhHYivaSYRz2opOkuzaHNICsE5EsT6F RYYJgHCygy/XGHHmuS8wlUyak0fX/nRt+PT1K8+XN6zvMsbHtAW/ET0iD9ZSsg+unTVW5tv2kHET kK2PphM0kz2Lco7ATJfK9SSsUR4pN3d6gg0FFRDBujI35dzX47HCjQG2xWLbgEg8lmbhlkdFDxQl kIHC/Llszs1TbCiCVRzYNsSZl7coZNX+4TjSri5WbxzeiU18aB1huiqF1t8xVSfDFZFn3BQXRsS/ pLs9hlcbD1Iv7pmMnjacsliu8C/q3+AE6Bfn3WmxKuwQ6KRBRBFWhjZfK/vMoG+0uXWta1zn9Q1b bCN3GZmpAiDpQB6ecVSol71ORL5avEbfVCp+ZNnclNKp+D4GoIEGp4HzcoJJBCjPO2Yz/qa5eXz9 6Y8TD6IrbsXV+CEOMdsg6dDVpB81Xmj/j4x7CNKkaxYA3LamzWnbtm1N27btadu2bU3btm3b9v3v 9v2WFfFExVGeysxFWQgzZI5NZsKiYUi07s9L+Slx7BCoVEWI+LeHYwXG39CqYFpWs+X6Ovz7k+o0 3vCrcQEoFiusfmhHlI5S2wzE9QkXM7EvIThJonuffNa7bJLioVFX4xdJHNJapu71AcWO+a/Zvw4v MxLtEHMgSGchBQaF9vZ1S5H6pIr0kxeHdTcwurzntQgYLn1aJlwDExYg64oE1Dodwa9KTOeOyqAY DBjW+VgY9upfZMobegmVHGRaM1cbY7HB34Ft0Ztpy7phGe45Nu+DOnoaI1zZEslY1jD8w3oMVY3+ PgsL/6xJa19ifj6oBtvUTxx+2AMD/EmCPqRhymIWXHdRjFUd0Mh9hrmXmIYI6Y44fDDUKugtHPRY goHlE+VftbknwZOREihCOfKgWxKfkC9yJ7GqcfPsiF/uR7brfvjG88Hy1+LMnpiS/LtuIxt/3red Bxw7JJutQMHZs1J5p0wrmUcO0dG4DBu863TqUzStwzbFI1YXYptVOHqcMfFaEka2CYw+z6e7DN2o UCVKS7kqV8ao2VFkS3+DnNjxDyVq980LuhfdZ1AnUT2DOBBsWowxc+A9eL9oGyfGmH/e5hOVEfK5 uX0zu3xqDu3I1QpZImKRlrlmcTtY7WtBRM4lE6Ebft5+mCb/ga2B+7644h3XW57xLk2qgiCAY5Up uGE0MKeAeESsCdXsNLxDwlEoZfUBiwTez/n5FEDwmsO8vBiLE8VvWGa0v4hXhKX/jbVfdNCeKRFJ 2kPtKSrQevpmDquezu4hCE7YgESkiKoA8hww7c31JENI5jnMQTozIkI1NKFcdyiJ4ma9JOUR80nT O5Sv/6p6JGI6PPW/5IDyoOnM/g52bjKbK+NXJ6MFF1sX8usoPM5+DIWt8E0VzON4rmmdEMW7lX3/ YjqooAQrEe93njdIEO3aDKv9tOHMWmf9LTqo/MnwmKsxX4vMsvx9+pgW6tqnhlvUqovzmt60g1kN UxkIqwNoiPxgAGP+GL/IWfXq+fNEC/9wnQa4IcF5l+PJy/iD5AWnX9NzkjuaiSZkMERce0Z6nIoo 36SHhXwA7W+sYTU9YcvvUcp9sicbZw8vN+5Z9gliYjH1XaV7QyW1iRqnowmBIxl5KRZIhbl6SrJH OxKX+wP+FbnU4zjpI8+yuJlSYKigSKm1H7hQtsgvmy85ftCfVME55wPntbDN2hh5XOeRYMT7ochJ scyyJTZbp18IlTKODM4T6y4jBrFF1SHuC2dd+xIj8qvoKk0bwFwcuEyXFChfRwgWLKvVBBCboqOd Pors94k015Lj2GNwcMXX07YgBCx9nVyKpOt0/L/XKHb+GlPiCzxudEOVA1G6HXGgKctPj3gcTlW4 9t0aI7RdS5ZvjYbEIHZMlMYDx1y2Y6EhM7yZbIHSIkn/6M8QdCoq8N7EZCX/md0V1wU3IpECu9Hw y/4eM/rJEFaXpreQ7JDIazxLqzknta0I9IFffF581IQUKT4RzqwQ4FG45tCn+lgvF6S91X/K/QPX ltNA3bHglUOEIOzA+hpGNlFVg+nwrK9qAJ72Ip5inTMnOlOQJxKFkgX9T7wAYkAtrkfItMXxiCgq 6sU2qceGZhJ349z6AQuKcps7z3biImQwiN89mgdnSozXzRhydiGQCaS170ovRIRUMeD8vbkezVDF ziVZ5FyHpgSrMAr3XKbDmS5jFyytmsrzQePviUR0fIfn8XFZ1xpSVMf0AR46weHb8yVByK1dYagT fwlOf33ib7MDlo7wH7CcXhw4MJkmLqCh4rJriCkEYpvusd9Ln1jD4fQoR2xTU0wNrO5YVuk6YpRe pfRXgW++R2VirGOn2gi7c6j6eB55nnuq4OnK8La3kOpQZBd5wvL9kds/SDuayDbZRXydKrlkNj07 NAq9bneJzLcQHkfc9eCJbPP7xL6+jdFKvmXWNwQ1QZVDNQTwydSEkjXRRBVEiSgzjc4s81YKMrb+ Vmx4Suh5lhvzuXFnZCZCGUGfLGFqEw9mcWJnYs4CUOfjjxNiUkAlJFd4KPjXbnW9dYp4kJOW3i6D 5YXSW6F279TAjiNDg0BW6BzQxl+YUQSHu6e/+gy70bdc88swCj6OaXYhFQS+hMZpOFaKplQpji5d FNb3zwIuFyZ81edyZkZxqxIEoL/6yXPxSAvpTswwJSu45UAzDk71kthoDEZt4X+xRidJt2k8tP5S 9k1xcJljCqdFi3B0JFOi9mlIF+mi1ysTxkR5gtQo3WcXlI/xwFp08ct3RlF3tlGuqFxI366u9gzR NlLZTtbkGfiHKN+VytfnXDgPloFaTx29ndd5RR3/5gSB7i4a6h2ZdLXdDdJTXIKfMMxspYJTZdEq aTROvoFcd08fjoaD649+tDEaIdwR2rKfeVydNNU8Ht74HE9374OhoEGYGLHbpLCyufmIe5aKm8eX PMFQhG8JNs/1oj1UX6nxt9gdtkWci+MDjLiafN3CgxLkaT3H8INBc6aKceJjuNDlKENY0AKUJmrC XZSIL3P7yMyCRRyYdqzz2Gc0zM/5EpZQpxWtKxI7+H1hAWfgwmb+RM7yET6x4M0Vn21AZ0y63gSp c+FV3DvfptbekhrirT62hfMqN4lyOU9zXRA2aJ/VqL0JQ1g9gf87PoI9T8Q1IksNmCIT0QfM3SKA 8qezhTV9P6eTFfzttj1wgBtofmi/Ri7Z/yEniRgf/mBtDQhBBGdd/FOF6Cp0yG2X5Y1NmNo2cb47 q45FvAfi5U3Bpd3+fvhfYdKLCl7S50CLDuxcAZKlsHTypwHzJGQOW/0T1KypFQRCBRuOpjYoArEq BkYgE9cO/A+clpb6K1EtkwHymTCx9q2yqZMrBS4Hcpve9kM2elIOcIDVlLvfzTBIoM32PKh6GzI/ dBEJnnDXOlBwRk3q1rxmDUNKy2UEv0sgG5g4kOddgS2bwLf/PLgbCXWubEmo/wSpdeEh0qFvt3AS ZAnFI8OZ0EMInN12yuzXqL1cCG0ExMPWKOqTVQqd7tL5Q09+uyYIyj9sxksD2wserfsc5s2TtKVl eEdVLFD3356hual9NTteyx1SIQ93qpU03BmRSomhTGZW04uKF5UEb/LtK4K63CO8+h4BQQK4M6rw YhPDopitUcNXcqoi4/yn54MjCWDGSp2JBd0tKjgBhuKeW4Ns4sHWK1dGosKX5xHGsm1moPXPD8cr iggN/ZmXi5nRcMwY85SCkGdSWC/pfwRMCLhqs9B0yiMaQ3KEtgy5ngl+KL2sPtuILbDNFmsI1Y11 ZjovGxhDBg9YvpxwvI3WBgzVrjsY//ZdMLYbNeNpoHrddP74D0MrfIJefJB7sprPDCa5mUky2M9x Z86GUzirxD3fFE29LbKKcOWuYMFVPXR8TnDBeNcyOJh8t/wb5/z6OEZCXeto1P4mw/IsJhj0RaJC 503+cXkfBrtAUC06+R1etmcKsnvVzV8/Bunyti58pZt74BTd95Fwdm2HUWh6bXt3PVmI73V4Dr+j 6fwScU7IEv+bnT70OalRE2K7Ujd6B/lSBbTu6/eVI/0w4nIitqHgldCzMIZEZKRi2Mi3k+dKhE4q FMVqAnfyq1L0F1yxd21gtm4kuMcDlPfeSP8zu9vzd1RzSnLHFq+olAOnFlbz1eb9QSy5sKQXzSsX 3XdHOpbC8DmhGiGqxLedyVmToMuJzbXVrN+M2BXWhcCxd5WGnRaNyZI/CVr9o3heGiNZmyVV6SjC xAS9aFvxc6VOBuzRDIQZkoixJdteOuyjD4k1mmKsm4sbLEymjZQ2HlHxozmqaG2xaREsElH1ESKQ Fxl2rxnaQh9Fz0G796YkOf/gDugfMLaUkgi3e36jWGr7O3um9Dyv+wmeMnZRLvP9iO7HM7w5i8bM QdqZm0yfvInqP/EFmTegTZv3y7tbURzDyfmKwZBVMx84t97ZnC0vqcf3vZzD9jOyT/tDBqTOvEMG xHAKci7zM1+zJcZNTaXy9yKN8wSpYQbVH6fBpuKw5HJISlD5n2uarjJ7YOjAvinIKK5KhxsilylM G0JiOFH0KwigJhJw87KlSx8SK3d+GPfGWTD8KtzdvlVnywSqtR14oD9BEpzt6UDizDXrXGqYEZwf J+qKtXJISyWrreM72aaBZVloNY6N7xjQH6ElRDDWBrEW+Afm8lo5UEuYz66OgRWoc6+HDxQMXVZm ybdsY6uO7ZtoNlGUvlInUW0E11KqhY6waFoZooWce0M8lBGyK+n+9xaTctONEUYr+ATfpV1mE4Pc NEAdjVx1OaKUt9iYcAajL3pYqROgMUwF8iEfmMm5IzN35NN+K8H/7C5o9aP44PdrahEd8+AGpVL7 jbxWvw3HaphM1/WOUMWlMTbGW9pmVcjCjPNPSIIPBJecN/hrp/3GlxDWO3D7K9Yqk5sK1ruOZqqM 0jVSRTU7tn/bLp0o1IiHScoaSr67hzyn6G1cLEIaijSZ4K0tmZ+9gEEvQXZOtylcOHZMZJ7fJ5n8 DTyE9X4Vm9+fdRDQXQrle/d3l/y90iP3MhM6/Uk4sazJHwekib2iaqIMLyElxSEs2ShpYKx5sZho spERBh8LUxxNI+dDOxEixgnORs5Z8Je/mT0NKNmQ75psoXsMHT3Sfa1znpUcVz4vA/tpZb4Ecfeg I2sPr02FGthV3/eeRd0pAKtuDAkoE6OuSumGvCxYE6eISQZOI5d/tG0ersch6SoK436zShLp7Bue O8VUNHnlEX6VxGClmVUWaTFfOdH4CZHEcA9hXw5NElo5QxLnEhjnRVDWDSftZEU85joVBv7ooaW4 +YDHfnYtv2auUsVJGlkSaIaQ0Pzf/S6YLLnJH6Bl5NFu+so89DZyGGUDNvyHgFyRMroTFGUgVtmZ 5Lya072GeNC3jqh4EEIsegJHUm0xygQYMA5F/yUBEX9QE9+YQG/GymBQlab3747Y1LsA4gPqiyfu xX/A69dJbs4Q3DsarVt9FbLdjHurWD6M9mwK8T0M4lgGSRA162MMKPm+skeEv05QGNCYP0STP3QG J+y1cfUvwPj+wOzgb4cDVVu3U/xqoF9xS88pvzPRRJkA8jf1wTLtY1cHOaYTyXk9hB8bnZkLTBAG ezOI9MlI+B0XVzRpjYh7X9jrdPa+O08oFiC+0Np0QbubIkPYvsxaJY3mpZVPbeQtVqtSMfYHBczY wozI/8w94r6w5aQ23WRAx67Yl4NvRluYKnl25xdB2zXpyL5HeoY1nfrv1Vb4jZVOboV0I0VmiX+1 DQWa64Q12tsbrQywZaYztZ3pNDNKigTaJK6OVgWWuPLl/JogRfPkK0am9vuQMxO7F26bULp8iXE5 C5wJl/Mntx4kMpFfQyetSIlpbtpqRRdQLCDai8yXFl3x4R8Qf+7118jcJXu/7U3t9X29arYSiRpy xYURFcWAUGmKDg3asPEPlYEoD62FRR9CspWRTZ3tep85OGAjBbCFhfC6fsr0UgvKsa/+cZ7ktvpN n78hbNJX7miKEwfXnxUPbKzDHpM2d+rXMDZUrRXbIqP7AdZTtOx/ul4Mv1dYujUccNwhJV5ZOiIR oIw7XbuvNAx3uEenRN0o5tP1KOR6ySH2XDe6q+ApY451M8wlr2iYkpdue6iRCLIDH8x2KZU07FCI KeK5P1upmlGf9pluFwIvjPeXtGVidYG+WseKlDr9uhH8Vk+h1rTKmjWyBgTPHtr6wSb1S9POon9V oCYncM0GkR1mCavjjpuzYl7VyAHt5JjGflS3ysjkLSw3+jqMIjtNHJF0u0i3718tFq2H4ERlnWEq DL9Z38gPoccq9nx1FxH/Qc6kDRkCLY71VJcEwaxsZVeqDHIXTvAEDsQF1fFVqMjJtz6sS0/INHxF 2vFjMChI/IhjrKCzEPpdH0s1AaNxuuKYNAp17FtfEnzeJLyafspookC34mB3T1w4mO6uL7fPj9p+ 39Ywe5XryFpZpmj7Qdy6ubiK0/xRUrqE1XHqkcwFlo0x/BiUHQG5UM2wba4BY56Wb6L80M2Qxjyv yAKPXB8aFPQoS6ociY/QpyHhux/gqSzDUKqydiWABfMWToVivu7Gh5kdVEz8wDLepAQ3NPUK6PNh Z4u2d5SWSeY6zrQgK+WAMPF4hNBaX+Mb10PE+krcAT2DErgWSWwbB7jKnYMB4sS/qqH9eGsCL2+6 llI96qu8QEBvg5hxx89LYzHfwjcf2JrorPtus55PFfgmKCGMeBll9AToLyehz5y0s3BEF7iH3rUO BXIWocrbhgNadJfwRZ9SCL8BvWkaOw7D6mhZ4zS+pGcZNgpDPc685mjGvRie+Jxb4QIGoF+QXC+T 7muDPlSItYHT1aND3tjKedbo7/TgvpGidXaIAPTebRKYUUK3ryFn1rx1f2JFrZWx1iFHoC3Ld0hs lbRyJAB9dwdMqH9EtYjS2f5gF6+8RmZp9HG0ep11hnBehK+XBRWgB1awR5U758eh6+1vOYyUS1Yz nMH1YewMCNVh8s5XVC0D9KCVWQ9SmwJZoFzL1/xEBZM/xREe6rZkRNkHlRhOfnVqgD6e9ztcxHe9 gXuXRuXu50M2bbNLPwMPDy3uKOZXxczLPaDXuBTqIfGY9SnFj8C7VpaID/Vwpu+wTKUt+jzi0gYT ZAb0ST4/qIY7CNFUDCdZpF6lPW0mnsYfQXQmMcDwWFIhnZeA/roEETKwZn55ZK47hBw9iTu8SOnn PXhx2r1iEVZmUDAH0OvqMGbVE6sfDtCjm7UTq+HGYTdu5U+5zC0IOCxYsmXwAvr1tWTMq05Xvz10 /0fX00qvPdccabxDVz66fxiv99NRnIDeK8AooFjCzefnGjlhM/BoP7xKlSEWBtPGtAhECnNrIhvQ j/2Sp7x8HJDsHD1lQ4okxWbRZHJcmEOl2B7uLbKlUBgB9GQOf9jZs54u/9iwcG4R6g9wlwh9UDHu Sqemj/taolVvAfpbpGOZC5mHWBuuv+p/ZK4KHxgDbuDy3yUuqptB4LrmEQA99hDT22H6PKts/S1W rwhDBCH0zE3dN7kPfHPexxcfcCKgH//664KWv9B38P53lvGeH6LKT/aYzykNpuE27WBwkFoQ0BPU lpPLqNe81WJv5dCBR+e2JskPfXgjIDpESURtEwrvAHpVLLuCrYw23O5woiI/g2SC7/0LfDXVieyp XkxYzjfWeUBvK1tIABUHJZqfKLjEvGSPnMjN9/61F0Qc6XECbgWcUgXoVwMKr4/kO2VVSkS0Atrr UYJ6IdKe6YG4ccntGEZCbiAB/T2+SbWxZPnjRwz+brUTTtoyb2aI+d7wGvKDaYPGTOonoD91+AOO RVNzg3jIrS2YeeWQrcbbxln75pz6eOetWW9tDuhH10IpQLup6AOvjf9MFLWk9xJSQSOk6VzvHlr1 mWFonwP6/RWpSarS7ndDDIPWNnaXnf5neebhbaC/covVLBnE5/+Z75zhNAl9HQZNV5Tp0oU4c/I2 8BBY4+YGRbO9bFpx0VXNf+LdXhrKq3Ffq4LHa6xYm2+2qSrkAlOvjImUrxwjRbD1C9AHkCDbTjD5 DGrTU9YnQD3xc7r6fsIzafVnMRRzBV0qEwB6Tlz0Sb9KIrJrw6CLxuDaDR/ohq/CNiqzTKVGpnES MV1Arz4lDtVSXh1bB9U4X35QpfTdzcGPOv4JrEjzCRaeFJ7/n/dPjnvSNQVlDw3CWEGf56iQd0Ju IOPDqaPnu8pq29jo/Cd+RbfaafCR/4rqlCGxkWjnG2tZBpD4dCouT9wq7mc4RgF6CfYS96qokEZ9 6BTX57/H5Nc/yqTt4hofesn377/Qa7sBfUloqPtUbNWLW9sLllUwUxNMamKjOnyMEfYVr1r7owcP oJeEZhDI7vS9RSPQYGhE8HtMrecWKiR2SdYg3oluLu8qAvRuzaxn+hK68IhY7fsoeuD8kaP1h68X dDw4HgdXPgSE6IC+po5mxkPryXdUApkRSBi8zPTkFWEPBYYVopGRs/PVSRrQR2MahnY3QWq24n/t LobfqYU17suqN68mOtrpR6H7F/0Aepqv3/ZTTD5yWRAYRtTSaE7ejbO/4jloOyJyCP2HjID+cx6G BneCNNWaZid7rBvRMLY34lfOvjMer+toVEsjOIqRTwD9RYMV/ietwtSiqaFwFqfuEsy+Qx5Ds0UG Fpi4mazg1H/2V7tFsUt6YUg88ZMuXthy9QaVic3Ua5lXSN2MeY1XcbkD0Bv8RQM3qEPY53zoriMz lamNwf5dB/3KdufCI5MQUCL7n/1qxU2tKsIK+Lnwj87iq1SuBl0z0dObg1ciKsupr5nr+E++1G/f fb4PUrkVExJ9CjIaI260UgFhReS3KWjydCYPLa8N6BtvRYXzmrdqStYgKMpKXgaHu5Ty47gDX9Xw JDftHrX+cz9sOi5BTS6XPTu1u5YgvUFzr4DKMpgur8/1SCHp7d9o/Wf9B4fkzwy7zjAQks9NLLru WIaDt5NaPkc+7cC2YxXeSu0AvfXAclASUP/Qj92JX1cOn8QIZ/eJcwlC1h9sKqVbcotgQA/ka/RV TGs6DK/wQzSquZ0AOgfhI2p/K2L4prxIoCD8n+/REKTK8D93mXmE5szWlhbP1Ig+I/R2fgH7wrsS pRjYtP/c/9MS7V4w5scl5jzIvRetkymhtSRiVaJRtrTUv6hhSyP/E4+OjeXRR/IQR1QUwJOw67/0 A36enHZxU8VgcZ7CREl2vQB94SKLgdtfdq2mPE8TA2ZyAaDlHQugSpd/NeGE/FnL/43fO7rGMZa1 2I8bxUistqjYk508t93vSwn8FCyrkQvUC1dAjyT1ZbuSiizDU5nNTg7hvqO8hpNdZARUyd0Tu71s 1HoM6K+SIed6nKmAhgQ/p8zRCMBoMZPryazCfJpa4tYHY6dZAP1MoKE/I37toye7DHmI76yS4VTe 5BvsuJDGxNeVe0MY5zJZulpuuQvitzjsR0ZNLwmvx8QSvhOfp7fBmoK95QfpQsLWkpuHgWHCKS5n Bb8sOaAfU1/4nOKhrczFeTqV22ySdk1+Xv6JHrkO6Wujff1CZwT0woyJBEFzUFp4J5FYxuPCUFVz cvWPv7IhLfWs4P9tObgD+tREdRm7NB336RhyLbBVpToR1HxrFBc8eHy8D9CA0Vk5QE+rt0iINQdt jh2Ct6JKZFjmiRIZ1xkaywz/Mqxr8mRBA+hjr/f5Nxkw7VqK+6H5o21NIoyWfYMMosk9zHHUujdR ZgC9oZDfC46TNh6ch2lr67UkiLJHRnQ3UqqeEPDvtzzD0QVAD1HMkWFPrgycPtzQFw+MCRbpH+Tl ZM4crqb5W4CDlTOXHfyfWMnY5DB9XblXN21sC7LsfNb1tbqaUC6N2Py6onyqAd/tK3FBB9+oG79O EH0/oD8eELW5/lf576+QUKz2jkNiMQxvO5lfizgBwngxl/gcCaCPmBFj5dbf1AYBhXIKgoqSAYFM xVIu3WOcLcyXgojxtgT0bzXMdbtRvuZsvyaDpXe2wojZFcEPqcXLGDaM3LdtexIAve5pINxFrknE h77lO8zi8vxSt/J3TzKL603jPunyDQESoO+ZqH+8e5jT/lUtJolNeMNDjBxNuMLUfkAGo5mwQzU+ B+gtdUIQvGoIQSCxdKp5sJtHdVjZDEJr+9YcZj9AgfARxgC96p3IglU8EdYqbAxoSNgA7F9K9aBY uD1KjsMTxkorhdVN9HKSoXAEI21USGk+v16fDenAXrNYSg7b6znDn3UESZYvJHSXhpNbFi5KEjaw McOOMzFlY5v0sRdKX4M5CwTd1Wht+MVaMhUe4r/OcGc6DoM2B58zDiO8tPygv5klFP6Yayn54vMV j1lHPaxjNz8x/B50R4K2YCukw2O9Bz3H5vfO5T/CYQdx2C15oKNKyOwHjN8UOYj9ZB78SAeKVuMM CWTW2FMm9r0bFhXXig7k4v6snxdnfYSJCldqU89+efoOKDjWeWYLCH3QneX0W37brXLlM7xLGB6g KLwfiuMvuHus29HFz+SUzgLd3h7oiCAXt6s8RxlPDKdZJ5KrrJdYRHfD9LP9yiEIVc5Ixuzewl2o sNC7muS3761gW75jvKNQTeo2VWlBN6ENaZwp1BkVFByOJOe/h0WT2Djuumq9hBrjRK24eji2HlZ8 rdeUGHgnRWvzsePf4hbU3h27K0F2JdG/dpKCaBXLv8Qka6hJqTl2jQDnO+lrItmcI5WY0PjnZxFX pb+jgmEgFS0X9xyj+7gLcU0fhh0ZarKA4S4rERrCY3yL3ET1CZ2kI4vfrJYnZqV6DO7B+QDYhcXj zPET3VmT+ohJkJhAsJ2trIf/IM+jQ4VM3DPPKHVJWLv+wLjBb2BwRoEM6Xfp0VOTeAZCZBPwyMJp 0us2kDOmTsQyxLhEITypGMWE4PFU27UpKW1zk6wg/ZxeVQtrw1aZ8T2hxTuIgOY74Pjfx0mjPK2D lKSBVPh9IPC4vFzXBCB5Z0PTUQUn+G/mEfSi6O8K8y3qGZjMfh0Z9btt3hVekQbHwBJD/7PmJQqJ tPCHzCqZWNCkAR3VgimtNaMR8Gd7EivpmOnKnYd1+0ZJwssN3oq7HyFyanl03UU9GMEOHTLTiRmC YpPrvofcH0iCKOD4Z+2NRHqgsp/NIo7dlcBtzxpQ/Krb1lx2IzBBe12Q3zkXy6ftdNfY6daW/gE4 fi0YuXEX1vpJCm166+g/7HOhphV/14XDL7Nk8GS0vjCNAT066OdEdrxVxIEubp9A1AkyRf3b2l+c jLVSp8iyuqzr/+SrWMcNu8NnSZtsy/J0b+yZjKKIRnBMQ16pYrSWTu1P7f/pz+iTAfd/t5lYHS8+ AZf3z53TNS3ej1QqT9yYs7PiRPy3fmkq1eG2mwDtyHmvUGjxTqGJRA5KCTQ4I3EqtPCCyp39Tz7/ +BnIJXotDG9oD2x3dZ7wmoAv5cw1aJ5QgIR5GSaE9p/8R89TZRNaT8Pe98p4Qzxczw9oIouuNFlj ud39BBdqPB3sP/0u7KkLFIWIBMf1SKv+E35MsZKeChOpl9TtnTkGYFWbIUDvpKSjTWrPl36+epUQ aLERBakFFX6LCUlpEKvvV7eQygfo147lHUq07RNy4jPNoDhFqxB4ng3EqcdzXav0sOOZ91cA/d9e abu0xWPbX/geNjmgXIV/0iJz3qKaiEWaL+YOgij+0w9sTePXqQUuGzts+RiX5BhX6GGkyKtmFG+P NE6yBEOZYvtPfdFyx7Ri9Vp3bJV+jb8n5ATPEUqzPcBN40keUTcaeicE6D3/zVcGD0KCv+qBxCDH rMzHL1FWq156lb2PETWTelZi/Sc/hxnhgVkQkvgcg2vF+mXfunZdMiI33wgxeCnFW16MMvOf9RTz bAdFvPzjY7kgxUAgY0lH4LO2curg4WAsuvdkTMYB6CM7PWnXmCyEe+/EQ1EuFwa1acecm/7lL5YL ghGpMCL8A/TbXPkUxJMkVnUoMao9SHKbOUHson0HMRP3ITg9w338/zmf+YNDT5THMMo4rLAaOzgQ KF0HMaKjfvN8zZAdH0npXrKA3tchQqo326RJqqetRBWMpcWUba1GSyGBsmjoiboXtn7xP/1Gi+4+ mlV1j1rD1QThy8zHpSFXx/e/RWRyXZVG51+m/9nfsTvE92Bfz7cUyrLCR/kgCuzX9Rim6s5EDlnp IqsQylRA79z1VPleXSpsV2+fvSZKstdqpj27cND21POA3y6HN5gA6MF3Ss/KdUYciU+9DzR+bZFb xXaP1W/TFyuCRYzqVjnx/6cfAqNfaG6lcMe/rg9c3PI1cMqsr+nX3HIyXKIxjQjMXvKf+prbGxrj 943qVI0KROoYNeVIAqwL622dFmieUyGKaed/+nVDbfIMumbnpd1Ghoe+ekIDXK2Fjc1pBr57VLqO gjfB/6lHZFYC4gpmEBTcJGkhgxldjucTDnEya0VT5rnRQ8Rb+pwBPYuz3WtuA/8chbi4quExiLFv qbNAV2gao4aR9ozYOAQdoFfpXzVi1D1JNSe+r6jl1Jo0U0gw8VNybBquJehSOj8cBPQP30M3Ux6U DcGzbp+LmFFqsT6+6VUMyGeGIBRWHcXtlIC+IP8CoTbIaj1CB6dP8+GVUHYgCSq4XdL3IwjuVYig sr9pjADiDXpi3y8BwRS+HPhPlTWOlzsx6wEVVX/WsJES99p1IdsiDYHKic6EUhPqB7Wefz0iP6w6 kKUPOSVG5f1srwQtxWPzsPCd4ej3fn0NCyotBBLFMH6XGtvpkQ84aPvdogDr36EF950bk9KpCucK uz9QlJNjTkFw4Gw7BJMt7Gr9y8PD/W/7wiBYPxJ7FV+kHrSf+q8IuVrqxeD74wQqvAMGcyDbna9s mJ77k+K6q/Q5Gbil6FNxr5TrtYMRFNYYLGxjtlvexrCczmph9c/Rhqpdlnt+qdEbr6G9nZgz0/H6 LV0LsWfWiu7XkPEITZOpsuVnYq9ZipEEEb39L7PLRa19q/FeBrYLspussW9s0xgrpqVB+1W6Mh+0 T6aaqgYOqNA/5ApWyu9uraiIRGI8oLgdIvR/NKZOG6LjVX+FRZE4BlrEeesMli3Z08H/cLs8s2zr 0VsDnduqDVOkGY3EqT5mfsFIVwYWnDHHfoFCUYEfgdh+SP9lljI8j6DjxyaY3B2Nlh6g6aWh9/uy GkhT9OdiLavGk+DiyyadBCLnvILqsncgyCibgfS85UBDHIUtDDJJpFFPGia88DA+Hd9qbM0Aqp/e lO1A/aCoQZxCKmOvqFMJdcEO14XQp4alirCUnyIad6Ca/raO6aT39A+/6kbiVvpF8Pae8YArkPuD E2YozXGIZrpPNHOfK+AbBs9CCBuLT7JVKcBF5ZWsINxVttDedt8pe6bZ4qCBypZZ4SCpOR+ysI9Q SmbYYZVPIKN0B5qtsqT3r8HTmbSsroC7WF5Vnzq3EBLpvkTH26knU3Buz6fH2L8xEeV274iIU+zD 5TfpzcoZS2J05QgmBA7hOQ6Jk1X0cayWHnn7pTO+vXZpE4jKGaurP2GmwvF2Ow80lHC1ePiNU+Ue SJZ3+lhYnM/JNwsvP4e0MBhoKPxLdAyXKRVNfRe86p1kKeY63gJkHww3yeZNdh/6fN20GKVW1QD/ fjRqENcLsLt1ShbdYO/iwWAkrtNmKVC2JYjxWy6tnA+dlMIhEKyzHOy8q/HFeS2WY1vpQDWCV2Lo Um275jVFUI+tXwTm9RjwOUvp11RgHzZHi7qV8xdzDcIGQdfaWTDfb9xKECDs6DHzuYCmMTpoYbYn Sn+xQhukuZKUhSP+ydZA/ro24AR7cR5Z7fapwJG1cZYBpdCWu199lfkzvp3xE09mu5bo3KJb0GU2 m4nh0CsGkcOfD0Cy84VGQ2Rw+3x/ipA5/NaxJS7IKHxz64y7ehDOd51VI6Brv+uO+KVsPyTC5Raz tyhnTDyJkrosqiw9Pgcs+QTKmn5/6h35nb8wHCb9c3ahp25BQIxp7Vo2N/GH8aK1GSGH2fRoccey VMvuVsSolOyRQUKZoH3catQNVUMKA9eJhtjv8YDgsLX+m3bnXH/gu4KzWbmu4JRZkIwZyDhW5Cw7 W0UAZNIy/rIukOREa9DD7jrMRIqQVK3NBegP78Pa3yiPAvlnk6DGctsM/HB4Bus+EiJ2tWXO/hHz iwH6x9Rg5J7T0tqOtNKyrFbXOmJ20AvX08A3dKmzKARDGm5Ab485PCRVhAtpvMLh2cJSMYPQ6Zou EGSaMP5IGBMHm68I6AvyelVMy6Vcb1WfHyEIVMx3owL2EwhpT7045tncRf1vAb3OTKvoU89diTMR 8zdbP1Gi+Xu2bXNqDQMQMQFeJd2GPKDve1bNLhBb5qcdMXSaA4fM+MNLLrWEl72HyVyBnPavBh/Q a3/apZqmxGWXWW96aq0qHWdeuxpa+EBjntGo9C6aJ6X8Zz2TRkFk5YHPLf/a6yD56NAOOymHuCez JfZtuBafpAT9Zz3T3CWkKMtliRPkbnIvaLrWMYxnAko9OPjlslShYNeUrwH9jHhIY0RFJAi/M4jX Py0Y71sPcJ97FXbjsWibqwFYihNAnw9SF3qk/UcEt6NBVxo6dNZWSfm2ojciCOfKcZe3MTMM0OsF /t7/oNvQu+YdF5l1dq6zBvFmJocWA/4YTbsFn4bOAPS2p58BXmQ8KYeWq2aguRVwCDfcyxR/epAe pSzMieAvXAG95Ju60zSOoml+Et7U+BITkWsB4frbcJafU1t/zP4ZdDygX9K/J6qpQZwrFiYlXW3F aqncBOKlGWmDr5Qm5ZAo1fEA9LQ/ru6c6jskpUxFSzGyVCpMINpgYSSdBG5mraoKgkvlgJ6R1Kp7 6N1f9Y6t1tSqknh/RsXsRHZBWK5/oWgT84w7D9CXkrem6SGMvI3Ztvxhk0plXSJpDe2qHHP4E6zo tlYJBQnoKzhxhFbTXv+CwI6wFhOhC0/XotX5410IvHGxCug1KYYCen4hnlQ21Yj2Y7ZvhgI8FghJ 9SPIDgkC7/fAOA7rE1RlQI8QnvXHWPiGXSUEmKcnN4XSRxhdO0gbQ5lLFAKOXYx1kbe0cwmW822N I0Ye5bLCeIWl46SwpodD+9cHkczfyqFEC/EwmWbJJLNUhWI1MDnFP0H93M0MID3oeZdgXaFC/GS+ t8Si8ctfqYqn01YhpELJUiGFlC7OgSWxcTsfTVZuvIA+dbSbJaLctSr6u4XH4CdxEbMTdPujXbeD 97grXzjI1RzQ07pPbWgXR29tYp2B4gR3zuP6FO8CW7zJuNlIBVOU0gcD+rpV/C6Wui94ggGqdBSN EelQ4btK0j+3TTyIRvqMQyFigD5hJv4APeNs97FLlcXQlX3xXK/9qGrm1m7hJlwWhSlDCtATsvIn ImewqX0gpISHkshGFidjz2GUUYjx5byjpqPT/++QY7r4/AgUzdnMvkvV3xmJWbakCFZbXVJfhzLn vA5yox2LNI1pp77kToEqbYhfewL6Y4rOVq1V6v0XWC+E7SCmXvj68W5ObWNTiMPTb2ROpANAb4IQ sgFc4BwOhHv95VGX5aP1YuX33COT1sZPEgAvD6+gBfsBVxCxihGig/9j5ISk13yC/4aAYE5goS7e 41n+duWauTMnCX/CTyUu4TlCasMI6EcnM0HuraJnAw7qo0G11IAVswLALHFeRPfnYEH2tKnnAD1+ RjJY1PqXU3quf4bgGHX7w1cLm5iT2TpBOZ4zxTFDOqCn4YsjGXvo0iicCeXkHWqJH89pdJLYMgEd mRVRsHa7RQT07QQDA503MYXig1pg23/l4CZB7kl+7gRjFCS3eNqkLpIAfUqerFZhNNywui0RMzOQ xlF6Gwi0oQvWxU4jW0/KOxwRoE+S+MCbBhrzlDVg9ntoc9kbxWk+f+MM+HYbbfBFkek5AfR/v3wH ONiL+f8sFfaZIP81UNmVCytaugMqFwcfas5p6wf0L2yv1h/x9se9VRa9EUP5b+e8kd4co7QJFY4g 5dGrLcuA/lm5OBMifmJm7EQ+iYdxlOdKCKb2drP8Mi/4xrpBUGAI0Pv8CUXbM6V6EZJed7m17eQ7 Yt7cR1l30vuNjq366r7GDejlcag2SDxH2DUEtH7SgGugMuv6XRM5KYRmcBey0bs8XahjbD9lel8g FmhJqT6JwNd+Uw5PrVMt7VtZQAw5lDt8GPaZ9RTOxGhAJsYZcqk97pJafrNvG+Ef6i/7XyEtvaJI z/bJMGMYso1Skll19OQiu+IC/dpcTPEtbGS7Fh1FTwH0FmJGOmzON78x1SgvVTttbioLvyNcOsy8 UlQKbB7YM24iC4Is3rmvH2VDJfNAaA6lNJr32Mloi/6kfjNg+sOswbEps+xFEvYHow31nyuBLGoA eiBikq/L3mlrmJlgClXwV+JyEomwGOMueuCDmCnx8DXpx85x4sBA1Expz5rW4ORWcAu4nRczr+P1 HQZHxLPHR+O+kdXWaDfZH6uv489ENlcdQE/PQwc2PlaznL+d7m1iXf6ywkRVkXIfP+m8a72rWUrn K9xJ8NaB+ZaViSNvP9Ya1J9K8pXXdxV0Pr70U4dj8+kcjG66SDnLzjiDJ5s8N5+cBegbnnm29GPv tg7rR8InEorqqjxRuGOp2Jn+gCGGPKd2EdpXTo7EQ31zusQT5bZVpvvDndotTPt1oczrsPBEEpEu nWglZKx9WiNOCACH7UDjiAN6SZiitC+YbI9Sx93qQHIUywQTDVXqm8lW8XHMCWkdoEC+mSn68Mzq YjT5GAof8osZq8aCFSgSiQXh+2+3Eb5UMCFQgoO5tosdDxLjs2mcqUdAb+GekPsgYBdCSU4CdhwC nYu+/KrIZjykNIggtTJXcq0O6Hlb2fO2TpiTKBxVpYvRvrFtZeis0TkI98QinTxmfnUHA/ou/wDY XuQ5PHdpb8GwLMovB9jk5KpWkRD6D/7ofvGjdECvEtPiFmsxfRazXyGC/3uvkneQdkJZp+/SGboZ x7yMDBjQN7ponNeIJbSRPyI/WOwEinR5IbmlLBim55qcKPE3hR4C+vr0a8xtvhDde6SZeWISFipz VupT1kYFY+SRum5UqGh+QF9VGtsgzCL5UpAhh1KKgnMaT4oHA5rYGdY4yWz6KuIJC+j7AqYVsQqE 4oHLLT3YWI6nlseiG5j3QrRZKLN9QjRomwE9tsQPxNNaS0zLAzakMjVcRcJnrbfRPtSUFtaZIIwd sNBuaNAiN+Z9yAw+9+e4wlzzdacelTPGb3P0RctUKJ6wnYV7BrAb1aDdNNpp37+BnVeAvrtDttWt hHlSq7lBSGN9fJM/N5kHBt5g75j8dKvz5DAD0BcfeFRBsJXCZ8D+ey6lNJdeXeXNAz8KhK9cvmjj Y3vaBPQFzyrHo58LRFGER9ZZ5oNarMREh9drExKFhV1B0zR6AoD++HnNWbBgO3WJsY5h8g+90Hys dG1yIjozKGR9KG+tn5twxNl9egeQv7SAhaFLPGSH/RupGhJ5/n1Wk3Hbw0EztGfOeeTkpEB0Y5nE AGy7Ijqg9z7ZPUxAibI9KEfQcOLslEuMuQxA6redZBe+3YA6JMAG9OzuS+OE5PaQ306/w1n/VEFT wiM/j4JZ0QiQaSwrWGtJA3p529GBTevpRX+oTW3gbuYeTUSqwj2jcIalohSYSdOQMEAfc7kqn+RJ 0uxsDRm8E6jQVQDqm/qYM8F+kbnZAnLPKgrocb1+bbS1HxKwiibYiFsDz7Z4+3EohfKXsSYWi4rA n8wC+u5IjonJeX/sDKt8vAaMvzwrqzzfgQGsOdssKhLrP0xxgL49Wt+krfZNoJ4hX4nlBDzKNQN6 vElC/Sy0CNRvJt2qHdD7oge6DUYU9Nl9p5viTO3aLf09xG5Z2VPnHpOuUO03fgf0ppeufCHK0fbm oV48x/jympvAcy8aqogpez5E0nR0FKSAnpKfEExYbjhWHWQSZ0wtaAclU7QJe68PXAIdN62s6KBL S3wvBSxCEGxC31tpJRQCg1h+M71tItp3oPzfXFjK+0nKOOFfhSKZlsjTtXYH8DekZUbR9l8DWjzh 3+emLViocRW4jeeCBXABYeXU0QU84FD37LkqkpxyHCVqJhOJKarFZLP3os0VKR+Iemm1+w9cnbU8 yK1u7XPEoaXgQ14WnJPx5PE91+Qf8bGoiEvHk/WDgP5GKMPg56a7YVIv8bIaZLcY7HLtQ/23e2Yt TzIq7cWSA6DPEE14ndb4S0e8+qXxoEeJEPKSGJRRYfyHTDka95Yiw/Pm/AjEyOyu5FeGcQa+VC5H 3bADLM33v70Dr+gv4YvUdzCUEBdj2WvMLXev8orOZvSpOLixXvOginixrgk8zTCaE52EY3rT9euT e6tfFTfFsutbwMe2DNz+FsZLUe3XnNCur5d8sEGuMINKk7ehlETtvgmnXd0YQvdECiSV6QvciYtM 88lcWXfDrmywIgOhUlyAvrbNdOlAgx16Gq2xuUbA2xUeeHFMrIGMKmTCxtqtUnIb0NOIHGeLZvjE OSFepqVVW2+FbowA8V4GqouWVNu957WHKzhM9Kn/wztZaXGGNBRRkFuix6Xgwka1v7bHRtkrOUqp CREjI9pRQ41LPX9UNX3NAvQ8PXiNJTzRyGNNKvCa5Vekk+hDtfeplaVbUZBStsyfKenxJcyJ8B0Q CU8zZKhEAj2hV0r84M7nPm/KRWvzP+x2kp5goUY5lR/X7EUCpcTlOYDevgAuWDv9TXGoaeomiHst IYD7snNaUQyzn1ZJvfD6+x1wPBRekYjy/qH3nX8tPNeEXxiUJQSaTRpPXUEGuEqsEjr7nMF6I0nl wHFiaonpswNMcezoJ4LnnQlz2gNMYtDbrLITzUddaoCaqArGESVNpEW2Ad9/pQekA+8qaPWG8gu1 VLOSaPzYoFBJLR7ddJx8yd1+BwrQT88gBrAhKHz5UAlDkxi97oVLMkPeAreXHHK7gf6b1g3vA+UE ak7u3U00etiDZqX5aNotcJxHKyfgvMkmBxOAWtJeoqpy715Q/JQS30+CGKz9qmVMGoYrNJqXfVyc C7GojngwO3jpGp+C7bkNUOZ4zGThQW/oufGuxvnSOfLt0EDMyXTiWU/vh8jJDOKkLr6eoW/m2q6d R+x8W68Yg9DnIxNXg0CE76fJ4tfWxyAU6Rl9JNX5d/mvXmluzjIw1WAYwc3Pcya+qMOvGJczwAJV 6Gwg4ZwI2qPQsDK3HLTZCk/oukHEfyqHzLQV9DfTqSgDM45oHERGc29Kx6j42glQI+F5CFbzDbtY bYMPctajpcakK1vbogD3ULI6pT5J2UBQ1aqLYJfXjLE46eaEi5qODMfKJFj2jDE/owLmjxLtfS3C Nz7X8EdFf1YAZvrB0/7Hr2peuWhYQqtPM02aRK6z5a0bU0oTN5iOCdqpXNYarnkjNAGpiGDILLmW 8MajaPMomjHof8ZNUy+IXoNahsuPwQhz6u0++agvPbbu+VTDJEYa+VZgVGiHChjvdlsv9R9Zv5ZJ mnhnzB4Wh9kW1ZejF38nWjjzhbetauUsPp4F3s/mkjdu2ss08uqAZoDS0K6kZ/wb21zmtQ0EPw8e tSvtXamXsdkgodtpGxim+LlGgZzexh6xJ8TbwgT1Rz5zuzNPjqJxxdAjxbBIICZmK3HNhkRy7boJ kjZjz8EJ3iNQONa7hNJDuBr4TT/ytlMbyHjrTwz4vBdEwlqbv2Q/MLbGqFYoEVD7s4Ib4H9QXx0k 5VfqM2etVYZ7KxFGSq6ijCx64o0tWhWgb8ByWV8tfhNmwJqU9qU5x2fJvKsOcwCMt4ulbbjdtq0U 7oip/UtfPD1AQCZQdLkAp5LXlAmNstZHCIPd1Esf4V0fAzXWjZX1UyTCVJP/wllSKIWlci2AoQIq j4XVk4EM56NsOfgd++CY4A1qWo4//PdwPO6nsMFKWWsY9O0lg7KI/0U1DKStfqzGpCYIbLSjdL0k o5iNzG7OCgIzkQ6X925SY+6Z17erv1m+pAZNYWXyckbVGgb61V5uWKM9SAjDw9iu/cnhQcyg5l/u 7JFMKU8zdeaTAja9PPnxYqMxwWmEUzj9tY5ol0syWa52zuk5ID+Jm3JltByqTwPDWKgmwbFd/20x GYQcUJAFOt64G9YLK2aRGHz6pFdl99WmIM7v2bgq11WtrWS3ER/T9M2JqG6YW79j0lW9ouSOvKrr p1E8/AiUnBC4x8bGBauTd16cJtlog1s7EMWkXOWdTlXusQrBb3olx3HsRMUeYbMtrl0kVXAd+g+n QqW3nkV3dU8U9jS0RW6sznYmTCg7XRpOSeZoGgP4zRnJ3ySZ2lV0OBa71WhLxuF1MBaYLfXU9kAX rsESVpSm+68Z5qfav+Wyl9XXFlDuEklmDHbsU5///xdaXHGBhFC8jrU7BVd/vZgkEjgzO1c+n7BL rpkm7sL8vz9SscwK3j1PMOo4KLoX4K3ayprK2O8vfjCNh8sqjAKdRH2bghYMEmyEkEmpgJroOUPr vBJuqcwgOlc0C6haYMPDx/F/LmvzyiDbE2hPys7Ozm3IhYpC+wjydij0C+1258glGl3ZArZ5sta8 7Pvl+7dGLjTWM6Is/h4UhYnJsiFLb7dz3M3C75o9IGdptf9zJxYzoVlN/bOMg6EKoZAN8sUzTnfF dz7eXKUbfNK0VieprK2Q8pfSsdPG//pWDcXr0djAiRK8TKlYOyK4XZTmtd6UOI/MeZ1JomSFRDoM vYLYLDYt/qiBhfriMTnOePX3UfKPBmu2VBzP8islVgrUElHwliyEWGeP3fpIMUr8vOKwhARb9ZNM WqP+ENbTsoURDstVryjBVDJU8VAGnV8BOXYqVWmhF02L9vPoVUzG6ElVogn5ysOUS5IonMNU2/fs IKVrWLxr++6Rm4i/7uztLcHPUmEMZMjOeVkJwQ8bZGj6VoAxPZc9sat1Gwl8uaXo+27D/UVhCLTq VI5dpq5XI1AZu6WZ9gFWksRCv7Fiac0b6kqgHSepZ8YCOuGY2kaNYDZxbj0PQ7FtblWQNY6OSBtz UdcITdudx+/oWn0BU0wWeadrr2nvdGuy9gz86o3ZQncBQyyqcM7+BLUaW32Pu5w4TJPffU/fBcd3 /1ybRtBrQE8VRkmfuy5sE7raDQrjr7MZT5/LQ3Wh5OttUJVw7CHnryR++8s3lYeS93ex0K4hihq5 OOoQjTJGInqe//zdgBS+gyKRwdzZq7gHNWdGeuhloBiBddUtRLPt1//JefHJSSJLWLv3aclGZIEY N7t5mF90KrdE61Emgaz+lpLOM8R7FRbyYhE04kg7vTKGAQ2GGFRFzeGK+J2TWPpv0mxP0ft33n5k aIbuiRV/n9YraWpFkslV0oJQIBzUe0vSoluFf5aUQ6BxJLzrFeWHSQM7z5HyO6gJpQR0ExjiryuX sJQFjZYuPhsHHqH8cAdH6wJaD6ce8rzk9u300cn6afOOItXifMg3oFWb0up46u7uptDM41HgTRHl dtrd5e98FvCQFDYUCfgZJAZ/uIBMeJy4KTTOLB9nqM6vs2DItsJCrQytfoM6WV7+i5ol0kMZhats Kk9tzIa4GC397DzuyZ0Ork+xdboEshQ5JrewlnJSfup/DWdp7NSRDu98d29yGu1BmmQ8IFfCumOz UbqqYGaTum/4Y2hLpAW7iQ9HdiFGvfwMrqUZ4OTDeiQ9uoRNmnJiQpO2goE7GOEN3/5j3+Gvi/Q+ 2um3ZATAhBwN1suUhgjPiiwLNh+wdaC2+RUIIhBfMYy7H3Nmz7R/XIWNmQOenSSJ9BhDx6RByond ulBqLG5FjmtOxhy/ioSIFxEN1sWqNmLCbrSH7SW3C8IyT9VSDTnvzWdhl7M5DIZ11mF7cZBsTztI 8WbkT4W4ulGFuBoImF1vVX9ttJZ+WsccL/1Sh+2ndjP4pHVuf6jade8bureF3gAe663y5rCKrxxI N/FC1chWcfdfu5C0cx5evZVC4ErDlw2zTsq/9/vpow3NxpskilmIXsspCaOTJePYjpza0oTfaWm+ gp+Mb+EtbWsI5gfCN6thRZS3qouiQiS93BZmqcOammTBaPpXnzsEq8XEq+vyKGZEvu70FBlshFRB xJ9ZL6X5GUcSk+yVu1bUoE+XQyb5cuJ5bIoeeYPlSbTzirGqRLfP1ERkF5fdPmpAh/oOX76rKRnY jT1SSP38kTKWfIsN6vXl41I6zN7fp3FsePty7UzVEp8B3MMPlD1q3tm4y0jJFrG5zMfy/rpEB9et jylAoaDkBxReRDRRHlX6tboBPUdrP8A/XxiBJt2oBkeceHKrMK/5nRoFxXaWRuTQFY3Bo1ren7KF YyxGmWQ9R1BZyt0DxhhcH2q3UlWCMeCa+O/vS0UOpSbRd3h3ETiLfYOg86/kPOqTYI9D0zrOa2Vf F23N5ORVLnm4Kpjp2OhacxD7P7ebIh8Kz+9zhtOH57ZwL5UPYQsxu9PtODylTWOPGBE0TFPsl6+Z waQ2WJJ5G/msxst2I56FIfOXaxwGgPcX4a3FkCzGszY8ECjweuc/14y+LwqDRlL9WX0GAgiD5Wft +4NWDK+e9Q+zvr5vOXKJ69W0ktplPARv/Ee/z09ozCLU6pQH1pPFhZcbVciWc5coeRJRXnkIl+1V vcnmo6I334WAsp9fWrNO3/8QHgdoEDkgdt38JMeQ9WDDev0kfEoZ4Lw8SHPNn4TOOsDIJN3joneE sqQC5HTKR6/ICx2aumVXDMj+jxBzWhKG4Znt2LZt27Zte+YZ27Zt27Zt27Zt7m+fvif/BaQqVenV 6URMZ89dstjXV/fDS1/gz+nUHo3uVicJgaLPiKVvxtTaIJvKcKz7dD3pzWZ68GLtGpF5DiflHPNS hjHKA7nWhXAwln6xJ8+xW2hyAxdhIiTWNAgI1kbaT1/WRnEgM5G/2J827qqE24qwM0EQ8GG3OJ9X HOeZPruEXO9G4hYpBDnDbuhgzMypR/PNjBG7Zl1L6CtylPni/VBvgl+PtqTX6cz/qfrt3wvNyGJU jPu5aMsWcOsP4QofPyPmgiytdBmGFFU0aATv7yOZSPyA4PDwXzML0jVN+Uhj9uiAAObzkhmFo8+d /kq8xzy7BtI7lMTEvKiM3yp8PzrKcaHaGxB5ksni/tj354kokWYCias28XAaDoxvveyYHU7W1eU7 vSQLCZ/EscvfI2YhFzcyKSHYqXKDcHC/wqKDcrmhVoG/YagbJ4ZNu0lojJ+2dPZC/iVGw1kWE1dA M91bHM5BcDDssCEp7lOwb2+ygcecy86MoIyr12vo3O2gbkws+w1K8YFs5DxZJnottSnUeSp7q+h/ 8wrVzBXGuU2Xf6u9E9qmrxXjyVeWMVwhKWyX6xu9jVd+3++vFyiXGOIpCqnYuEKQZX//YNh9xLiG CDlUWKusOc5qbGtHtevAsy7TIEPGy+hTVbG84euUMsDfC59JPJT1JNpvWDKCIMqXM4FtCZJsx1+1 6eYMlBLOr4BFZVlDL24QCwX+9Ffs1MdpOq+Wrhw/up+T/prtULILxZrO4NNA16ueMiLGq8cHDd0a MhQ7uURM7o3vJ/lL+e8M5bwuoqD/ikh50wUZf+XGfNRoBz4HD0CAH6k4F3b90va6+1s68nZwhBXE W3sAIZTP18AJbQxTR/H48YsPi/W6ZVoK5zstJ0PAf/2XIzqFHApYENYHZSXpKg07Z8gID/8FLnkS nGNqJ7eyXPS/+f2/9WPzlg1uvkHcLNq3EIYt1CF6ijTG/2MDqrN3g5xF8N0hsPvxDIkex5L0eApz 8VykXha+BswgLXuFYLh8twwm8nZrBf/z4km/OeAPssVK+dZfXDKgUZ3I0hzYnH52CnEVRDEN8R/O uOJ8XJ44jPalgcMtDd9ADXZBglgiMFaydxbEzSpJdoYBkPJPoG9XRj9Bagqjn41ulMRSHe8qhT7J 9b/04/dx6gGy4vtJUKCl2dfI//YPqHjn3Pp6E0DBjPOgw7yOnm7VdJubaDnVBaSIqdG1A299iGux POkNt0uwZ18Z6MsazECqcrs7XJO6zOSrtvx5/MUavduVrku/+mEOAlikWQxN+RGKqSE7hRKMuG9d /decB88/t0kD8AQRxS9skCVUoDMZUxdAGVHdJYzhQqCN/Rf7iyaeavqVKAGrZkvwhuH69uIJzeVc 3TeJI8NF3v3OxBRqBRjDSuzdyAP7jb2gsRwuwuOs2GwQeR4+8SO7kBV5WZQoh/NbgGw7eHJmbPYP QESXkLdGzJymG6p2fQV3rtesPZ0GOM/QoT+amcablNEpDdfa5zV9sulBgf+WC9dhdpPBS3AplJHG e1AS22Idiv2lkdKpJEFGat08klHyV8S/W9FULKBFShYd1dN62FFQTFyUYE+Y6znFFzuGMlw4/7vt Gffnlz8WK4HC2qFG3g3mBGEWGmrScaLsuKm6x8qFvf6FXdbHeeWVpun6l/hcEc18VwOYzIYBgIg2 p8j6QjVEJ0X3VgRT8WZi5OBmJS6nV4Xzdf4oKsS0LUnWfCP6kM3LBmF5b5A2nA+dIfr5psJ46cr1 G9ztk1acVLUSTA5jZIjqckPty96kYnbhkDBxQFx6NuLdXT3uJY1RhanU7LL/8dIuItKqW6kjMMMI fnZKEfhZ20eu/+hcCAICOV3h9JyYgKWt67VW5/QNGAxboJCncXFJlAEsIfIci4olnKgKRFCMbkQ1 95Ti/bkaH7o8O8KFOU64VwwEcY4O41DP/fxOt07TRhOSPE4tlyeuN3DSUad1qCEGb5X77lBLLc1x 9uJyj4OGrLshnlKHy3Ga4NEPkJFM7AaSJpNjYV0a8V6VqyIVF9Tp3ATXX0EBqNcYw+KMmSmuJ7Ih rKT+tBbkJEz4nXUBsCINeKAAJRhEtGbWrZY0JKe3G9lDpGamK12HJlKfe/eyKcnizBJ4aDLL4xyT yBbkC2JaPw+ztYJO0ouz95GB3IBA+lOgxQWe+509g6iyXeOrPuk5N8Ued5xITy9i8TbE3XokXWny iexQ8lH2nvlvJQYv7iEdCWQ09Te/s3K8G0Cc4w0T0xKLlLV2bpCzD/vegP9aAT19gP3tGOYbop9Q /fu4eyfa5cWSvYMafdQ2cjhKhhUJ458QT40BvT9xIf5nrhZlhbIq6Mz9chmUPxl7I5Lb4OD9GH5a gPgVI1wk7QlVaNKGqqu1I2PYVPYgXh13w74YAwoVKam2jCDVQzu1/Ke1Vj/ou2QQKg8Nd6r2kZli 6r7aLjzgZwAbCNa4pzGayizZKhZh5KOUr4/yQdn00TMW8mppuYn7HhNkmxfZceWr+3zBy1Soc6R6 ivib3k1I5gp1PaDGHGMm3OJQHCUhLtwNZQXg1W5iRpR61Ek4yDi6REQwcCNoxvN7zxWd2c3rZtud SJ50eU+g3mZR1EU4MOwEx9YQ6vLFaDk0FP+gGOI6WXwFNQRKtxgfTTFMPWdv1es4usE20Ce31or8 J0/rIFIj0Jjt7FPhK2w2M7sjKJK9tRWjKNOcL4bTziEHFbitpOJwTbn6a2cBSd+GuY0zZQa1mkA6 uMIQOB4HyVeAoaJJraa0qQZDRh/B2XDOdagEq0/a0gdgBJ2CJEr+YccDEzEsSAfSgWLmKqMqV/8o RToh9s4VQJP6arhBcxRCcIVVDi3vJztxou9baaloYzlxFckyjuQ8OsVHPC2gl276qCUc+AR9W/+r Kf0DcGcs9ab42k1iVXRn2QiY/YjqjwqkyKul1hGV+5aEsSStjv9/+SPGH/RqAvlW+ZJkC00Hsg3x ZfP88AbAaj/EFW1NJkQKuzBRgwPfzqCN/uW8phTg16qC2aEQ9d+2MfvB7MWRK3/SECuACZI6QRvC kryFdOP0T6Bsvalc5vM8+6xzU44DPqKV38ErLP/AesTg9oZrG/yHjud4sK5U63n7w0rgmQCKnzxV SUgQ997WgS0kf08yTmnzq1rdJW4XI6yXT2A0rHz0K0WzhTvgdYfrnYgHw6GN42g4978NlXU1yWgf 4vLbafB/6Zv7R5iZLFN+jCCszr+uaENl5bQWc0iC2h9JTRvqCpzXBR5ZHEK9rC/EofQTWvUlM4z7 33uAFLQ8mFsxQcfYezLj/+XPrScNv0nBOMeiMLvrpEMTuJErd+e0UkJDEfLX51ARKbIuCCpC4Sy3 DcSWD0XgK9OeyBL+XtM5E3gyLy/UbdRwVLDlYOVSPmXysACelaT4Ar8Mo+U65OCi+YR1GZUJave2 wUMbQTinUGUx/VqxsutNjOGAjI0uFKNWYhqj3bbySL65xmYrZTzS8qLxOkJpMFFfhDMF56mbotlw 8sZRu1E4r4XOBtU7HUwpFwz2eSYSmdlo9ZL8F4QjCdoSNa381PIV/53nNr1PEpidnEssy79lc6s8 qaHlfrS+mu4mJ3//yWy59IBBMPETctfTm/oU5/zdBWAuekng+qRbgyF59TpEwBY1GNFn5OuwBYC5 gKyi7FL6XO6LrEBq8Q2Bdjul6zAvCvzRF+caTDrVlcjfUJpYgCc28r4ZNJMfrjbGJT7WTZOQQF9Q aGaRP8uhgj+3iYoaghYxOpjlwDHE7mzGVNF5NjYn6E7euuEKNHIdxo3YvgJiPLnZv3TwI606gO73 QAtqh7m1iDSe+ArrkZ10iyfngWuQ/KJe98xFKREl4tH8gDk221ZREdLLYkJStW1VX4D85dI3IAiI ljy914pa+n+A2BikX7lJxY09aXV4k3A2eCFEw7DjennyOlw2PfEWYfwN/mQWtQTZ9K6qUuHJzVLx +eOBnQAV94Xe1YZf+FfehgAPKlTUk2mCS50QH05IEEfj2mCTwv9Sf/xrpXPaEubUMPPKFU+YvRNo 46OKppwsQVDS9//wQjP8JCjSP7BAzxIk0zZiJ8XHFDmdLegyjj//cZRGFoOwfNw+wCxcCzb7vjQd 7Z4dSjCwIZsRxHzHhi9Gm34s2OxoY1bEjfXw3JB9rREFdGmgJB4FXP+EdHPUNKAEJPFep+6K9aHq PgN+YNWsintOG2frv16pSaaz+7vZwcGhd0Ei6Piq9hI4wfkw5ufj1UmHDXGC/gZUsOG1qeaWFO29 3BfxCTyDMWYdI/ZZetPhi+ZrqqtnxJW+3koqK0wSIX+XFZC/gSjnZwhG1NF+kieYEbZ9fLtEVtkL G11My7oSTjUnmr3uepD7siNEF8BZ6EaL1F64BszsKxtmDjNMPE/6+nCinEeH8D7WqyTtqOl9PMko jDo2rsvEn+QkXReQ4ysM6BwyyMzYMLzVqoMZeDJvAYncqkWSqAXNCTmnPEDV+BId8Xe11KvhrA2E FNqfzYzcV0HpbW/wRU/AL2ITYx3h5OuDpPUZ2p3myloS8TKWiq9S20c75rknc4l80+2JGGRXuvrs YlIMfwIcUW7obSBRbFiD1GRq8AtLOt/aOXXsajlHZD2qRbPaY3hiQG2VsAGW8vE5kl1QHZJoqRZr QO3qJbe2R3d68A8Yd505HboaYRQHIbI6l1GpVY7hMGMCWqP/PMvfVtRHHlTChLXmVHXDHG5ZdDui JS9jgHydb5sVFUYujOXymp5DTccIkwchxKlFfSWu+lSKbCKUw1erLDpJg43rJz7fBkYq+af2lONn elY0MlcCv0Wcrruhe7S/dzEvzcknWNGpC3E9B30JxYE0cI2tEeHYOqrMdmf92/OFGwjf8VYNnRj5 PveMI329NGD8iFO7MugN75cNcl3S3wAI7n4jVxhKq0yVtKnkzpOiVR/BGU5fddky4J5j4a4a7sFI SlcBKIJ3EQjkCj36cnPJYuQaM7GfDABmA/atwQUOsTwsr8+d/Lu4ii/70fGudQFuqW1zklIFIgue yNxspEEzfuAQT7yfarTVG/o6U5rpNKEqfSBICHg8Vt7qoDAEaoeSsOjliSnnJQ1lZYx4t/a5jqAM lZftI2UtN7zti/XvrsgqQVoAaAIz0s69Ukvh61LMjbz4ykrSzb7w1Vxv2zGTEH1dZsBy6ugi+pBm YlEa5U/BKOdyoLgN7nbKlS5jIkUMz+q44MIckPLvSUW+fohkpZNVN3VEfSuDpxkuOLBahWr/1OUg P3QPtI72Uv561kHckfS9vHe4DWJpl8o8vUgbvT6nyK8iXa+13vvZ+TgvOQvqAtNC6FucvFQPJxMs pf3N+wHgBDErcIikok/i9WY7tL3TAwnIr+mRg5oPN007l5JntQS2evH5wTQPP2Tq2Nanok5pLQhL fAjIhMKOYhOdaQoU4rhGoha4l/wCHmGv3uqYSAK7SKQASt8M6t2jVvoUo6BaLuw9tLP2FVdvJZXU CHgcfyZzWWJcXguZoHHmLBeJpvpusvTz5WhsbsSLLc8Su9P3aAHmVJTtm4MqJ+1tbF0d30y3dmxq UKwj9Q6OJCiDGuqJ/EKOHT0mOew+tPY5QVJggjqvytnu4/cM1qQI3k2vsfe6xhVNewyl7cviKMFr 39ZuDyGY87Mw7Jj0rtvJeJRFsFbVUrkXCyeKkDIG6jovWCIdklejAUYSkDDmV3KCscCp7otNyKEa 7suO6bYnMpVkZI63jMruXnL3rhs+UMcOrolROmJguJJfkyqEeo6DANqRvA0rWAq6fBMR5r/a9lI5 WV/RFP+mHnsCm7MlBBHcujgjs+OOp0CdAInpR/aFs47qz/i0tF+98Y5qyH/PYneqi9SyPLG/sXaG 2eqLJrTQprgTqAdG3fwq6Ut/6KFcU+fjXaRfP1ZsIfYmxgG2WyI2aSxKZ/7zLLmdYrfrw2ZNeS55 tPEwZldz+3FrEezFIA2lyachtQTIfoYYO1bhwTxlPigGWL7AUP49JRpaQ73BDuGCTziVMqZgg/P5 4w9Q0m9suWgsNO4Up6AOjLIfb9fALlgnHGuCyebIS2j/EgTHFPcsw5KUTqrb4E9M/eJArOQOD6jf t9NUki/ub63ehRovNNlFgLdQj+iOubOaHFgj8hDfZLa7gqmSt3RzbV9iE8GshdUC4O6aYbf26YaB +EfKO7wh1sLuP4av+o5mSI8qomtfpZhwlj1k0mDMlGe2leq22Bwv+vQcPEYlp0N6+vMpnjoIDaxj wu0PmkdDXkerv4Lh/YGCBCoi7Qlm9oed7fusJ2yFsR+TfpxiauE0afGGHG5ocpq1jbMyDpABVZZN 2TnvIuHSE+Oq8O9WJtvh9QazSXe1AAH7UH4vUeA2a7gIetUAsTUzBNyEr6ABJ/WKPOtvI12fILEX k8moan+TXaMVepe1PmI6iVfuxihwmVzx2GOTXj5d8k99y7tkB9Z+bUVauvHp/suOvXwXrhELyrk7 AkbZwErurpvziU4cbj1Mo0gkfoEcN6DVNx9DYmV26qb5IdHeLUtv7akuVUe1EsQymoe364+GbmDK KqHZnrkKgtzLpbZ46OhuezxsiRwJ4yIWqriPQMt56K4omPdB8wN3vrFjqFeWj0C7NamWiyVSB1l0 j+X6LpFdYy5vXPaIuOPlL4e+VgMo82igaCfI0bGjDCawLVA/Z/vw8IZkuLILmyZb+ChaBwArdCu6 sV+/D8a3KUYuxddf+F3HL19VsNlW9XQ52mQUgYL4UT4viSv2G7Ecl9fgHYQNj0maUpZJF6/+qX9r igAHh//V7wy5SZUGWYUDri3Y8WoKc3dCpz1CFdGlWI0xFzHooZdW0RjA1mHZieNdy1IbW/XaQt+3 MUL/E7h79B+ciYpqtrx04AcFgj7FmA/LrvfRdFVQt+GTSLKYhzNbUHUTNLEhIYwCt+IW7u/IHtW5 JxBn17q9CHvuG7Hzgl429YJWfNIKP7jGoVNl2oFHMS0mo7yeGjVYAbpaMdv9JznrrGKjLDFiYQ7O JYOQBju29vrkobKcg05yIe6nxjPSpppp0x9/bEMSUPBJLD2HAKV2UZXHhnxNHV/u8kEZbQV9oTan 94ADOeg+TPc53kQKWf/UJf9u8T3J/XKTXKcYsuW3lDGhfYTuToyKBKEjQhSO0mrZdAMFgy2gcNqr pmWIHkKlN38tkRwwp4oDM7qQizXQDZhx/JmLLkvFXGmy6LbMm32sMvtQjvfZTw/h6gHqmZD3cuhP Fh3hxSRwrV8sv/LxFRUS4ELpxIQXim+ly1GZtHArDMdLMdyyat4eJy5qAUXoO+ZXIbDo8mS80bjo PjxNDQjbrnDGVdxCEK4PtMVPaH3tX67/R9vipB2l4UJQgIbGIpB0WDfPXfeffyom72z7XSzLN3oe XXuSSqo3XPPRUKWVMfhcy4bAtXoAX+AZinZZ3S2p33tEfbgBq+eP5Vy2K4n77UnJmx15FxlaEp7p VBD6i1F/FPV9mrtdHkEbwVIJPJE1Z3bejok9N79ZcjRJTj7qsD8cQBmEd18wNYvhjPn5GHH3uu+6 BbBp4B0cem0KPOHD948YKiif/Lgl7/FLB+uJUkLClRwW29BDVqNu/VNOK3pRsyvyv00duxlLqVT3 cjFmMMpvcmV/m0MPcFMB3R7JQhA2r6CEHGEiyAXSLLwsLDzSfUqGRkPUyg4fcLYhOjE0BTIOGr9m Yd+UwO1cHcOtTTfBM83NBtT+J2ccGnaAswrfA4D2NxoDJzdf/xHVdT18gsSAKMW9zVbMBh5n3G3T 7f3jnF4sVzzxlTEB/904kmz5iMt0XDpJtA2g8GdaNeTnHUdduOxcj3VLSIHNg13vLoZc4WNg2PDu 7/RgM8YUtppMJmnFD0EvczD+luOGAlwXFuy35FwskaZr85GUlMbHIGUN3lrN/PzPSRZR4ut/U7jc nnkZ0QaT9Y+VnQHlkljNlVvGuX1pXtSnx+Hh5Jqs25eeg9dwNpUECGQ3qJHy38/FiykImyow8ayS C2sokllpmu7zMiqThVD8rITuitJw1RAhByGKwYn1aBcRGzmCzNl07ObI02njDdvJucNum8QxdDYu vdd1sbeQd0OqmH+4GAAE7n6+oueSuzSsG9iygS7HoqAKbd24Nd5bSXeiVQiKT+kmPQcjwqxeCLGq lvpXFhxlfQMVcrWHVt0nYaZKjLQCtrK8tXr3zTw3RD317O+fAlj3Mh9VApWwkwxAsNfGvaWeCSYO nl25jqOB7SciuHEH7sDu49OtpSxsVLdUq9jZG7abnv94ZCTmN1vJqlJboiFkI+Np4VPboqnSvuf6 S0lbFieeVuGOSzUS376UzqGkRELtxUrAkZZe9tTHr89lU70WYF0BE2KroUT40ReY775JPZoUn2MM 2SSW//nIkTM5F+XAGgHUFyJ6uMRJTQZouVpWjn1fpzTx6IFe/kCcSG63BF8MJc3kH9/bY+5dZ9dO ZlI+4iYTWBhmkleFcl2AGCFg6mhkdgLqcYMbD/YGnlhQsFgBb7JS54a+X2xnZyrF8CxsmblEACpn Yj8awCNdmqAsavSQOHPpHuuvxifyOj71b29IKgj6rdB6fQXRxDuUTQZ0Ec16xiWuvSs9hgFWsuGR 6l0A0zeJFfRNAgjbNnG5oDKb7mElimChgxR7nErzBnJl1ix8roXXbSfrEDVWUX4xvnkvy6MpVPiU JmQy2KsWHNqsXqNfsCixoOzqME2bcqaIk+Idwhk4r//VGPxtJjiwHa/asuaUiWl+eAMwX+V+xOz0 oRV10wS4e43i2qk24uk44oPlgSY2j8jalj3xPTRX8eqm7ntEBc2JWAh8Y85LsW7RPiLWJQEWZiDJ fW1BwFCiLKsaahmBFOrnVKuVboRvWXhoj0NtR956ntlCSwmmbb0tEJ9s3QCiwcXvZSxNxuRv+DDk A5C9hO74hhZzeBVIlevAZuze0qIWS4pYi4RS7BnZazwMNlUPpcP564dLxWR1tJWboGwNG9cxHfVL Isxln9CKdHfGuLOSmbeA1gQBN8TY5j4zB/fTnY2EQ9MFsZA6GI2qDSqUTQ7yqHU8HRV6bReEnmYe QbIqMO1523TDtKF2ZE7tgMsdOESim/eun99j8QEJxaYVmGV3uEtaLOCQwg1djZAhspqKxamXZ2uD nGtOdUFze3I/lN4V0trVWKQdzBjQzor3BEzBoJURca5rSE2xAXMoEDffwQqqNAA4hZZ7Pdc2JSCQ I4lp0okMdqTbWx1/euaiJNGF65j07FPHJV/HI+Bzt90kzH4KxTZOzzEGbDf85ZuNYnWi5/o0zWY8 fv+Zq2mFnOW9xs9jLNEShxw/wjN1htAFYEvWs3RdKVp/jlIHs7Qr5iile8JETWOMvHmHUnkBZKNc EBhrWEBBXFtuo4QFVzulE6UrumBu1kkqqSeak0K4ZgA97AGEl/Y6dD9B7l0HvSqDjB1/AhLZ48sD QxmsC/Qxvoxnpy3FuTe70CI4HKBDQz/n4w0TWC93uvsYOxwktTiuf6FcC0169mx0HVvkRKjyxnd1 AebgIis5eZD5I+KFYLCKEtLDRbzMJ+tm0jA6j6NmU4kYdbcIP3KBbpEdfTIOQAkBx04Bz3mqjivp fpByUD6gfTtDLs+A59YGXNPrdxKY0MuTfWn06+sVG4XuIfhHPRGYS0iCQkN1FsneOEoKdhw5aFQZ x/lwwU3w7plx/HHiWC8kYzbqxRQVNe5eXJ+0wfHBfw3empyxK/QApANxqjtFrNILNFHwn74wfa5Q 1if61JD1EsKZDItZR++BgXJK4cqNnBUhFIAykgMUM+DQK9I5sH4/EXVhO4x7cULIGJM6oC6O2kxQ UdHre3cnGadEnnb/jIKsvK1LSuYSc6kpWABpfHBw08eAnHUgzqzohbWxlMWJqDITmvOtaSIW2zjG GqRutasJQgmhs64ChWAKFGddgCGoQGKyBc+dltlpo8kiiM9GQcwZDIlutvLBHltZEqTQ3LmnOiUk Drh4MPaRi0P1yXnTCOd0WhGkLd9OVb7xUXeH0/755VTMbAMeNo1LzWCZxsOlJnh9dArtTGIXYG73 QTQEeJBCRB8rnOJJujP+OzGNuhQdpLm9HQEt+qShONpB/HhlL0pmkQhDYUM7UzIPL7ApzGzz/Pn9 S6jyjino6ANlj1LE46u0PhroJ/9VRFCuOZy3DW25bXSelLDy8CvfiLSiVin9wTOcZPkDXXFCgoSD m7UU28cfICDYNYAxAMzJlJmCh3wF9v2u+AbQKwuNosUGA3rBivt+VAcOi8rkbn3g7M5h0exRmMD3 cVyuslNd+W5P2yO/p28bv9tDOlkbQLskz1Vu2yMEHFMov4l0QhbNf8+5g6LO3gPnMA3CEheEOW2S tvfPID69/BybTl8McZ2da/Ujl/efdmct62njTHuxjf1nD8/ymSbAuEHtIk9nxhJRQqr0jZd1OYlb hhEMswjoDFYW6S5urDlAaAxvaiABbTw1AYzDYSq6PUyhkkPc8dCPO23WnzmawY2ssJ87dOqqoW1Y 8EA4/5WVrMX+HHzd4Ly37yc47kGLBAyXbnIlMRRPPXc0of+vT6fOxogNh9KNXSjIPNRdeAX9iaNl OlbpJlnZdoYFqaVLnZ+gwenOhBIXR2Qu57gYq0cP5jFaKX0q9S0YQcFlIXxQwAKjBkkK8gm+QIsO wZ2NGBwH/s5r7F5e8onOOFmuscrBu/f2cflCN2y9CTHfYsp0s+/2gQdwAgKQ2iZhueCz9ZBmQyWG yzmVY+xQOEfioAK9N9loWIJCZk+rWnsQqlHhLz5f94raPrsSp+ajOH33S2hxlCN3CmYJ7eZzje6P jPaJsSEC+Kl6ekizAth6rBIoqcMXa77ZjVevLhhMMVBWVYYD0pF0sVL6IgiNKzIr05NoQ2RABxXG iiJ+lTzR5hveKOyiqlPVLFUSaffKdegDmkf/kh8HuRNQLaSQ352IRAMPKil9luliWVrjV0q2WlFf n9O9ed1FK8TZNKj4QExPPwVt3tHtUXIz9oQheMpbM5mztmae5Cq5Jmra+ityt7Bb4Ngp87C85W55 q5Zo+VbsU24JrsuucKpQJ/bOVDSBmHXRfuwWhNP5R0iMv6ZYWwvc0z7IBxLbsdg2pHiJDCWmLdCB kxEv4RYcU0erX9+orroWg8r0c/n0jATszPT0qKoks1fCFnRlJAhEQd1UpRJU7pPECmCBhsZWkYEo CiEmLympgID2AGGkOzz2fGGlOVNA4Kp0WasQt4UIFmP1T7CAYv6XCTr13IL7dmU48vkaDEzWGBzh 7MLrdflYgZENRMeTDMcPHiV0DjivV2aqCn+boVeMnTeJKPVZxiIuYSxdEZoUusJFy24ANPBEU/+T +7qAIEF7ijwW9yCCR4Guye6mc8CgvEnuRlJOY89yM52t7mI1K5BKYe/G74spcZEnSOiyTpIHU6ad /fmbEEMI8esuw3Jb5BRDbpo3ApFdNqr37jkjQJjrizCBoZCjlrJtiIpZXFl7cpY+7VRxdQyqxJfO fJVrxB96GE4ak7adAcFGFuxX//r9HAnW/dcoO/QtueAAtw1F4tmjCIdrYlaguk8gpBIgLbjbZTM3 6o0xcorbc8zvyLjUeOQ+6SBe0QRLNv5nI5mryaJ/ZZvvif/CozztdbtPzzfzF10qlaQABfH2858G e5mh09wIbljVF5B8AQCaQnLAOFviuomAfoeF7cadml+O5UsSDbemFsfgER4vNyeO+AjWNqqI/xay +rzemS1mZialqtDknmI29YT/1b0JZM2Uisd7ayHEgrpbrqkFzCfWa7oDQM2bk7RCexRUoV0+pc2q 3+5MNzW2Bs6iELG7IfTq+bEJJy42AA8BWHd7nJ1PBdbad42KLuzsmN/s6n5mDUp8MtTceY5ZEz2g ppqZ5YSIgYpdEtMLVGfVH/BoAcFOYhqO2VXraJq5IwsSHO4DGH44hgkT2aRIWHWMJM8iLfOGwKI6 l1Q03ixeQvqeIxiKXGeHWFGKLe/8uFYPNNHVfUejv6NvMNChkmdC/JvUQFSUgoyY9YTSomhSBYNX VAMDmhnqhm0ubP/b/hBx6Cgp43k7gMbBzwqTJJlo2MVhQVWqxmpz1r9iRSvFh51pQYJzD9/yjtcf v6GqZgRSjd/uOjvllDDaXSj2a5UK2///OSFxIL9aHuo28BZL1NERBQ8IKk1vmMKGeYmrFyOyDG/+ kuUuhOxz5D1c5HYfeR5cV8RM9ZoWmBHfnLD3S8AaS2HSoKofh655ZrG5xe6se4bkPt7oI8RyDGWo 2+lwzlJ9WjQA6bKEcaSFb72FfcM9c9idoFgeSSGRnTvcaqH5clFLh5Tz3CaSCFRhdzReWd0Q5QEX BGTPPCZ3cW3RLyk/ekdRUea0fkLwRyYGbKWOJ4MBMKi9FlGu5AR37YIzgu+NoXn7uTLqcfM2Y+qo cx7/nAlhX1XSKQdt29N1eV/eIFM+VhH2+LxkBsGUKOvVsJoKcAVw3SNoF3rQehfqecbY1wxcF3Km pMehacKi3uSX7EysaqPXr/lSF9ehOSRRDXOtLU5ohI6IwHSqcfEasIX+2I/gHq4HLsGJgwYoGzew EwQ3ZZQd1Hqqqd3QKrLKvRcEENW0GaimhmDv5Jpmn5rs/YruHX9zfpCnBcSrNGuK9f3BqohnBlpY 5lsL6Jf7i70ySh7nAQ0vtdEHYf8Zymref2OtMzEm6IFbIHZAt8IJRrdmm/qlUxIRbS95ddrO5q42 vXM/w7aLrde5uDGvQxu/eYx4Q8v9C2XRsuBBoi71CS6Wt4LgVoenljJw+XEruRIx1YqsPa8LaFvz 9bR9BUIb5vsRMOGgyx+uTmnyRcbGgzJyeQADG8xU1aWXhbQC0mG6dOg7OVI7O81hkihPqVV2OVEv Idl0uEaRy8PDZWJ2lBW4aJZFNxjhDFTwV1mUfKpYGmRDN7YSgX/QCKFFzD582ZmL+bi/v7N4W5Bo BagySNJ04jwZnWtkn5ws5K4FxvV/mKpYFXmsP2lcCR2b7K4rSvBrpCE7Fblvy/kZhvZ1x8V96jHR nrRSbOQ2Keb3KxRc2a8b6l9U2eTXAr6fKiPLFXHnSCKv3KpE7b4zgYwdmUQDIffJpolZp5DtJjkw 7WzC3conqxUzn783CVEXQg2NiPRSqr2fObzBv2D5KBDKGI1mWKyrT4Y/FLfhuDfYQc707hP3fOMQ BYHfHpLDae1RlgsI7EmevfkXlxsPPjDajVwrl5EH3jTidNWJy0IPptohhEvxgBLV6lFupIP/GvTs 33bXlHJvLEzin5YwlCARADqpGEl4ZptAc3P+sK2rkx/6J0GYOSwVAoEwMcn1nOtvwzbXgJOB2Zhq if2IpsowaVQ1BxhA2sb84ZyWiY+sOzjpUo6ePEthQRftKU2GUPVggCbNb8+cqBlEjHVl5U7KPcpm d+TCsN3RTL6wh8L8IeELueOWsOy8/glf8jw1Z4BuXI3oqAL0lgsbkJgn25/PjoD/E/wFOy5lKwHS XNHOXocvONKBg1WV5obSlOZNQ4jnAbMCyjNQOs+o3HyuKBv6NNSHTApEiylT3Pt5CF/tAAnDvOLH ZLzQX6w1ABb/wFKD3RLCBSpXqKcweZpgj4scB/2jDGWvLVByYXOZIrCftEY+5TEMRe7TaT2x5xp1 AYBe0AffvI/LsUe0FAlFAWn5Zn0tMIfgyd4lcZK7BNHCzsbRj0PjILa7mC7ACm6BzomFprElLYi5 dTm6m7b6/GR95kbZvlBstI/8761KyOOV4Fj4F0MFizGheQuEMf/4WTNr+EqkkcOMNrX4wfBL6tbv iNI8VQtspBpv1G6dpX7SfX3mJ18exgjr795oFU7JlFbCaXsXkiVfGfKlz7NcN7fSCiDuUHbsVjoq eaKo5QQNh/seJs3cxezcglCfnShtokB2xvRa0cH+TwchmhHZ5S+gQVWTxEaiNY2FV2oarWwTWp07 M4NsEyt1TU0ZQ29X0aJkkFTtzJWo0Zc+gZBxa0+DmtVy2Xwrb6UhXpxt+ggVlRwA2Owki8kESnhX eU7hKmYzQ00abfc1Schi0xgebNfBDWKnmKgqIa5ZH+jKZ7uiKArfgTL1Ku5GkPKFuVFzp3t1a5my sFQzFuAIeRnsPWrUl28N1qHatZfLmWbpFtHgp4lrVEJTfoWbvGkVlTb/Lld8KlS1HYWgyUWhBAbn 2lW2sfyjfKf7AaK6ehJwnTS8PeSk2/4RUWA8PAEpEFimQ4CZA4gz+2fEjIZBavX8tEyDprUMDay3 bc/eElkl9Bu2GAjRn8IyFZRf1KduGbo5i2Gb+zq6LuD9D467Oj0RvJsdMw+i+ZdUuNUXe83r/rvT i/jZZrgbVssEVeVfw6KC9UdOacmFLkpE6EhnwvlKMMV4/+9zPWpN86Y0JN9+MUr7ux0CwZNdPpH7 xgK3mehK6ZTs9zSJE3p6k+nLOfTPfKfy1FSRngXtiLMJMbEyEBNf+nL9S77esru6KzomUHsFw2ui 9li5E++Fa9AVajacQNglmqQfZC1xJFeDshUoSwfCD+TEU1EOJfuIkd970+h5kLiZdpxwAaC0hsu5 EK04+ZfyqcoY2GkUA+5mlziNVuzbYJybn7EwKrmZ1C/aG34beKwT4JKora/5HUStuKBmzISeEoCv PVoRQFR/zj8yORNUxLAZvXxBabDS7MwEmcMtvv3gZLd23jcQ0uHdpAvx/QaL/ffQUMtOpe/4XSuW VrWX64WIjjFkx/BJltoqgxPFGEfVqennELfXaFGpVs7dUXzOtQXRMxrPRZWCWS8hA3W/7G90wfmf GFA4qGenW7R0YdM9259helLEW8OF4x3bbszdKjMzJhmPR4B5TrafSzIgNEOHQcvXvHW+ucrX6+99 TwhXgZvPPQi8bRNR6/penwRx453Z8F/a93ulG0NhBksA8Uf4E9RA0nYspARdLpl4w7awZ5tW/p+S /ngsHAxWk9M5uACQwYLkJ/CBsuZEWjODtVVYqAdpGzanz2nufEAvEGUzgba+uFV31BJZDmTUZ3rV GLCgYatkxHya08sflIgGv3TxmHZOoz1tUEyAC2G7nKCcY9Wh+bvTJbrPp5qty9MduPaq4HDiPZsI nkSxj/38J5nMzmacFU/K5q+ULWj8geSLcBNZJjb/n1Xk3aYXjfIUGua4SE23R1SOVk9VmFeVBSQb yb2BoyXUMk5E+RNNcCpTXnAh6ljQejCz7ENRct0kaEwWPnc1S5MlsS+54bW+5g8YQFYECkQDDcuM wGXoDoBPDu7HhY11AXwAFTp6Quc8gv3DAZCgynIqFDz98XL05Yf9n3rlq+38zmrSRGeP3BWgYOli g+J7ev1ObpSkc5cdQys7fikhZQQaumUG2YJiz8xam1fBOvAnf7HhCk4mKskrYYfPYS617OGEYuLw N3lGkfQzyNoFkIlO92ezY67+uY3Jf+W/P44Gvnk11oZ46VCUg2Ztk9PWToXQbtIliy4uM6fohEr+ xLc8hcdcEK+keI3wY/mQqv4NWtFa53bySyiiWXmC+5itx8vE1t1HV+OJ4xmT5bcS5srltBQNXahF 1WundxEUzQJyRY2uCM8SXQZE6lFWCRXMnoRRC7XmxHYFNlsmbyBDFz8mnobJgYYoZKulyKSHIKbH EEm5GDzd7ajMWpr8UrDFPrzYfERSfNJbJYbJyJeOSMLjX5GNzNj+Wxc8TDnqghd5uAVG6ROIxpuo YYgb9mQBCRKlmfaWbQWU9xHaWgAwuuV0Hh5R1ZNyH3u9dEZQXFf3l2gPdZq+D4e2KS3BStUXOp9G EY9P6UJPLKkbp5Qd4oVwiqr/LO8flOqqsUrvkckI5CDSektTGiUQt2WRSb4IWIy1yPLKvRGkuC8t XmRmTrjABSKenVK9Zm1XTBgJaVXG76UYNapwWSG3sF07Vfcqo4WBtOs4HrX1XDbAMEfm03ZxpNsD fqwUnF+ozYOyHhnTXySAmJA8cOsmPsPH36p6ltQGjdvK7C/+LcUXFPk2stSizGzrQWQEidD1pRDq klUujT/tdnD6EOn2r1EKAbfRCk/qivdCmGiDmsooPTmyzVBYzThqMVg0LDyZr8n9q2r2ZqducFXV lc0R8BP9k9QRDLmdZmNozfLv7Ah0KZ8HnDphZ4FoycNxM6aSpmA13TxSt1xTLjC+W8I7yhM+u2XN C/UxFVdi2RoS86/x+hEoTI9qc6wguRGh4wYGnbDSdoUXodceFhXALFqtEilTsRZ6WfcrLwWhLUz9 TYS7lxpfQTp8x5O/ZmhBb6RSauHbZCCS2qPXX4ew/6Erlb8ab4h7RS9fXlqIgbPG061uLwMX4lEg UW+ePn9PiAwyjB5QglVHKrvy0jXu50j+d2kHH9CKrWbjIXGGkVF2Tmb1t0Gvo9aHHQcnK3jzApeR ntn1UnfDHePpncxoHD6hhUCnMJLt0SP3tMec6f1HQXOi/Y8+bA+eK0fHuKOfCyvUprwVSwaV68Xl BMT6ABoLPglN5J5CXcGxFJqF+vMPxYsUNvAvoHUFrIrv3MuWS9t9SSZlA7FFWuVKnHBZQrjL7GF1 h+pcokrjXMSN1vbYzcOmdTTl4420TLau9x/gjOg0ylL76OQoAM0R7dGdObWapEqFfgIp3mEZvn9g WFNq5OJ1BZjLnaYUCsOGArDAULx/fgTQSMroSqDKwERD73UlVZPIpQcBe4LL847DPEi1De+NyyKq Cd8cdJ4wrPhMVjr0LnEsZ/Luew0At5JjljnagT1dZTXs5bVpKu16626e1WedMoaS+Vhtbvrc9zJq MY3d1wNQVeuB497gqj+4ixArl7RZ++anOlm3bBtUSFsbwdesjIoQkZJwxbxjH/rrFUwdMKidTvZd xIp4soBSG3oTuS/x+Ny8k80N2CLLgOjrw+iRcOGqpUqb4t7qmfdNnxWLspW9MOzQiu8A9sK0sM0U ZZUEQZfmGlqkUyMyJq1MlQFZTErzhkJWlWSmNMzFRKdVNMzmsIzkLCNF8+FLp956xs6b1zuE3SvB V/LpO4OM98VTvUSxdFLbxkvXFqXjtgzxje8ieH/Tdb7qXqOrIL+Z99WF80RGBEkFbKH78FQm5QST IPw8fJqWJYXkUlmMXzE09GOaflG5tdAXE4lRdOD8eNEXqXI03kcfM2VdM3dW8Qh2iFVX1CYq/kk/ jzA/rRqiT0MecXSgOmvvKGH2rauoat5UBdPs3F2bRR+x65n4dyLQ7INFtdPOD7cJSdZ8b5qosGUv tLG7C1FXZpbzPnN9whx98CPtcCOuIDJbJ8AvVx+WO9gm/7UjVWlBgk3uwiOwSqNaTqkJ3wkPxI4n rZjQL/Rib/wKOMbMPsQYMbCGbApYpRfgyKBOZ/WBAh/P0egsbtl9ejePFTSvf8TvE44fjc+eNQL2 FTwsiUtJzGo1CBfUViYCCyBYAP9Z9ynvHhvdLTiblfHZ7RGJtVMb+xHNaKmCROI15oOKrR0Zhpkv VYuHJ1HgJHC3bPumJpUL17FflprTBr433dyuqJSs+MCQuXLeoi7rhRriUwQ6OU6xxt7gDl5+DGwd 7wvPeNjkPvcZcavBSpCzLOr4nEkvrgDQOQdl+Clv+sTRheaWNd+b9PGIB4n+/eIQs8KKLQInTdkI IE2JQOw/jV98JPZC6g+X6E9aXX70rwYb1/d+xTklmQdAwWnrgeGsBS29msTGc6Z/t81Ssn9Rj8tP LhD97XdySHpxbuCINtQ3P47ponjdN9eQ45UTXTJnPzmGfkiuWp4uJ+IcO5YdhSJO2BHW1zKwBI47 NrWBSczHWuJec2WKNcNkpaEDvVHh/ab8mFWUaDTEbeK8hRWtpZcHdM6t98JcQKIFLtRBQJ+TdAMR eyLcmI6TXxu9KdEu6lAWWhmmHl1nz55NEpsUR0DamHgOADrFfUQSD28WBV7XXSuch7Z5AbZthPSF KiTGTmpUdtrz+VjZ8In5oj4z8JO0Wi1zZ3GXW1ls5w/8hEc/I2TIvkWTz6WgmylUiWxNL1imi8nL TcnJYSx8C0FXTZ8QE4GgaFA+u4IFRLk3DE1RQIiY6a4UKPSqb6+KTy3sNu3FTJIyIoQNZO24L4sT d0EGF3Q8gcpWUJUAHNI4X/Lcoy6U7DZt3Lgy6gcxpb36/46bOtgNRWY7ulEoVJVTPMWIhhlG9hyr Gqjffdq0d59eLgWAukpIhnIeSFALnlqNOCXzgiHWy1kjnFWe19o4JJx6aFP4mMnpYC9rNE7qoepu /WfjVDauSkqGy4EI9dJilkBJ44JkE+ltHQSEbLfoXnsG4QjO/uHEkayPW4z6lwf+AU8rh8gCa1/w XjdIJgb77ziupPukeYNlU+vBrLwCIHG0h8HcOAr4quSTVDzEn41gofaUwqJJbUIwY6mFaxhvMnk5 Bf+w93j8qWaNBsggwKYGseLW0OuS3wmgSZ2dwMsB2ewecYArCiNfTWtGFC0U7+NoXXjtr+Etxsut 6h5lOdG5HmA344KKrCAbS50elAgNky4X12fOVnU9ggWuj3l84gfixQLN9ak+7wOT97johPc0qCLQ K0RoWRJLM9BOSK9VjDJZPDN7f8xgDJcKfq+MUlGiSZo1GZayz2IjA1b+XoVS+0WtnZEx6wtRuoMN SrlrSUvd5L/kdtsI7m4CvnuGTYx438nu9CfJLtkr1lkyEnQEhzWDekRZ+Qb1C790DuJT/qlXyrfK cpLZ6jnBFctkXJyqqLrrc7NOHmZXnrJyTarSL9KfOHOZHH3IT9ne/bUgeElF5GyfhNfj5qFqccqN z7tgFmkhuHsvsy33mfONC08w5SXJgK00GvB7SPBuMZq81chFXjebq/aNCN0YmuMqk1l0g7tI2rEN ZW+Zhz4VibQ9c74W6qS/BdjQogffkdIVoLUzSt7kcKJ4XOmOdH2DMlcV0RTnMrpwLvPjGyER0p9G nTSRBpinarz8bOe5gqsJYzq/3GxMvR7cpsq+yclNtK4qgZFE0cLQusOiidPuB7ffBu2FUioTd8Wk tfQRnUCunakFcLOK5+ldIgd5p/EAJMliaKpwYZ7tiXPjhu9UT86CowCRDrboYwWxmo05aSyG+R2i /70RoHarGs6AsNCxZaywQo99f5tk17kYghBR5igN4zOpM1hNHf4RCGq6TOwS8Rw6e71cJW97rMJR H1kAoCJK12nIVpPbvpv40bw169mFqRARcuxmElYbI5iOrProu4cS9HdbZkusDTaQ02IPUEKZMiVQ LNumMkUuYnZo28+DjUgbhl83/AGx5TaCQCo4UW7hY97/+ietmNfkCDHDF5n7rjcifuIVcDuvlk+D c6CZpk30o+e5MpTBUC3Qf+9ATAPlkkcrFshyLx4Eh6yROTe4qjSnqDnEvh19dSxf0GsJmTDalc0j cN/lMEF9Dwa6arleZK8Mlql+ATc/WaCXjsc1rAo/zCSMndqrFEQ72gOQzaq41vjZ/PaEZsWpLj3t kPKSSliSAI17kMejKwzi8fZgdExn6pZfm4305pF2vB2xcOWsSo/otl6OylPuhiONHD6TrZvUqC0r wqfNlkDWbnm3i5C/qo3NNtenj9JEwz+xVkYRcYv7mSsbb54FzCYDPbLNMDreaIh8DCYV4b1Cksj3 oZGs37GtqXFhmxy6pX1YTEz59wY00nyv5pU2/Gxye6k1P88JQszgLyRzBXU26DPyjSmB/HTuYTPz vVdajWurhZ192O2C6/QfDK/DcgvTusqqrqzcr9BrWk5+snF1n1ocAoxbpHwUn/DHSuJ9HbNGuAvz Ol2aEmExc/7cgFOwRyL0KQlfLYzJettw+xSN1WC0LJnsFUROjiyIndtt3bOzWH/NQ2o3NFAwDyH6 GnHF6jfw0OyQ0PJE6+44SOUFZMpGzPLZs88lcEiiaLPJOPfmBLr4pPjkSxWUbW4cJmkDC/zjeshR +A6DvA0fzSz1YTQXW73xni/BufGd7+HYvABlRqKiGyjkGNX2wpoyISjOqimHOn5I33hhbDWTjEKC TqiKkgEI0xweFyxICYVXtDrY/5bYIZ5EnwhDq3kmDS/Femj0MbA9JSERJy4TZN4d1sKqtAbZfHtm 2SY8mVcNLHwiiqXzWl9L2zj280fSvEvpevsR9LZF26ew0T4KtLtrp+1Ylx9Q3VStX57PZUemJawl OBo9G20uTaEjzYBOE4U4cNr+3xHfoT/h94j7uuxinOt/DiAuk+1EOJp9hbN+0aQ33aR84u63Gkh9 P7G9Ao3X6NxJ0dJEhF5NwrDiw6aFUMCFcjJp2qih0G6xRbNQi9Z7hDmRexYWDEQtMRrVPSTkHVSj whtwnGRvZ7fjVhByKg4LDQW3V1+B5MFYrFCbhMm1qM4d5CirA20fsChQaXQZ8vZkWEKgFS6J6NVT nfEJtuSN92s2Xax8Om9uxQ+DWYhGAG5BNuVmZbl4IQ3CwhzbanJiufVcwl+mb68SiambFjKGlMhS fO/PDd/4+vpU82P3tGM9DuiOVgp24yvJ5I0cBxb0d0EgLqTfbNhuHEnv72CF8mlcfSTB0h+wqLA3 HsgQixNLsKUc8CQIs+qGE3IpVPheBEETOZ2cdyByF7hguqGZuwHftBJaj9FWfCrEgV8CYhbTQQnS BylqjtscumzDSO2068VIuj329vYENjIoS7U7z2D0hYDtB51OibyTlmb8gF2vqvB4YFr8gCxMtT8/ SSg5qOjuBVhaDcwdXIOXvXORM3fbEJ5X2Iae96BD0P1zYpnCMtz976daLwTnKwdg5/LXNC+gc2jl NDTt1Wnumlt2ZOWaZH3+f3yw2nMacLCSFnGUyFbo7HDBnVmo32y1w1p78YmimgG/wNo5ICoaAAzC B7h7Sj9iVMfd2kjiJkc2fbrvno3DA2y13jSSeAy9xPdPx2FzPo7cnFTGNDitZhjeBMVzIgfmUfp9 Ck8bK9ycu5RPxBm7lNfTLRdE13uxofF/z6C5TuXQBtFAmhi6+iYCeHXCfyOPByXmDy7YAux1/8v6 d9o4R5YuGxAT+BSHBNSueP0jBxSOz7K4Krqd5c1WB+/W+2tN3JqUKIdOtrlMPohjsQYi5PWGu/42 XPY6qiyfxPikfBloEOPBv2inD/XwmxM2pOD60gMeV8rBU/FFPpHLVmI8y8HaKK3tDj2jzH6xlhqL 98TIyls7uyT4FG5Vaz2GDdnN75ZRiynf8pVI/1fykoRU8ARcNa2IucRLNuAtzi+vL5tEFayn2fAj 2vPwE07vAoA7daKCnnJuR4cEUQ3AU1MHOCQRJHxtyF0x1eddlM2dBCYVN+tn+sTBZgVoOu6suCPV BGMT+iFbyWhAXeMZ2cHiep4aJmek5OMySzcK1ovaD28UG3kPCKCszdJqbT6KlEUcnAeLDxjHfFgt Ea/eCnfdESn8EEFuduMmyYZ/pIYaJ4pNaFij6NhdCqU2b+JidFj3yYw/Xjkp4+xfyBzGCq2bG4S0 siAEFebzCMKsHgFg58fvL4RqYO7AU0lwaPBxl9FZABNxHZ7BDJLKUj9YFtYyGn6+OqNoU/LDF4p+ Du37TGKEJpOolXGTJnabbQN0J4orj9S0dOqjU59tHpYNj5sg4ho8SL3QV3GYYVolG8+xYkjV189g QO5sLPYWurrd5nw6gUZZL0fStNGYhU3ZKP5Fiyg7aHhkSeiuHaXNdLxxTn75mTOu2qJkML2AWGKM ecVyu7zS6UrGAI6COpobv+iAFQUBvtEtyWO/6nk68ntOhOjqIWgkU3s5UNfAolDppKbPd1UmZ51s 7tknbCmKfEn9bR1TSqlQH9n3s8VRJwoUI70A9IwDhwPTqm5ym+2MVh7VtZdmc5pKMWWIe/MSveuA YwM3ed6FNpywBLM0PNASSpVzIV95NLYc23l53aB9XhUkROJ9Ax5DsUcYgy+xwHKUSXFKug8+oO+6 BNHlCQEIBsZ+5H1m8u6fouMt0zwH3GXew+vfWdKKiOVCUfGRqTd07Epsid5t2ag58Xa5J66jQ4La +f8AB0D4v8Erp6JbZfw2IplK9UzGRj7rw24XoM5iAvCaM24gBVRGiaboxYIV716D7yP0Z799rO3X 1bl7h6b8Tx/RJOQES47PJ+iI/fljERFxIFWS6eyU07hVJmWFb71VcM0FoIRYXjx61vxEEUlH2KYj a5eBL2v+yBl25T4LFJNHVFKwB/NbP13UccAiZFY1ih5Xow4cSU3XEDjqr2IUD9HdXl6wGeIaYY3v Kd1IkvBDILH74M+HrDLXqLWcOLJY+DioHzrMlXKZR5r82Fid8yow6Wlu1mH21AlwLZuJs/zazByc CBsdaJD1GnTHxL0qqDpHK1B0YllniI/r/MTQzwa5JWkZcXmOKuPLMa85gtdUi8RXy6H82UzDX2G4 tq3cGDWuXnw3ha6TXqr5BONqAFs+zoUYV1sIkVxpgYHSPplUeCsOet9ZaGENFZSRBVcukgrVEOZu /ySFIQCk2l3AIOEs2BXNrfvJz2PSjolM6ox6/dY+3Owz5y1/HzF7bmoZ/6rI1RMm6hBkeFN9Wz+f 2FKAxKTk2T30IIhJomNHnqGl+qvSMZV10ZmT+CZ7vbeUlzNvN4u6zlLyC41c7SgHTp79mxz53fBL Pl3uamILnZ/TrKIM3druJSSnJ/gnqKcYBGSi6z1Dusf5O4IOy8a7Hw7A4Qn1SDrglOrLv4ngC1oL JaedSVo5j3NespQyrtjYskmQTYBBX1anty41+Eb8xyFCgMpMkHTcFY1MdjMhwDgLeMSG7s8hzfNS SgWjK4kZFpLFRsgYQpRxdkm1Rv3hfq8rAcEaFJoHehJG7Yb0fD0vZsKVuy++KqRyaapaVEZLKnR0 tHmMqup41Xc2wRqwbRg6RZ9MOxgmjqxhBRCQNi9bC4wEIREdtDFVxc4I2pSeje+0AUcMQ7YPELFq +qmvFZiOL5RKOTnaHykDGcVSf+yRa21vCQcd4RUK08YJOqcpyZfo12bM9aK79oGJxQDt6Z1PBJsj 4o0/toqF+E+o1F4VVfQvnEbnFX+K+SCYKDIowg9yQr8kXMG5LBHQQHrPXFl9x0tSTyBLw/J8eHNw XW53QW9DSpyWG4Iosykk0Wl0D1AXUIDR29ML4Gp7BO98bvg63m5nFxk9boPS5SFqiH7WJ58akYL8 eC1gdvISqRD/uF2iaTs0z7KdyQRBLdHpG3qto4/gZIWglaFrBEWWqRSI4fVzKMc8RQldLxKg93xe kFL5dXxgJP4aLvrVBhFu0YqeJp9gE4g+1qhGeZg47ZbLPMH/aH5MbUzPDv/ZLesKQyJmWU+7EKVu QCior3OyiR8eaiejN6ejTYNo8ilg2QBpdAGKu8mWnHWdBmmZM4Xzk7bE9DJ9lvvc3o56JFq9p9hD DTT5c8Yedu7/v8yPp6OtnmR2Bc/kIGln0J4enkPoau8O2wrmxA2+/+l8eRnjBVcHujDMuWu/zMRI Yo6i3LSgIOtZrI14sTuo4kxCez+FG+QHO/eZjiqi9Mjz2VzJ1E6swCVcnfseD3yYsM4WO7JyVo3t Ucd3/GexI6uOyhlDvG/0QqTOnWsalkD/nvQGtjRRmvLN8QRIf6n8dfIRPM1szWVMRQm0LXHSlV5C vdJMHXKoD3UI1KdbiLONpHHs4Ew0YjkH1SFLPSQLeO2yctUQuxSUxokDHNnskD3ntOgkZ4pB007E fATC8luqVFc0ie2MtYB7WIq6c0/92HPn/c10Z0JmxcGskQz2UvsdmCau7OpYIpmNJmIS/dJ1dYOi UURRC6qWPRSM7gYkwkBIF6Ugigbj1cPlVsGYANOjRTDxOhFdQ3p54btxz6aJQ03OEQ0/eBuqPqiI 3Sjl1FelVB5vz0nexvV85+9NeNnzA7AyR8T0W+1eCrp+Vg/349yC/znk6xrbQoct585OaOQoWB7T NKcY05JsbdZd9rOFYxew6ibbtjDF45hJjcwy6ASgJ0MrMTMUQB+hzQMi72Bz0tImpZsotyioogH+ /T9M1w0o6aStz46CUghGC2/szTPVihvFNuwbJFJHuU3/iTQi+v9Cmllrb8ouNScyzPKjRecVUDqE bQuXsXuVlobWKa9qWuCaDDduy0aDnsUqDlo6+amUwXI6emURvohoJUl/vWQByT+XWfM82/DFs579 MxnKurNlzgiC6QDAaO0i+wZNs93BUxCsWlDbqBd+QUsMBTbqwd1H0TqMYotHON5RC/E3PdI6D4TF faSly5nLRWNa+5XELfDcZWATTYeN0Kqj96YzXgxSMkpDH4NuY5ezsGvAM37naleV8DZhnrwSjejn 5fWJCz3iSF/yt55YGCVYeLqKQKGQijD6buvTvzouAjsiwNJIP9W7Z4qf12gkRSFCEsteGdwYvkON Fanaanl4lGmsctrNmMazkhGm567mZIjdTK5cD/3nXMn54dZOLs1SWPnGpqiu6ELojR5Tx8ALoO0A j/4OlTo+y057yUEQyvMukqaf+hHdV1nLBnw4hP/cHsL6ZDXUAQ4i21vh4thF1YzrEEVvqNhyEKPO JbIfiQSNjVJhX4NWXIcJ43b85zmMUR/HOyKEfipsAeP5px0G9x+CMVL2iA4yjDO3eTbDO7Mt0bUS 9sRwvxZfYZ26SNzArs4MXyTPtH9VdDnffdYW4+VJTpRGF5N5Ddy3PCfd+GlA+XYQT94MQXqf2Ibp dOp+VCCPWPGFzA2gNY7ORiTeOkCVnu8aBVN5HqFDGfWKi1rckOHDHxxT3HyDNVeQEtxJPQ8HP10J d43nwTBCF3Gd6PZN6euVqezaHGprxU3qLkkhhekvxUQbtzdU8nHc6rOR1Ez5hPjaLvZ1OH/TO/bu ZpxLnDeXEv/qXPVvKKyFQqddzzhBhSUj1vWMvftjP24xyEQS11t0x8XwAhOap+mEbN59POBENubn ynHFVdXaxor80Bi9ZEfJ1OXuJKiVoAELxkOePDru7J4ey9oIrXuPT580wm1WrVBXdJVJnG027Kwu gNYD5r99Vwpei1BuwGnOQilKVhf9UuZ2Py1Pr3a3mGaLkXp+hoJRdP8JrB9TgRUAMEGvkbawi0xR N7xEmZZe+owHeBZlFFc42lR20ZNEgm5gaOvoOyAdlv1cu78MnHeiU/7it1eQxizLbuVmzxhac8Sy +aFaFPTXWlrUF6HGjPVzolBODt4tpeNetzO5lPqfFUes+F0bnIBzb4YPU9H8A45bocyYLaEYLxCy tn045lwuxwrYJ7VVQBfKzQvNmLSBUNj/QQeQYIW32ghEWX2cz98QS032y/LVMKP4Uo+rcpDSOrTt 8O4Ky6OtDcb3XtXgWbNQk3uKsIVaa5QfbZO3gYhrl1wm//E98ZdAkYxdLmAgr2O4FUQByS0WbTSQ fkDQXRKjGfomVbLLALwTTMR+AmkkXFiEzJ+jizpeg60fBEYoa1FjWfI7GgeUvTWBkG17jbnmZqlV 1s3Dr0Y2gnuME4U0ekDKJ8KPb4b2DRaQ0PnTE/UsQRPeWctK2sKEAcmhm2ALAWLE4/qmFEaJzY8C SKSblgnOgu42SjzL7zbaxqeWotrpm4CMAnBdan/o7eJYhKDl/Ppurq1NCLQJsNcksTiK5rC0O1iw nn/+h+ASpWtHyPSHD89JkC7KXLc2UYnaISNcRkpFwUB+n3KSkNTPEc1kjOlCCGzwr9hSfZfhlbF+ DVtxVi4Gpzk49hHokB+yWSDKXsQGFbWrflb2jFvAU/8RZPZuUnq9uBvkRiAU314p2+wKPdrlXtVr ggYMIkgtwrS5INzHZbs2rKMLzImYD4U36DasTyK083miq5anyVoJPLuRXTDQunXIzkO86kJ3A6ep aZXkd/VkPoSxDGT4TnIqu1mQvXMq0qTES9kOBm1AduDTaZNSE6L6BmK9nW/GeuDA136noeKH4wlT 9+fjYEv3yqkSiAF7czn0ztQ+T+nFG+kN51ursppxpJyMdwJZg8ySj5mnY2b+0bG0lw0wyNbTndo9 83Tfjur3LeuImYh2avEy34NZJ0iw6+ZhhDXmm03C8kFQ7Mo2A3ci+FamKSdPMXo57dUrpflfLbdm Urowgg9sk8AP+Dsp4z/iW3A+qX633ZLyl4n1S/UIzln1B7iQ6CR+0AyzUFgAkiry72UPFXfAWEr8 pXarS6wJ63esx+I6DRi6dJpYZEM1JoWTnP2xKD8TJsKPZfDJx30cOUsnOC4dr+tCjOPhdfTOPivf OGLxfFOn2rD7HRUmOKj+CYT4aY+sA7UUT9PyQ3aKuwB219BfOZzreahaL8c2yObnGPgWsyW+2aOR rZSmSZtwoQDQceDOubyzfPswPUwQqn6L6+R74pt9U7EZhNS9O57NTU4f2435KIsqQr5a4U5JQ4gX ggIXI8aHE6dY40U+zQGqaf2/LLlrKrEwvZhDT127VFaawgkPaoCDrwclPSgusRwtfntI9v+4i5E1 TBcBoqthdVR1VkoXqn+c2i9/IPUufv3ZFbaeGExBRKeSD+21yxf8+cgBlDoz3T0L1VI084LswrM3 mQDTHvb3MJFv0LJ4mEFllzkvFJnneT4GSJjXisNU0W++EEk6QbWgN6tZtIWFWvq4jE1yTzn6Ge/i wHEc1JNEdbQueFiSbdu4a4d/LGO3oB1MUT9l5ai+eYxasuRi37ZaWg9yG/a7VN19sYAckQcb6ncL vH9UZ+v8Cj1vnDN1GaMDxQBWneclcwl5dJLMZww3VyNX1saaah3jPkDVFUzNlsvGWPvicO4tnWnK n+IsGzZtrzz16XMKQcpNkNhPMW9LTzRFWfi0JK8y8qp94RppJrjSKDOw83LXl0BLwN/awMiewB4F Gh0Lf0ETCTS+fCgxKWu/bhcknjrd7NRq2nH8p5axl3r5PTWBmo819HA1ew7TPSfTVcoYXzg6U/Dm UV9FTb49Q3ouQN8onQ+IhMSN05itwKe0rVRuzS6eLHwLCBFi3FvV4mYLdmsqR9w/64EsW6dxaFXF j+s4vzugEUfn56wE/JEkHuOChqLS4GcJPL/HKxLg7wwARpWenAB+EEKJo0zSDjZpagqfvvGq7mi4 MTKF2i4eoLyUjb57n5xfRGucY6extJYbLQi7Oy681xJRYcOpaY5ze0HEg1f1oB7UJB3BeEz6a0JP m1jw+9Pe9JMdAUiTf9uSSpbZH0EoZ1KrNYjxWCnfcEpo8EdUOYTl/DRxrP+r2SvtvbawzVmBb0MW 11Zxq7IGpNGt1OL1kGoKAZHInVUEORs/gLHP6NnE1QMZcIbwVMF2eDz/1aam83bu5BCrUYh9Aa7I Zv5u+mnEE+pd9gW+2+VeBUxFlot9CPCebzUiPeFIC3DFRZb2pfF5zPIWUB/yFRt9nv05U60VuENx CAouNDM2TmC0eQJVzj+oBXVp4XiYzCm+l5DSmIOSQP7VW9BvvF3O4xMoTqmmC6zXkD9Uwqr5rDgM N2XHMwo+CjUEwCSJ/PkE0cpjAO/AbDFS/a7MbsPiKrrnxkFrqvyX8H9uRJ4Lin7ZAtqtrC+datvi gTYV+KqckJhKM3Wjyrp5NZiYeRyKxu0M1+rCV5z2Em6GZSfqmiZaD0Uz89nX5lP0gV8peMbjF3WX EwiWZ1m5EqIn+9UIMuLpAK2tn5gSYehglJL1G/wJWAZ7VAtimB2s0LKtVMORpeusWUBrqSqFnx8Q 2pO4t/bJs+NIxtyFIBnJdNzCzdtS+LG0viwdpINmH2lHV3JgwOU8fQh3dLFMkrCviIoYa75v1fWj UGxc3hzKbPN+tIiYoL3HykOLczBPhCmRj3DGHyj6NIPX07b57iNtJBt/9whCdnKLXJZ76utwcmmj l4LvUgBrHQyBUTyXdrR3EJ6rDwQQwf5frV3nvCH5Y2MPOc4YQlhcJz8A0tS5NYCdxKge6CqL81Xm TZRfru4VBaKRu9QUrpyfmWieZ6ZreRTMTgSWnKX19Q36wYfRuQ1porTz0MlQx77ibQhcc4ddfvDL oiRkbMHdgSiBO2pI9DvoOn0atRzOdU0SMFMw4ot5tV+zyyP0Axou5Wf2b43xVf/pBceiURvvpjHX 36RmOb3UuH0LrDJzhDGv2XytXqYyjnar9UkMCQJshoHWBKM9reJyHMDeCdNjapl0Y6iPNPMvVg07 biQ2PF1wAU7p7Nobu6W3NBXAfqPuPfRt7zOVrmWpRImiB8hUn2D8ZMsgFQg7CTLcIGEx/9kWZv2j u9j1kECBE+RJyvtmFUPpx9GbCP3Zwm4dJzv5ZKRrU5oTo8qA1+OQU4VXtX9IkbD1RmjuoD+qxNYc TcAFaOWXY2RSz4jSwBtN+0tESsCWH3a71wttC1C9e+tyGXWovTIk/o4IISkIE9ZORlcvo+3uUMRi Q6sPkky/gvm/mb4mufXdMhRGnsvKnkVWkolqMMAoYC0nEzjFGHM11Xk6QQr0ZEZPXiPhlItH8RdS SQmTlPHaZBVDhSDSXZuO+3Q8w7vRue7V7irY3xIrTI9V/xnWJ2czUrS7L3O9FlAxPAJloL4FKmeT /pE9Tf6xjiHL8ujsHWyxgkIFMivZeDAp4eRulQyYHzjVVN9GdGcgWemtrZNtAPnICKm4IVPrJm4T PAQ+eRuKMSJthsN+CHbpyd6rXviHAm0JEb+c9hMbHFQ6ltWnxBDXdOSJ8JDkr6ZccdsQnsK2ysj2 PYBVV755mzO05goNuE4El/up2H8Ek4jFapECJq8H1JNAEC3hu8diNPiw+e6kqTgaP417s1NaU1ql TGYcD7b+hhwZ+TpioLlm/qNo6IHQvaLg3FnKFqA5n7tqrpVJ5AprCLQMC4242IIV5wUkfBb3DGya CowCYHTeHSCi1oY724dvGXZSD3k+KET7GRDzDChOETY9Td6yEsEhjiY9/1W0KiG22KY2nUbYaDcv asjoXKfGvip+dT6+8QzA7m5idPM4VJkDJt9ElLZISJTUsgvgJtaEp8usQf3E5EP6syvcnrs1DFA/ L75qQTCmd6PFMwAiJN8gen828YzcQIOFHIH+eMSa0TjT0Rcjp3nbNlFOdWRJinxpXilnrkSEVYQ9 dyPfGd46baOhx2/Az8qY1FR9I6fPBmU74aKwZO26IQVMzB+VuSbcrzJio6FMlnYhPraQ0ceT52qE Z+DhXIaCkzyK50CsdSCg+RLPYHPi9AQzs082R9U8omB/RgSu4PFDxBKYELQ6catkf7IcYv8kodl+ 537CAt2Ku6nkDH6+puPiLl3zoUF13l3RItYACJOXN5HImMF38NVh+wNEtQFU/UzUQZfgT7HvIrLY EAfDTJIliyZX3dZuHSM6qfPUlvE7EbxyEpX9918mj9BAKMPK+tfWZyETD6czkcAKvuIHUm6MG6Wa L3zBJwiaNwQS52IN50K1cKXhsUF/q+qHm6uIUESs3ZIrQXWodcN6o4CH8jHK1lu4U7cJTOh+rjkT fpTe0BvjEjawl+lmXhM+HfbRUHKyGWwqf/IE+09JvA2Ku1UupN/9f8MNy0hZVlXihcVY5BbqSpc8 +H8BE085GAKJEirW+D7I3to6X/BZnsTC7I/SWOiHzIpJRd7hIxCkQj3qULuTpvaxfHO//0DQiCSm vGKMQYSZJdlOtKsimsQtnOBxxffMAv4bqG+1WMWKruEZpkEpP8dL0vFp8wTeWVPn8gjXAJNGteDz I7UcUxQB1DDBgHe8oDBfFzSSkWn/GOfBqa2SeA9OnGmbFAhZ6OCHitU2mCHr98jXo0iXrtqWSscy If5wCXulCXcQDhLeptBM54VnYF5SSvB/MJ/5Ha1+DtgISbxf/ohzBAIWJ2fO1zaTW6Fi2CquP6zH 4mFVLFleaUFJ+cC7+sm6lbdMiXfPBFoqGfxKuWiRkVJoa8Uk0OAVebPRNQ6hvSjPhfeoGRJytp/I zzZr8HTAiDVPZ/h2o9HaHZNBVtFKlSzJLe34DgPVBKvwTQprKqTPirXHfApRYqpXRhyA8tkpi/KO 91GoQm00OTuSh3OmYzjei70fZqsKiU1Ws5sysqteByuDqNApmmlb0BdStlocEmPGiE4J6uSctpdy kogfknofmCpBs6CGRAHVG0qzS+Yajs/r++t4eTtH9BiqPRCOYzqi2TI/7JE/f5dnl8BS1kSAz/X3 08oHKqZhBSX7rCvA47mpM3AAX/SuJgw66CkE8IgdkYYbtw2HcCilL13+ZTL30qV7zD4Ly0hioxFQ tlGs5/jv2jdYRKsyqn1fsuzhsRe28/2i0AtUD2sMK8AF7u+hymxv0eBfJnOJQ9WrAzMMaaEpWIsk 0+Odkwx+Dy4cpJe1pr1YwLGuisXuEw6Vfhi52oi1JFL+dl+jyzgnK8YXHmiq56ysgfIRTkqWBQ+X JRn7GAraD/YZr7BSpMQObvQwNOXH9Oa+spx85wlQkzLfElMnfwIsD0wFgtWRaI9OAUNrM5EsJmhK SeBHR5wl4+0eKyV3+pMnzo58ECSuDu42aJuhNNPAzOKlGWJIzMfDUK3A0zKSHD6Z/R6XWjYmuXDR OHjFAcUOloyY86AUHaouxqe+9CXbEfkVQHJ/wzz3McC85clGfqeeC8JiyDNgugNXNU1UXTBd/dUq Wl37gGwg6456TAMQnfP2Km3fwQUBWJxexPlRnn9NkiKpZ7ftCsoM8zJhZ6Vy2xGBThwfIZH1kenK vROYloKpZW44IcY3rPvc5aa63m30FMeNHTPwm1QUA4Bdsn3FIIFXeJjfQyjXDg0Ruvi9JQU3co5n sZkHwu7MgOpSpiNvX3WtFFlWCXgRL14Iq2dfFYoTz9XtdaJ4PLxF/qsQT471gvd298kFDj9T4ubP rfzSKh+LfDRLU/Hzc1LmbpBddpRCgZL2JSUV+gDEZA2h8FCNNlJY6nz7PX8l4BZYKAXPbMIQRARv DLbouVqu9jmRBl08ReRajRpbXeAzTu5IR5rWMnSdulvQexwQKqgljwfS4EfFjccwwRwq4lBaJxBN t9agrKNaHo8QO3oNZTHFtDaSC2p+3i8KP9ShJe31egGPm6JQrQL2EJorK0foM1ffVGwRpj9loucC Oy9eaTywlK6Vfbq3R1XroHqDrEFYmtBanV227TR0a1ndR4Td7ddMUXKNj9RbXhcwRNcj69l/bzoQ z/E8V7GaQNkncB1HTn6JKErY/5kHf7nwm9BSrnY+rYgELmzEPChBqinl7WQNdvw5SvIPVfbHR6HL 7Bn9EUq9mIYrjaghBChCDMZxL7uHgLcT1b40Ow1B6YE5KK2wBkxED9Y82H2/Hk70n04VJ7+ZoD6M 8KDfAjGWUeBrzZlvh4t5jn2yhFmo0r6Mxa334v3kkyo5hvztd8iNiM60h5fium+fkJ+c2YQEczHT Jjz3kToQQuGcUvVHlm+ZabYAXCtbIDaT6j7djspdS2VoPnsQ4ok+F1ec0to1qkPmW2k4Or+QB314 3mBIVmR2C5GeOBkdvejt0BsiUV+PeyvJRZSDGWDYa5iThAeXDUKVWYJOHhK6ybWj21Qpj6TcRnjI rDW8tTqszCtwZbDB8/VqyfHbOslXFRiqNsn2gXOrFNVFaRTYBzBhRlp426O1yX+HIQoZrlIMxca9 mIWWXGCZVi3vhaLsKImNJSiPdmUA7digy/xTdgFnNc7rbO4bYd3abEtXfBMKyD7foUX/lQaD1eS9 qOhbUBlFUq+tLIu32X9RITuoJ++3+6l9rUlR8lhnJUA2Vr9ELfIr5bYXXk9OWRzNOiCb1rUN0qXl iudnsa45qOWSk3wVW+1uo635URdqfkM+tJU80kMLMQN7JptTIHiSpz/ur6XDp4z3uf2hSqV5k9BC xW1ouYLxvBO5hrprZrCaQdmK0ChDorZVo7V+RoLmNwGxsS9j9DGCa0OJRafbO69s0EDODUWTR9IJ tZT83poStgTUVN9CRTB8gzZNl5BFTlsF0+5iKJEY6OsaLfLnaoRHjhOBsv6WynF+gmtK+I/S3ff8 KGqdiZAItDS1DQqas1aDl6ahlmgqGgFc/hK3YdPkN5daHr3M9VpjHTKUB4PMtzSyqxrAksh34g+x IRzG9wDJasphDfr1z5SdnP6gUeB7yObor+vYyI+XbWUj2grZIgDO9T6zmtHM72KnlWWgrMLSJmsy A2GoHyMckU76PyWueRhqZYo8m2nQNMspay+MSp6e8SO0yo6haan0dhOEsjJZbK/kFFzxO/r81X7j eOI0KO3v1RZhIMDa52f9c4D74meXkVlMzY0qO64w17a/kLiwm57gNMmahkBatTslIjyXzR5kFl/M MpnIChsA8OkEqlQBjtBoCQMZ9OsCdY5tGZE5HR2vBxzzImSoLXLKg5zwe4D8ADP2Rqastir3j76v aKAa/gKFm26hwifk7JQxSAQVy61BMkF+WvHwKIdd7TOZrNoFVUzaY78pVgEna+jXkBJb6Xb+cMmp mkqPgIRDNDFtclUASpMkiX3ffXpCWRkAVa40Jf0kGut9vfMPsWCnRhplEYPRPvFVDzxadJDn3uWA z9XvHjl23Eb2UQbrdgzxFCo+YfrJWmnbb+VtxlQDJ+2tKR808wIS5P6BjSojjlSNnufrg5bZYeNN reNrVeP+OxJ69xECxM2CfJ7mG4F60ZKrWHLtSnTdoOgkWvZlsh4wpOa4Qf/HTS6kt6bk7TQ9rmKg unHmtyfZvJlRI/flcIvA9WC46gzlolZKUimu2hnzypi7R5R18/LnFNRhfZmWxeuZXgdJ/WpvJKeC W3rKa94fqRh+949WNSGDdVP8qdLKJXsE7PksOyOvjgM+I6KncZk2YNhmoAJITODQqyD2sFPUA/42 S50f1GyFKoK8c6SWrx6FAhuilv3H+66jF8mnXncj+yXChiJh+dCIQ/6eBAuEE1l/LUqjWxYWUSAN SiBsBQlv/0K6CsqikCasMDPiXe50j3tMR0xIknKzfgO9oxLeH6FI4jySVPvj7S32O/DBiCRsb/rT EHyZbKYgc6W848cO77xoE3Y7FT3ZPDCEHoU3wuUKWiOdYV8dKjszrlc+HfOUlyfW70zTH6uzN8JA Tm/TeJNEEJxQmn+th3Zy1wrRnplfj8g3Uvc0gGGg0L1gjDgeg/Czh5ah+gGpaIGxkzgEjBuOfssN TQl1Xu+9W0PFquoTbBnAZYcuC13c/mx75oKAt0M1Hoz1hmsEY5FZfTl+IWYE0yZPKJNU5l3dnqDr 1fKR6c22VryCAXodIjCuFgjJS1SC3klAeyz1t2qIsSGVKwlmWYDDr6SyyKgjo1OStbe9AFdE7Xer EFo4rGgDiQHArHSaVJ/5AuXKjt5OuxTixn6ma2PsjR5aqTE2t9u3sC7qF8eUekqMl9NP/f53rTAT fnikxgIrIr1WQ4MgdynLxe4je8S95rJyNGZT2ARtURcqSwCdS0+lBz0I8cpnWPxJanLatjrX2o8E gLQ8JJ4OBxR9EYV/7qcsDxqZtKZ7k4bn/McPJg8LiwKuboOd692p8vHrvvSGnvw9PiH8aX84zey0 3GD/rht5lYkGQZk53e43+T54CNC/Gpw3MoYKTNEZkyT8N4wETAWmImD0kUD07W0ceNbpDYsAN3P5 Wa+0bq1dUfILN3uIn4WptzX7trRAJN5CruyEow7y9gUvA+SowMzsalOwa1ACjDV31RH/2jct76aP kRDWv7qnGTGf971XkCUAoMDqVQAGeJOyj3beHVZxFuvxSNlL0IxIuH/ARmHJjqWovUUo41aKe0hW u9i8q0t329Y8e3eowBqK2frBGZpHpYTU1pETxLMvU7eZyEJw+jdeCCIGrzpf3ZCG70qjCxZzh+aT Vjpn7K4zso/2IgfnmSsve/eo/lWoUkvv1pbKCn+X1cIDc0Urc+gjWRAtSvqfLH0Ft0azZFSx2pcQ 2iiT9X5ukMNTdis9/Pz5be73PZAs0KTYUZwkpleEkFoxRtDe3bV7rFnzjOWesXuf7B0GLDf6fpC5 IhnVXsjFxRm416JWP1F7InrDCTYmiOQPObBwBlSF95leDVyR5yzqvNcnTi+KEp2COFI7vEdWFEOn LabMMIGlwDiLzYvNCKtRXAzNfVtv0H8uQJBFX6GyCUe9GdEf92WyiTPLDEDopyyHSsK15R+jrfEZ Xb6kYP1qYlXAS3Rb2MWY50fPCtpHCF0NYaRyoHGq1EXxeiJAxONLmMR5uRgbZ1vEMpn7NZPdXfeX +VRwAUJ5AFA2blJ8Xge35emB9VE6+5iJ11fSBF00pdjAPMF8z0e++v9E+166JHUOk7MqSD2qdzKf 3/KAcmUP9IgjxERQM7UMQB+a4uKU+ly0mAhNkTXQrGwQazsdy0BKHqxDSu6CBFTiApxhnn0pCfz/ HlAiDP6Yu8aR5QUJbhUDwQTt2SNkquhLIGNtBS0EErHe0VmGndnbNidmt6yFtlDeCWSY6zotu5LO CrA5p7OysNnsxkYm4yF6Cw2/9fjg0Jm2251hO5CYP7NOOy4DaR095OuwEaPX47Pi4S8n8xrFMRHg VkNHDNzVEigWXMo53KuT3xQz8UHl79OJdDQZJG9ZssemhqJVjylCa4MRYcWiOdzYvKNkHijLbDz0 QnUW3/psrSNJ5xxCPx9J0W4v/dQEZ8mDO1CkIoSsRClX/LLrheVJAJkEu++G5BkpXJljbFtLWHUU aVCTom+lFDP/YmCkEypMS3AfAEyrzRyyTM2EDky6GE9awIhjm4GtHlxeMj5vdpcRq44yxDHIrDHd B365OyxBI/VhlpaxTnKNnBhEaPihV8uMdULLqLechALobKcAnyapsmENf9qPum1+B+67+3UnZEgy u6E6o/BY0nQdxviTJ7QY9rLv32vADQxu7IvamCC6oUcK8rQe1jSgn87oeS/bEgY0i2vbaXFztRaH 83Ll5cvsSKorxjPOlrzhT6s878zC27CUdnXBen4y0tpDL4qaMlOHqbgkqdrxJjhkwZbRKr1M9fuj FG4gkiAtVpkch5bgBsJru88PNThLumahJrDu5EBfNGQxCS2+bR9RsbWV10XcxuIua9sOvNvsZdk6 XyK2II+3LYk6cP7I9rmaF8wkekUfWfI3NErq22U53wi9mJv5n4TGVyL6Fex9gMEYk5i8dnFIyFM9 7TjeYPLasoftzFFFAf+mjn1Umh+0cC6H7j2zdk26pkSEpIYvmEu/jdThiJgs6Do2OUKkyj/PBapW UV8pCsoFxntqTV3m6Wx4jFMqtWC6INPwWNfOD26k2/USBGMRVwDSYZplSZKKtAM99R16gxXZCJyt /es8TqZc0L923DXMS0PvEtGmSyBCIoMad78y7FXrdPUWSiODk4qEhSrsxQ5WZPlSv/GXMgDp7V3B ANCB7QTmQm53ZRYhRkxxZSfIrJKeIRiM7yag4OlBGs9TJEvkyWNg0/dAkBbFkkIDK206ZJwN3Ksa 42d4McVQZ+6cYfN2JvMOCTI3yJfkKr/Fkz6fyEHcYhyh0Y6S82O+Epax/5NHvrUe4moi4i45lgOP tdLCZC9Qaa/bCTNOlpTb4c5ZiSC+zdgDkvO19lxN064Tgu3wmTohyDKkKL1eCof2zb2TQXuZzhN9 YDYOo3MhsEZbacXFg6qHsXjXNgKo+y3+/cG4O0DGu98J9WA5ysDEkiRJlxpT3qBki+fN1tafLX3Y t3dY6i7EP0kvujTSVQwqcYpOQlLF0k0gUKZRlPWL3tepEQVYX8oqeKQv1QMyc++r/OXnSQ8lZ1Lc JGFGo2uQmGZexOckVQZ2tBZI6Tj8+Li6Fy0/VhY3RLhP/mvkA7hxrFgRKACwBzMwFjiYOw3qEbK5 4ze1Nfr3q9dWVuZUZys1YsybdOX+6fpoWDmkoD3bXMVY0FPig2LkhyK7dmcvzPz3oN2liIL0VS8t gwIP3whxQCcfKZ2BCWLldLMo2E21GEjTLgTK+7+XWxx/lagjTo7saUrXcqfSUjUyylHnEYjnzO2A XmUOj8HdA7dAjKGCCf87/MOhvQzDTZFt2N8hW8B2Dz1Hrsf+e6q73rjAgntrTmPAnAXBT6akDpNY MifrDSqE9dOwwu2PuB10KpF859mIUaMxUv90+sshtbuE7Q5IVo4YIpVHBRamupn5qh+O1BQRJ7ed gZEE9ebiRkqlsrvBNl6gilEQx5HQNduYOfW8yJPT7zroOTwSTvhhx+/f/PuzjkPNPPaAIBCNWnqN IKi0ruQfuhBJ3RR8wfuicEkyrVpUNDtbDZnYozpLDlFsIOunAneldRHRQifJ2XoAWrs/xA5otMTU YiiBu5eFmxrtJUD7zWgH+4Wl/IeNcetW6OiCE5H1o5lL3cw8uNK3ScnOzs4H+SDWuAbNlewWLDHJ glcucaKW2LyHP2fhqnK1q0GFzWhu9w2Keh+Kn7Td6jhRrXGkXUAQ7OU2pV5nrgloGdotmt7e784f DYqTSDVBGRFtsIqQbLGBNhC2iLeKPzMEYQu9XjsgcCi/XfciZgDrsVxA6x15yzzUPL8FUQ2c9Ac+ TMNOoZ7AD/MH49Eaztj5Ig3xPKqWpPeE/t5YCfgO8DVDXGhWoKuTxwVkCjCwlDDka5hsBkqFiK5x RKStcYL6D2J834O2mMr9vMaBUNEzqsjRQhJWnZYIad2h1Z0cR+4GqSoYgaMmEMkX5ftB+QuLorPE hS/fHqQ2G1A7smLKIN39TdCHIhmpD7clNWyXCM8uFwNsbw3/4eBVDeEdJk0vkalSnfRk9LLK49VJ JaVJIDlv2HJO4MF6SLend0dYwk6yvuGJlihgl//9eA+boUqwLp84XT6IT9pUnfGqVQpU6f6A/fPN n+YkIRAqtQW53P2bqLJgDtzz9KlOLgxQJ6DOrQtj079rtLh9edd+v10PT02R2VsqTiqERks7Ju8M g7EI5uz74li2vrIpGUzDtr4P2D5XLA51GHTaiIB0yyQU+ilMXKos1nRKtm0HAxYIz/m+HIudxqfB 0gO3Pa8JLBTyrDcgcnAqNoFy0+/oEUt9RyMRXX5aL6ThIxqIAQfVRHsJ3eKrSyqtkkDLR7H/p0M5 8H4QyX3MG355g2ExDoLLUfxkmyie+JA0agiDvDevvW8o11hLuwoRu1pomUnm603zD3FSF69zO9Du GkCCsF/7lh2MgUT7BEfrsWM/5S9wRhjenCfk1X94xHMwcKDRAFqoQwNcsMIuBZ5jgO08r6PoKWbg syM4Eup6OFJlYsVtnxX63NQVv5AROMb0Lp3QRQaIlcrJGahzVJxkc5rsXvx9GabTBOZ3We6BbvZT sfLim3nZKwBgT+IM6dNI3ZzwJoFvshmVkR3fVx+X+ut44wGNWP5HJvJU5zEi0zehS80BvKXB/6Ym XU7uFQy+R0gmqd7nneDB6GSU5J0rcN1mhG9ceGn09HpjpU8nNw/QB1Q0UkDP6sWNuPAdNRAMKnYS MX6UFHtfecUujMAaCJmR9iLSplndWy0fgn3HglxTWfWBNZszVPc7fote2VhVwYVbp1ixOJh87V9f 6UkRcUKyEzlPUx6KZQ3bz28rP0S81lOTkhVmTIbR5Q9jnxvvHarkFNAb/G9Ynm0J7TxTZx/gCvdS PkYikK42UJWPXVj67dawIs6/eAwoc53sKWOK692ZBay4IzziV0W6tpUuPKLN3BpTYWhoPMddrsCm IoRr+bALrpwway4YGVEZ1BUC/M9FB/Q9EHUB+6sqb4ir9/S7v8OZNUepg9yceMMHgVeKoeDoTWP8 owMvM//A3PIzpGMMFx8VDKukXosBWP1xK09nUf9rAttf/Rcf7xP0V3ppfKvR/bFlPzu/B6MOvR39 JoBdJuJarQr4sqCYiHDEJ9PpZ1oTGtEtpXfCzs4yZ/QU2pqYRdooRPW9gbRKBD9zAFl/+UvXdtGQ ZF1LQT6hV1gBLpNMX7YEURPnUtKQvRgu5ErUGQFsiyuIR23Df76zb0TEoB/mKfMesPBxCSHjW8sE IA6KMb+V5cUKsrguJcZVHXEzqqGwU2Q4TE9bw4t0CxrF1pgibu9L16IqSsqNUK1ghaJ3zh6PpY2e g2XHX8As6YYlEZhpMb5Rqil4GKLfJVhivvmnvEo0+H1mXcwfyIqIEvaUCcMYPALVmyxIlBRkbg5u dkxLkt9MkmGJxdN/gsvUmHu2Ug5JKK3rI8FkmZh/21AX9jMzgzert5NawbAKPI+l7CDFo8xn+PQB jbil5uYHC4YHfv8Og0GzWql+Bkt/rSV3PUJU4eURnDcUhMkl8D9B7Zg7xP6q9xnCQtoVoJy1hDWl gLKdYz0fc+okClPGIVng+DboYrWCbjDkM2Hdq4hEnRr31PqW3NuyWob5coTw21iEOLIRaaf/GGIX QnL4II4zDsSDqp+dOvgRWz95RtYqoZyAeCq4C8OTRRdAML6ZrVvEIXr7l/BFp5W6BEJTp6MXW8XH pR6GtOvGoGx+/TBP7xTN7mlfMGhmdU0JM8haxmQ2HMqaSWhA7JS/oHSm/rJPRofg+IoZnLpccZW8 cphGWbNoTsodx/Rx3KMeQzNT0hg1jj7yyS/OiiIl793DS1PxP48bk/yJ0tfv3KqOgurhbEGIGSFC fDthasSkiweOWBJfLcIkGB3b5HusXXs4DlcXMqvZOcuhUYMfL0cNsLixtUT27TMxs9kdghxg+/yz sagoY+tQFHRkU1/LoGNI9ux6UyMBugYaxwv8aNC1/8Q0Z4AqZKhca4v3cTADdkN2umQ8oUhK8MkM r8CD17oj04zleyTT59T0GoVNJBg1i9FCwo2S0ZRJlDAOlLkzorKKJhXkkDbw/d3VjnHC3nhxdXVo jC3gugO2Weo4zBmqem3ha5peHKP8C724wU6JfO8ScaYi4wCCk/uwnKgLOjYM5juNfvkzrlsVXm0z KugFlPQuwFA70cYqXkB8KhHzRdtx3QUMiJaRIPjvZty1+OshbKlirSFFxyshoGehx+kgqjSkX/Xh SwCUUR4fkvheFyvM1ROGytZgNKIvIJS/LGG5Fr3xVLV5091qquEhGrz3cPG2p5HF1Tl52VhxS/+b gajK9ICyNk6HgTDYFWfaw8RorxCxRmSJoSPDPzJ+3fFWIy/9SyZSD8wA6VMYUhMBY+JhESH/gr5F iQ9CN7TMGbIyjwD3S5OHJ9esdJTcFm8V2GcJYr2dF9JgPXV5Ap2P7M/S7cqEP0DzBdsBla3R8/yn VbecmdDeb/bx3dCb/c8PIjd02qZZnnjgTqB8w3g3Obpw5BQFxu8PXftGWYOMB2y7Qj37sZL8bskH IdGIcBN94s71A+d+wx+S5Esyb2pzNp460HtKx+537TT+KJJQrbDP59f03Q+634LttEPVedYGR4CI W0MTCNqwLXzVfvBrdruzI2m9GwnrSoLUol58oHsGcVusG5uMQnAot5KBnBpmf5EVCTl0uQA/NfNR vI+sO5ShoYP0NvxpliO6Qvsy06pFzMla0b7F/bDq9SkAcoHpxc/kru5g2Ei5VFYZmvF1yYua0Zcv QnHp+ZnV2FGvfsiLW5mBksyeAVoyh3PJY92ThBazjhpXSzpLDcc4gJtd65VgaP0J6JIRFRgDPvaG 94HBOJvA0FTgdeW5VQ8Iy6Tif2UcKllt8MFpr2S8HvXsVvjCTB0EYYdIwV1U9QDqCCtd+13tkZW2 AREuTz3EbeDe/Gt/bc5RVMiG9+5Pc9EN9RgJDjEMNTMRrEB4lZqXnmkUrm9mJdtraNHoFUEZavyh /ecw9jspt6gI/D2P3Ujn6ddzeHAez36bHl+iF2rgawfGWovBPxjlR10NjA6g6VW+s7vTsNgyUA8j G1rC3jlGa0WYxQGLp1y9Kc7pGpFsdPaDzq80DjFvCwSu+oHm8Ana9LP+WZgxo+iyge4CaEPG0+2h +iZ1+NZ9yPYTA28Ref5jy2++odBcf617EHDNFIgVRK/BK9FTD6H1Wk2AObaYpu3Q2R7oPT3J5TWp 6q9KXvgqgmWtkm20TmniWPlgIe72BnsE0D9Yp80kMtZJVm9/tT5fQQS4qbKKzSMlYcSuuHt0byKJ TyfuSVpGPNRUdXo5HQJrOctZWkc/oiDu5ItTUuE/iz/AxwFYsBWnisQ/WGzVw1Ag4G1D71AssBnJ wwNufeeFI8SwxqP+hios9nn80jzxs2hK1Htr7/AA/kkBq3s1FLNDhzAQAMTObnkeFxUnAjoeZcVm keS2QLn5/pPygsh6FUI3b/nC73a2RDDnarNEcMz2VdjJwKieADKVjKel0srLOruFUjUhKrpoyLeV g7UsuQdv+78Nsi8B/k8928ad303ooPbD9Xp7s+Rx4goKCRq1FyNzM/uGaQRq+eoKglr09BkFUHKE ZnmtiHHjpAO8rVr24xUgvYjjYXD5wOvXHRhkS+08T2sRng3vvsBtLER2VV7P6bpP6Hm31L/PYZBf Ra360T2M9M4cb9qwFdi4hk0PVuLyawYYJu2zXegMxe+0Z0mn6YXZc33TWyo+jpBY6JknmNfUjNEc QCTxoBXqcuuAP3HB5PFTikCKI+55GWzCpe84+9unsGY8xyblyat4HMNuhqVFO/ANBjoIvaRUupuo iA34LI9ThmZLYJoEDav378/SinicX7PgvRq4Iregd8ABLrO4jekqxdxDJ1bknmXtHgK7NkeMNW6k DQbgejfQSCW+lUtn3g6ZIfprWIcN7altUGh7h/4oKsmtk8XgWJQMePLZcJIdHmBzTXaFvhxXL0c6 6O99h5r6Y/10PvlyyQF1IfcMIhyBbcLSwI0pxTG/JRKSYHNCHHwLXKoazXimP4QKslPg50IclbZN MN3F58LMi8WZh0BNVmCrchSu08M9BFq1vcHt6Ef+3bhRnFL3bg4LwLJrkEWwvutWiAJFkd2gJeB0 ltzT+oSTg0/HuQ6DCf3V8Fh/xFJt27S2rjHX3zr0o1DWu4aVgm742qvQOX2n+1tMIiv70wjGyfua 0+S7nZ6BpHmANJ+bCKtGVlonhqV0QITUcP3/2wZR7QO/V3H1titLX4ttwlg5X1XIHa3gecUJG6GI tc9/90wXWtd/CM4IoDitmCrEWTH/h/Xi0EUqz/TESya7NTJShGSqXS8JLJmqZkcQek1t9+sSR42c gKX8FMGDbQvBxSg4ogW2M22bNP6xxc33Vp6dh/Lxw0BtaaruxFxK7i1rlc8s6Oz/l2eqhuwoUpOC RPdG0DqU/af7ltZX38HAfV1vM2HNjTXVWUwScEvK72mMP9HwjC8fbAEiW+bjh3VMfzR3OhKvEmtJ bjd7S3azRVMUgJmTw/r60vfCPEcMFMbD7pUcmTs5ffDIEpSVWF16iWReQtuLPIRWPNaKqRa7TGHs Di10uIksvGXLfa+S/QC2+p7R2/8B1SFxh6lL3uSoj0wWeY/UqN3Ds9gxfT+cJsc7p+xAI4pYdkrN VHTMr+Xh2MevL9DQB3SOx85sAbkJjNf5zKidm8wbuPgesHg/0qD6CFb51SK31mEr7+6d7Ertmwte rQWM6JxYhrOU5aTYAt4uuPgxDDUpHH05iUaQ70j8WTif41BcaR6rnyRE/rP5vFNoZRmcYx/VnYI+ HaAHp3VmlveKJXAmBdA1R7H4NtCf1W838VssSLwvTC8T62nbBzwGXQNkaLcBDiR9tFrybK9raxqq dV3GbrOsmDkPphpTRaU/MSlnfy4favX3p7Bj5h9UVvZO7uu9W8IWtY2PFB8y+255XuDN0v0bvWBa A2oaa8FzanV9FRuoHP3t6ljAeKqZjAi4Pne6KohDVwZ9LNR7y6lFFSdIr5pl6XdY9EyvIjHC7iBQ 4/6Tib6rDSFHKKVUB5d0JXqqxdhX0cV1u1TXW36OsQ/yWMSiidor/Qq0jFQZj5Wn1ztfaiboIs1o EmcYMXjbSEdAJ0QaGYuNjz5IjYHzUlyZ8YmLKGGceHPGTVqEbCGIKyqurwjOfJ3OTOiitYb7veLR ki6k9dXa2jJPkZLEvLVlcmCGmNGq8RE1osLmVpcMY6KXCguuKBLjAMmc42E1kP26Q4rRGXfhHpz4 LavQXbvcDwMfQylXzSf4TQfNppM1/W2H8gFPt9htFHUqnksK8l8uQJPyfyoAFNmscNmWt48xqcWC 7t1gkoWkZ9DtcVFde6d07Z6bpkyN/HzraWeiwKrqSLSNwUussfTfhVGdFTHLx4mHBmHnZjyC0BoA SvMjqXvKhlsup5huSiPjTbfLTQPApvCprEeYFJLz5OGC/rhruhcJOymqGpZYgjPVr4D1vKGFm2sg MVchK9hu2PglNzkK3doZ8U/ZcGhIdHjkuh2XjW50SLvA6AQIgvVu0AnWxhrXTvzkBsS3kuk/KTCI c6nToEpgbN9yiOerYFOprzUHiOYDbwViKNlUeXUqyrES26rzJsZ5/yyIfysOnhcq3sqzK0IPplbg ehZZdxAGeMRVjQo099KC7MYKtjBJMAYz477kEhuZK2//PzcvEqX1O41g10zIqJsOvm+O09C25DoH VKgZlhOCmzuBnK4U4lWOAR6lWRxcVoSSIqB/5FkpQ6ImC+KareSjoAn30d4JEH0YclmsWka3UDqS lp4uUgWVza1oaZD4zVPjk7Jn/OVHcLEPz13N01OfwdCI96EbPdwnI23okn+qUj8IU57osYQWPbHK 059rpII2OV0N9Xp9PzpwATgao2ILB6M73dl+tWAHPkQvfKRZgW05BBKsr+QujKkPNweDC2GNL0bc JS77y8YaaW9CzwKDRCtMiIryCqWZtJCW1+zUMIM3auNUC8fY1yLDVVOAkkgGybvLSF2L4lJjZ/H2 lE1qbx2JZBxmhZXduh2HaiQ7Buwf6CBBlFMLX9uyFzDRwogU2hS91P8PyGeq7tKMBWGg5vA1iHDX Ye/N+biLzj4ChP3X4oBZEQ/jgDvSGE35mx057yg5V7qBdjbw/AVf8XHoLHtlp+kLMkHCZrzrV1bV Z08NgF3pC+Fb7upVfbGn8JqPxhLFs5bLOPbC9HouXe0PWo/7GRZfYbJiyswko7RUlttQSO8d9qCf UT5iB/fWDDvBhu15w8JJ0RPAWNw9+IG7+k+FiV/NxndvRyauGCzENCyrVhqvEEqcFPHaBtfQz6wH qIYEhl8YSwCU8Rdu0x+v3kcqRA8YiSKmarlB0YKmtaOnURbwCCtF1LW+Ue120iUULzAz77Zp8AsP ZFhK6jcn+7lwltvjycN5EiGaYC/N6jMUB9KdM0kaVhcAsd7m3dT5ZSSb3tDTTfdstz4J7RM8vZ9g L6WkZ2yTBBwQAM1KraZvupFyU2u4FQbT0Xz3sNnWh8AR2ooA5buVH+GcuBgaUhDH67kFNNfjHDXM WUMdoS1er7o1Qr4OSX1LvNYKbKrjlVm/RFkgazddlc98XTQkGb/3vVBQmRu7+dt63IcKo9DNGfIR Qz8qG7fVak+QV+HMhXoRQzfiwoitabECPgbt7Cua/GpFCq/QvmjY1QgTyw0n1ZxQDFeSBfDudKXr FXqIax1VD1mUj+1gFfAN0pkmAooRbJYnk9hu4pKiX1oq4qJM5+RyLxQOz200vRZVBWZepWu7MLx2 2loH9wTR24whBK7oLjx/YIHHbcS2c3cZMXbz7hYnx2H1Nf13cVwiqXMMz4q+06/h83h3MNIwH7xi aGVcOWY4jvjcDuf4wq7mlsEDTJ0gqP8UzsqHcysNmRTSZ5K/vLAD96XiX/SVwlJfGxwVz7N8SrTs D7IyCyaRHTOwXie/ZEbaXDHPtMmIMtf3PQSkukZU90/nPk0zGX6bZ1QW0PM25iQhqdoj6mpmbV17 Lz2Vl2N7TYWwdYnUNoV5AvhJn/uFRTyb3IEU1IgvEGe9EDYHkg9Dsu/IaGP3GtRSN1H1oHwu4a5Q lwBHQ34VN7dkpGJ+iv2vhw3LWci8D4r6C+CRTi2+mM3hGSE2uu/WQQY2zlpP55/NbrfckvkRZ0yI u8+dBHlE+cUKv5b6sj6cmngLckWtSZlOnlJxWpcUk7r5tOGHfPd2cgPWX2URwX6JSJOMI0R84VyK 3q8XOt03IoGB8DlicMjdIK6AqPOzLVXSoq9FIHbGoEyvhYqltmZrAAFA/r++ueEpJBwggjN1jW37 urO7TxCGPdhHF2FxiefIjrPPsgLZg0PzFQNe77J3daUfqzMqOCyXwj6iCUMXeGzTaq4BA9xwNL9g zWhFX5a01ZGt0ISDIncq2clzWNsM1WJgZDn4/fipxGPT5p4udUOSyn8Zim/R7KfqTkPoG4lplnMw L87OR1Q8yKHuy39NuhbIU+tdb4wZnupW3tm8nnRX7vigsIrDXEMOa4zpJ8zQE8ejdl00VukMrhV1 pNQeL45Wa4rKl58rH+YvZKZcpIFGQcR1neWa1DA1YeDdf5Aniq/BBUud1ckn/iqCMibgaH+u9yS5 WhEaXPU9VGiYkWkGyNTkqR/dOeL4rwY12B5yHAsKJ+ZIFBlTzNyfCmjfxlRfFqs1LFaxQ4J4o8gW jwexC/sZPwPGziD8Rj01tyZWD+CuDQVRDzAnBPwq3fGTLTJZ/IjTLPqr5UqKiOTOUy/grelh7dhT fRX9E8P4we6JVcRY4iZ7leFx9f6npdKYOfTsY/iqE1GkRmeNvwW0pf4nPZ0Y0DtCqOhPoVxpI1RQ Ub3S42Jloodoqbe/ME8k3yU1kwNJXua7DxPJW003GdWqGi3WyBh64KXDGpIo/QTn3lKTFBkqkxYu iceVLQrXLULnzeEFL0DdtJES3wzv3d174+HgKBeuFsBWUtBXhK/hNy2M/iO+KKzJ4rOcqSo4tbao NL+fj6q4B70rHsvr2MuvbP2aFN1xClW7/jc5cBBRVfacL62Gue4VXQvkOqBS9AwLhfzfFJz0Gj3h nnCxZhlOR5KDIgIxMoQnBTxtAm0ESxMj2Bf3aF2ip39JAZ9gtxjyzxhTKGh/fwv8gonRKegRKPYR xguooT3HDdfQ8+DsQp7j1NnjMdwPhFT4ozrcQoITiorLy1Ln+TxNcrbVuSnycv6Vf9kSqlTmAPsh dRlJL1aOxoHbIAq7JxuhdicbZrSpT2ez4LgbkplkLDBq6cMyCUBtZd8ZkPgIMzwytMg7bTyq6jzh EHINT/4xOdX6KVnxwB2p8axRG0xI0tre+hBoUPFNKCn16581BaTUCaKPBlfYfPogMX5TZMopxuNr ox7DWa0HtpH+az9s5NDxNsh3a+pOgkwcG2NkHnbziLwMTseXjQ5NQ9bRr31lp4LYUJpX12pswxRZ vr0QCeJyLEK4o4vB0r//Es9Qarx2InOkvD7fNoRtdb9mHtcJd+WnsmU5BpkhNMLMv2X2xwADMlUk CW5DIf3WO4ruAx3IR35MYczoOggS1UuJBAsZ/mEDU1MpuBMCKolLIY3r9r01Exqs8UosZStPwCPb 8vIhFe+u1gW2Tp8S9OuCxn65mXRkt/SZ22hqgn/W+c0+XunLKnoJ2ScnaMzLY7wZX+wqN0/F0GRE 5W7fKq7okF3ZMpgvRqBvxnTlnEdSxeZyLS+UhBXtx0KBHW1mCZLR3I/9vYwSsRQf0t4iLmxIdLFs QxE9fJCr9K9gEgG2Fqn/vE+QtDVycZpRIT+ceYyuJa++9KpRHXGrv32n2bGtOO34a0U7aZs+BR2i ryK8Y8sp7hxod2j9y3keIJE1WgyftjdkYnF91V0p+n+R0gJSnFWxH/O1KLmW+KuLdJKIXUs7WvPQ kt91WLMcTxFT7DfDkLj1+wcyvVnHzDghf5TDm1BbcKEf2AJS7xy234DF6aPQYKBCqPwFluXHEa4O 9ubDUqjxCgzMkP7VCbsKfREPNwj9t8Ea9Kpg0SdG22dV010z5uru0tQ+GKTf8gUw06qLHRdRmIWS S+ecMV9qUeGC9GgBHhf8PnhZ+xXRYnckvY1E3uEpWw4hspETWmhg14/9cyj2a0I/EnWllduncbwQ cWyAGJhtMVQZct87ldEjeBQxLbqyNr2F09zzBiykvd/yTxryazHrnPtKfjQHnQHAoWHi90io6oyP RK62tNFAAPXnufaAAYkVznVMY4/zdAZSIqQLrqxUFDvb5f3Vjfnau//PyY93XLEI8lBY0B9ihQIk dXVym6IYwoQDMNqsLprROnWlt6/+JPbzy0m/juvWnwtgu3SxrprlSyXux2jXwJNYkiI1CwirE2Jl /M24OSfaTG6BUP0IlYxbubESj1DdxUiNYKSfRysdQVmF4CTltXSFuopfXqKW3/9d753RzHnLasVl 9S3vODWisKSbEv9uKJJGwFEYnCgFEJM4AWVweFSqQzORQYD1FBbOEHdCuOyPAbYmE1JEXQ8UafD7 Y+uX1W2Qe+Zva1IzhfYqoOUxReFxJlKsCBNy2k5unLTV8zY1CnZsPKdr/rPob7AnPjRvZ7vnEBtZ KSmnBEdv4MEtl1TUjauR0Rjh5xWMf1JvmEx+p5wVUvuip4i45Ji9khPsmroe1N9THitS5Tw01y5Y F7C+5M4/3iUX+8yQ6UIJsLItyScCOAZlyW0omWPnaIk6wf4fguCn0jU/Bbt7XUQPbHK5L0/WgAuJ bfAtf0CHuI/Ydjz6hU68kpSG+eWRgYP85FSKrM1NnOFpEgEjv65UxpL7ZZ8cDRma78/xkQtx9b1W 1UpKfJqAH9mqKqIjbKwp4kMFQ6xNfepxdajh+oanjBQmoytN0TrpybgpL+GJZahKAFTnbaEs/ohE xdumXzf0PTAiZk6IX93wzv6o4RvmtPhxXXCid+w968EYMmTKBZ8F10aHBgmUqJUm6lWuoq9HqvVH ArG+LY3aevXNVwVpda+mKldBRQlhrzsi6Fhryub0nnXWoxrT8h6ZEZ6JNI25C1Rf6Nm54AelEgHW GK6bhBUtju+vmx9aJ6tnK0CleIzU/fyU2okUFkFq3dMnx7lsOoa97nKcGNgfs1tI8GRUO+8NNNvP FXGKzSrbtIbNcJCGrerOd1JzEyg02lH3wTTjXH/YYgGTZehcaL4268Ac7wbgx5sHJGPqooAo0NfO jNtqV+HKf49W6cxbHsePAW0ObAXZ+dkdXM2EF00HOi1bo+PUq20QjO5fjYwce0XG7DjZwbx/kSut MTVdtsxMIcMukHAsDMzK5hYV1HG1e0/jCXX4AATkMeKN63tjF6Jhlez7dfLcTW1MjKteRpO8nn7H wq+QD9WxxJ8O7Crtpa9UsnUm6tzj0U0mHlYbxBzKryQlNIQA/3zAn+i3f91JYdeVX7PinQeYpnJq 60o/6pR2HsDDPiOP9Rob+GRbhD0l9vWBbV7JTc/VXjtL2cJC5rw4X1Ttio1A/6yxyHdD/o/pYZvw WRm4S59vRBFGrv7HHn5cONUKScuk8Lf1qJAwnEdEBC4LNZEAMxm9ZGzdbelpJo7gIanMe4udfkIe JEpzcWRnvAR/W6ZD7Qu+kGmcpm0rIXW7WOEPhSzqkyGUQWO9btGJjXXhWs3A1E4Ngdz72NO0wB0A miA+m8TlKiirfjErtFJEKN6wPR0dIaZm3er4dL7aCaUvs1pbI95ReOf0Qb3+H1lfhkw8RP0eVCTH XhkjrNZX6jgtvy1Y3PgtuesdxKRIGoPc2lj7fGFILCxnMVj91WCVONkyfbg8W7R36vFYoBA0j+9Z oIvVD3oFq5JBoThhvazzuszdoI5c/F1+YW1tw+2PqEueXjtwwjJdc9bV/SadZpMfOCAVHKNGAV3u M3Fk0cXunpKaOIX+JPuF9LN4HdJX6ICROlsJgrShm4vmMF4WGmFMBZYXD+0QvxkPDezXeZlaUL92 W7agXOoa4Qx3KVrdtK6F4bdJkwg8TFPtHnlhc58jzN5dvBYAJCy2n9OeyhXPIHFqIBNV7OwtSd1k PPi7NRt6FxkXYM+AwMiHz7XHdlW6Tz7xImO3pSlMgTJV868aIlw8nNjjgwtL27J9WSkrpkIzH3nT /poUjoOX5mMZUox+sLMpQAI9CyHmDmUBMasPTw7fxwPbiKQjHzyBiUQNljBGXBpFEVNa9/aFOcuR NsQukkXrZyuKiHnSbpo6xorSzvBjblSnD6D1gBuewUsF8MEpAXAJRvoCFF0YKu4uZD4dDqKj0hWB U5lFqdSQHym4R5HCGzEibPWDkXMYOn5W47MqfwlNeXEwfQ4Yxw+gyrBxkwLVnjqwCUWyzO5rNU+p oB/80HUO+wN0YiHmA1+NEE5HkUoZcflnVOssz0UG2Z6GGM+Mxm+XbhCc6gyzwh33M4pbxsu13ECx 0FOSV/iIvLAO/wnRMz8HZRGLOWP/ICe+i7+rlO+lrxymbBXCer+OVNKJwZ0bkjsiUxToXdojhUmv HxJC7KibTIuVgbOPgNAzemR03ju2Mw5hsNZqnHqXODQ25CX/Y+ilvclpwzeqdfKCuNZWHxaDnNS4 wNJAR9Rzn+NuG9EkyB1t/SycKVUP1Cp+V8p1EmOmOsSm/kYqwsnBfXPXNM9cSITuIJQXMIeeN+Ty RaV0WlYPtR5UEfGBHDeJwxJrOMbewJXLlg0zgILj8Q8y2g9kD3cNuQr83xze42Fx2XarRbKNwOsz c3vrhxkoDf+QMEAUoZ0GNw/5wG7/xSq13vP/oGmhJoWIYQodibRRPkrLaV6o0PlmT2DLtaLo2l6f VaMxsPIwiHwRzhZ6QSuZ91wDhoBEc1EU2qU+9u5EUfXSzoQnOfD8vDUxpMbWbYftmBOF9ThZps1Q ZK27qHOWyj42E87oi/j6p1LTyTQIt3jKJ+XSSdJ+SCrZVkgXc6gdgTafhP4bcrfewJkIhSw764vI l8me8uAtb/saruYtrjHAORcymVHOCNot44Zx2csSvPB9K8WLUkaG+pyDWeIjfXUWPQGh+SrSt+LB 1/yZJMtdYiX/xVJs7jdpFWsW3VjsnT5p16832+ZJKPbCPIJlSp5hzXOO0emusETiqR4FMnkX7fYG VwFwMc5kTRawggfz92WYkzWYS7EBTeEMwTBc9pWJ9/U1dMOeVIqYgN32usAr6A1zbnAJ77WXoaRI WmwMtR9KhgUSdqI6juA+hXdpmEAE/85mNwOQNjzEypJHObOK9hoO6pqjgTU75sOul0gTLMqTe6UZ BPS4NNwQmr8pCCR9fyDjl6LUTMNDe/SCxGdR0pXE//dq2xsx5PjFKZT3EPYD4tAI/WjOIXbwVzQw 9Ko2K9YjGTGNo4YFNDPhLi8YSO2MVRi/KMDM04oVyTnd8byGTSqOVl7NikBiG+0QvTnMdUul4Z8t DBR3DJD9eIEKkOeczT0KhLrHzvwmwL6fgjoB5RyMydUnbR7qLYw24W5JXjhHWj8Cpq+ekZ+nsr4p ohSfBp5WaPqd2c5lEu7RXqVManl6x8eKbr6uv72lOyQZTrDKHMyUQyfLtm+Vm1WXNvNx5yrbMdeQ RJx6+KSre9sMmKmghaO4LWIhxdIju8d2ZTXNzOEBvKHDIZnnB+2bPcwpo74lDlorBtKSxEmQdwYt JrRQEhQGxyc4FO+agCV23pgTzDsqPRIGkEOVYcR8DJXycJxOt4d9Ze4Qz24lbU0GaUagJPcdkHV+ hvHp+DZhAPenKOIJYnZTtpC47d7QQNb8i4dK1idJW8hZIToQXbuKrjw6EvZWAXaBX2vatoEiVhfY p5/m76v+YJsQ708gpHpXgW6OxwtVCsBLLqpBUBkIMRGjV1VRneBKoIMrXEYaY42HaM3Aus8Ntm9P 8gA8M4l3GkyVftNOCKaLFviHVPI+iYQZOir6Egj2JoOiMBqTuwxhg8AyTLZedwKqmR7c5IaBQyAT 1zC3x86EcpehTfhCI3DT8pF8Ycrz5ptBPFn8N3IyiEDDy9eFOQES5RB3nOS2LDg0E1CCd98pntlT 9ux4NHxjV8eoa1rFQGqqOVHVdrxm4Rhb0ZYs10tiCgVrK+mQZ+K3yoGkc6USGwcst1wWLgZyU+L3 Mvf9u7R0EsieLqOVdVdUlU9kA7rvI3+ITUbnrnMiKy+QI/y95PZ4hPY5IJCympvG0kTGTCzxWVyK JwPK/ocj/XiZbgGpEemyHNTj+M8VheH7vRbVlGLIZGfTzJmb26k436LAWFsfpya4V7Z1cxTEUtXz 2cRSqziAkucBXfrv7VdihKO2cfO+FeNaO+Unhr0fi2oXeBy3A/0Ft0qlDBWOjR2cqhDYBa+habRe ++gFoNpDBLpQAfZJ7IF2zthzwh4ykpPohGbIJ5VwRDs3G8ZBSl9yDzrqjA0hODQKThTDw2dhL7rS ExxaDJ4QHWK5l1fh9cs4XG4gdlcxsOeHsKG2/h68s6XfrYqtEBO8zv43TRj0+exRnt460TibkuGc TmQxhbYXZOqH1CfeMID9+NKUv3pxjz1SnzCLoJegMi5ohyqlOjd331CjuGG4V1aokTdKpaLdw6v7 2eknhpFvSuyHANxpzwWQU7y5aNTSXcRG/QA1RUXeEgxsHWoEwDWcFy9ulif5be9gxXvEmy3N6V3Z Nl88aC4vrjpHRglvVlLlWi5CF19/ImHNJ1v8qQ/TnSEzT1WhO4Z0HsyYATHm/zferun9269QyOxZ OaYHKJ/PeQwgNHXIcLFUwU1VZryO/vEt3WLotVrBSj6KIjOMKPLJcCJgJYQHySSJB51CemPoUMkG K0BAmTFwFcc0tqpE1Hkcz1ql4BsifHJPlJkV6fiEmTVembAzad2+bufzfBa7zGHZPL6L9iRo1Ade H8Jp9HcNnAjyMmryVKqKYj38CDidk5OddI24akTwE2UMJ8EObLeLwZ85/2Vo4NsMCf9exvvWmFWE ozfohUQgBhRA+55vfsZOjHApvUELyn/CiOu1+CzKz1Gh1TaVDsaaQw76BzhAOh3SIkTWQqMWCTMO K4YlRJuQmxHwnU6+ZmzChaXyne/m0p6AjEFGw6GB9UszahQAAfN62NgkzA+evkNgO0hUs0tOnS0R qqyzWr7lgzOrmHaeemI0XH+sjfU/BawndjBffs0+j3lugmhagIpvOeMT8NFhqW3LIiAbFChrvCq9 Z1DbBxoVsjeF6UGeujEFqirfjoFgdaoAIlRwEG+DfKkAuZKXdR2qn7a89++MlRHEQ/c2u+T5ZnRb RszfojgVeBipod4+SpAb1GObpADaMqumD2RVxLvQ4S1XQzcFgzM8V3K8eqxa70nXnTh8PkXeijf8 mab+GC1kZRSeGuTtTIfYGLJ77ttUh4yIfWQpsXsik3VP38d6c1/F/KLRnj81qwrNmWpW28y38IQV 7dVXpIF7gr94W7ZBXl2oidjVGfi565IVS2W3pcNjBxPsKTNpIOMa08FvcFhSZrtOoWxL6B4g4TKo 85RGLKrqPccTOQqZu7X8nkKZLm/ZiCG1hXZqVuCFl/89mlisat3c3qk+xhBrsLj8UeC5GlzHSDsR sTO1jo20ZgNLwMCQ+0Skmn6Hm7M/rohJrZYe4wl/EtOHZkbhhUQwi7oNJO8Vkum/2MzD42tirc/5 JSk+4qKyoIHY/SgWysWw+H0Fkcb4OcksrJW6bUmSmGYPVzqXYAwfjeAXw21w4GaQ9i3oC0X7fuDX 9ZFHQJY64A1Tu9b1sTV8xc9VHJdFbuZe0IkHNyHBLgXezBt49tWP97X7ko3/K2MfHVZh1ZC4inSD oHOKeDw0bhqAnOMqayD2DgU+sREdbzKsh0vG5QmrfVmLzNne3o6IB1IqEaYH6rvseWU6gaE8Nixz chLOWgLgc4TCuSYPMSYb1RBhNVqbaxv7EGmmTh4cTVCRDLCsFqVHSCci8G+//KyJVnvW5AjWYLtv 138eDYg0Gu9hG02z8mAY1Mgz1N3FKoYKDSge7/dWmh5s+gaa+O3BbyympaG27kHyp53bHAzJ26dm 1+k1w8Ut/GQU5Q5tOvS//ycWS18QHz2+z4Ib+7oh0ZbO/7OTBrsMlWTh3ccygquRUCd1B+bvMw00 rZBQ/aCM0MSno4qyCAi7RBVss11i7j6zbG1QqBC0SC0PS53KYl0n9Kw1zE0qTOrFCDRQ+7qvyCto EMA0NBxOHs7HekHBWuVUJHchxzoxSeMisKMZ0BYRCD3oQ9M0wZ+2j4t8YhyrGYVFTfjWGtoTRqI+ It3LL7/Koizbqhy5QVk10Z/5ZeOgqw39489iLFNHlGOFFEJz+0/PWQrng/NnJUcMxnyHkCna5uQR +gZ+j02HBbuYH3+3FGKrk0QsfCKq1CypBFZ7+6QZRD6hSXbdUPAIglhM7QO8pA8r2TIjwt10D+g4 K0XVDDvI9CGFETSK279dej4fgDL7rwydV40NNOAaY+vLk/kfyRIAQww4nISutzO39JTPb8vamtk6 QiTeVzA0Ew0/8xfi1JXiffg/b/bHTGt3Bdrznp1sHFPZDDr/cKiEbIY9c0u2vn3IWb0Si6p+X6IO tiYIvqcxClX7i9Kxdc2gacX7AFbB00N9VIMUuuPyEsd9UJZgdhaOF0x8S3NwZ4+V/1YDsxWV5Uto rzSeticL858JxlUqBkgbcxO37gtkj+0dOEVOawW73K2K1RJInF/WTKsLWy8pZyYQ0OPrlEKDUNTs kb5xU9gtj6IHc0OEJSuuGNn8dANhksd3BL8iQiZQZ0fzZEgPkM5H14O+WVSB3j2O1yIPUwnzHOPk bmvTu5Ffdnpn05GaDkxIFycEeEXd7av3v0LCK+mZua+ZV+9G3VG77Jno4nasapsMbfjqkGZyZ5pH udXpl2Oc4JYsAOT363lkahG0aXBkjgFBIFORLGm0Jnx8A2dDsrcSmagqTPAfNECQGlOA/CMEuq3D 31qvgNDeEDdeEvp0+UhWPbtgIMGuGYmSRTyjjMPuDp0LzMM0hgT12RsNlAqbr6NhFwZ29SrknVMD eXXmDBlZJ2FKYkyH99ddGYpGS8FG242vVI73ja+yLZ0DvvkASftv96J4716ky7Jk0Z/GDABCHnqH wuxcaoqcpn0zykEL2tKo8hp+KMLDbFnS43X3flq0adnTiPe3+4VyzHEVDwxsjvkTie44DYIm2KGt muJss1jG7ENaY61uuMKS94uRL03AUu4ybfYEgue5Ar8IAHYRkeyBp8BOsrtKJnJVcKVzkJMERngz WSCEBFwkWSzsBoOHmPN64eci97SfwhLw5Rqg8yaXSt1AZdvOfbHHWPJauz8VXgwA6n5edW1wu2+p XtmFIQclkAf8yB1DfSu7eqlvmpDDfSKR6QsKcOky4X6WbizP6+g6PLuodZcpkuFQ7OY0DgsPgN3l NtibE37cW4WD76bRielqWyhtozyHybmrRinzd1pv5WBzn7FlBHf+jBoWOhTCStREifKB2+Ay+jHq RKmOumhqW4Wm2lVTzy/bNANxoYgP2kh69hQigmRFDkybsusLRbmkhCoJIKYYOiDdgIOihqXgA0+S bA2UGd0t7ip6quTVy/bQdxzWepD2B7BRfinMaUac6Cbmxbl/1pQqDeIIn1wsCt8gpP5Wo4djIwgl A1WCWMeIVWAnp4f0cyp2C5LqekLXfuSa17YsNy3niOD/algk693YtIktEf+BNq/u8CHupRqqCf8n kWSkxsBR9tw6xCdw3YT9RWBtxD9lNoUGQJH2pA75amU4/aa/Q2Y3+Q3SpKAxCeLq/EXjFImszx0N B3nizCHKuQGLZ5gHonPgUpSgs8kX9sPgCTN/AoTEuwgTHuKiGPMAk3tvwUoS69SEqEzVg/RDRwr/ PSYxIcbQMJ+SF7jpIJ0RYcbJsi4whHOJcs3QtoIhhTRBV0QoJ5EF5cgQVEO7s0iLUP14IMYKP0vg xoBDlFpPWWG0t/HsxsgyfsPFG+VfnaQXd59ZBMsS9QBc/sMrh9ZzEgMNc9dN6I53D8Guo46km2CS dvJKndyIxMa0BJD0GjA/59hRzIeRQQQwp3P16LrrbG4NGHFGSXZl+Hd4T9bA//C/W1iBoy4lnBhy lw9En7TcrOiH1ZqxbL+DDingNmj0qUfZPsCAK/vE7WEAJyhCaxjq4lnrZg04zWPKoxuJoE77zKzw ywDrVfaHCN/ZJHenMDLctUmvqDlhXTlM5FtFP/aKrcNeIU0AmBI4xrPuVXzAfemzucL+oGLS07ZR pY37wEccVDgrX3fRztbzhJuS8zE173IPlGfSaROctegTnC7bZeJgEiwf5wj5L6EmOpoy8W0y9jKz T9sXm/nqBoL6kB1vsyWc2ShobDRoJ1QPunTggmTkKKuY3cTWa583QFka30tkl6JjnK94FnJqnRlw IDw7AIBmiMsnJMpCZ3XIBrng3lCLR9jrP+/SdBRVw7hqL6hdCE+vL777IfnpPTSv1Ng+VyIOUEB+ +AgYUF1slP9kRgpmHlkZXoAchuuXSLyi4DyNo/a3ZMd//WElRUVqCtjlkyKNJ5OkiTjOvObBmj8B S+ItJxP1ndDsyoZ88uvP+TJkp9tUTNFHN5mNr4OWHcMJKpcaePYCyV02g6fEGTBVnfmZlRiW7puu ecEszTbjd8ZWzYZFs0YklVT7rna5EITAt5k5IcY+gep+E7B4AsmraqFbJvQ0VWkHhm7BeR/0QOUZ kszjw0hizH8WY1p8pY7Ftd+Hpzr7r29U7CiVsHMciVFrykXUH/EUu9K9dWGq2f4Y+kFxH/1tGj9+ bqBVRYd3fJXgA/qFWjxe1cvMK4TjGbbrDJOmryQgcAh5bk6QLLgRIOLkjj2266oI0vTT2JuSwYMf WX9nKOnedggi6wcgHOiLQ75V+LSYiQjHIJtx1qUvZkosqytK1SISREdIyGMNjdr8kgemeZ6Ulu9o UXKmVIIFKTESjHFRMHZ72HMqY7ceY4JIWWBNBAjgZQkGTczTSgv6p7eO7dlngabobefqFeoL3CwS 777UBj+kpDiLpLCrgVlZxJIUucnjGE/JEIowJ/mw1OVf4vQXa8gCAY+WtSYeuvCHNYhSqfs/A2E0 u+SEA/LMk+WenxK4AhWtqvSD+EiBmZr4AECRYT//fTebbP8f+TKkifDHKVUgCLNXKXgLDl2zjj+Y UneRD5YpBl09ocQ/UUT18d8ToDACtKux2l+OK++UzkZFgEvtjRyqKctF6GFFAP1X1iBwzsvzNe90 IFll55gyp2U1kM5ZB87LV+/x5SY/CLzcBu4bhZoCM6QMIVoQ332LgsELJQt6K498ZS1CDZiRrXAT x+nTxuy5Y++9v48oB2alf8xYYR0CPuFN4yD3OZQ7eWi9Rd/dv7N5NkUjOFsDWdeDEd3+Dgr8vw09 UrnbIqMx/cmdW1/7mtrLXIY1uwkrR6qXDdfUohXFIg/Rn0H9iTqxexIuk+xHgf4yPVIsVjlAxmIJ Z+HatrhQnDlLZLeJzXclLego4h6ytk5PyidjvifWWPgAohx0seCAiOiJJn9idNVhEjS80Ik/7CYf uEKJHK7AfbWi6ERyUIUDHSsx653EAwvV5CSfesSsZJTMlxKpUxRfq+Tal9x12BLPyFDRC11Ww+M3 Clc8w0mgIOkJf6qHrPFJvzruyRWp7pFRVjTzKGI0hUTP65K4io0vF6jLxfi9kswihZQei8Il4F9u Boy7fVO3ZaeSaH7bfu1qNTPIS6eRBYXsuoI+XW0ZKXyd1qF3OQ/A0TftMsDXq/jCcP+fkR7Bj/jL qW4swV2KVHlLuLA6+Gy173DmFTga257u5WAgp4qv+yETaajyDWQXcn0p/6mxDPcChxUQ7sfJoZjt boDZd48nlfpCPmsW6SpzqFgDVw02K+4gwrnaJxiW2ZqHoGKcFYI35XhvU/+KBygOhNTZS/7wmsyH LwZ1RCr1SRrLpL3NgIMrOMtR2S1FZmAdli+3bJAmw50AJhZS54MSQPti/zzTQkHr5QBZuCVXcYF2 +e4d4mqw0vl0zGjNffbIfw8zXm8Y9pC/Vd9MrEzrj89LoUDxJkab7oz+tpPP+XtUDDKNWyOYtEFO LuceuMdfHedEBcUDEsQGZLK8WbaZzSvJWpfI4W7RqEJoVGw2QMFacy6VcXbgFKBCd4GgJyrAH9gc 4mtr6jJOrWZHJnJ9vNiStNajoK35qk8MElHakz2FxBTkgmyZg5vaBzET2at6L/mpl5fWekwZuGi1 VJCtFwRP51E4OXkHadxyohZIwsyjgKRBSig80q12NszKwgHqXF3HK3TOX9xCLpwSnsWWLvMO8WWV aFanbP69fg4AxPC9EqXIo3Z4J7g0i6Z57q+TvvdJm/rSBfBo2Iq9InAP/+0cJORh7cdZ+PMH07Sj 2fbZH+/pdyiXV9/vxRd5biPfj9fU4WHdzuF+3fyNQP20Vg7MJsJu2jqZ9DRZ2tl3k/IzA1DhQ2QR r+Ggub8jpLWaJv9fJXDOHJw81AAqiQnqPoOBZVQ4KQOsdcwgMDTp+T1ydBD+PY85xyg66jO2Rkhn acSUPBdnJ6SR7bF1yonU/JyLSBOx3Ly33B77GLZrkGSXWPlF4Iqd3yAiGp73mfcz1H9Dg00QI8Sn 05k2TeZXaPJGFW3hFtXEgx6AvDDMMb1+rnj+5Lon1RWE6WKB7xIjalSql8EQ7D/52onf96RzrByn 7NtBFXLe77fjae3WLrsHXFd/SB8nU9u8U7FedVezgBu8QGmneuUo6PqTrv4erCqIk+64CkmdBDeP fbMm3VuQYQv9twiCHg/LXIByGdYQbq/Hc52igfMm+myjovbJG1MHh9BPjl3TAP2ZsB4VsiPTe5VI tp7qSaFB8AKlw5F1hjlM9lPbqe/DfKTA5OLLfoQhCPI7vNmIDfg4PE6+6Izy5v+gQOLDsnMed9GZ r2d2R0nDBeOAJKU9CiEVo8VfUyrD8pDYXdPDeKCSGpmmQsIkazLgsr7WYmEvfa4bjjiAQ67Pg00B G0zsABasbH7qp11uM8feMkOphUQMut8GozTuqUP3vZ0B4gFSZH+wzKxHtgqTygnUEDIsRzhkwkGw 9K52r1hJIBCFbBpvaRT4G+xyM8ujwK8YEiA2ESfU7/sI2LAHrVBKFbPWDEawspXAfscuWQ/Ne8LU +wpv6AKIbiSTymltU13Fp2uSLBiHovbUoa7Ggwl7uo8cuLpsVpHrkTXuRlkurc63zEckeKGZgyow zpQEcTHLbItI9guP8MDSFzesm+O+4k+Q99nqJMod7JxC009N6xBkH+qLlaOpdEeZEY0EQBkADMEh 05cEsTMAv5juKp9icaaTp0EmhdCjIV9qnlS50YYWojw8AIr5ty+F0Mf8dPep+P2Jl6FWgghjm9sP 5U6/aWOovDv4bgcnqpv+q3i8hnKZCTJO9NXHmXSmyqGGTqX52O7x7IK95NAu/ZoLsZ9PtPWiv8Dt VwI9T3yyTqKEiNvQKZzCwfy2KsP26rUeLgtEcP6h3VysZKQWwcQRUYgTOrzoYdwNNhmtlnoD9o+R 3i84VnVNySH59EHraO3p/NQj7L/glSjrS6QBsFQWpAyjgCmlVrOpIdhH+lG0aDucOg0hLV8PUULL iHGgM1JANR/S5GJ9IZnjWeA5jbtAG5ZM4UuwOU0CT3VB1YcTUEFWb0u1X/8VYLXPjOrA+thuhHY8 AZSdyxfPXIiHKvlvwuCLyHMt9EX+4cZA0g76/q1DyhPTOfgvRCZC9NP41/fEaZT3O/+tudg1gUu0 ju9pOr5VblJ5zKgD+bkW9MWUfqo7G674BkeWOIQIQ7NBE+ZFXYGGJQ/aF0BiSPW4yff2+lQ2r+wz cIDfAj69b1U0sxC2ferY6Z3sOUUtyOg63QsAOv3C6Rjl3inMC1rjvHOiaViW53zlckU1Tnz/ucFy 4wJT2xIkb3Yy5pRsfSW+jayFj5Sp0J16gAr8eU+r720xOnrwrwgJTnQCViiB3XeqzG/4F3z6VpXX DFUUBXmWYyymARSa9jHB6eiVQg+IF4PSOjOPaQH6utgXC8gxLualN97sYUj3ddZKHG+Yn2awPRwg bikKzWZOFb52KzdnRggRVVdpmY6nCnxKA2h9bqDUadvtYLL7FB5wPUq8zsuCPoRs+oIktipeCYKN G5P2XdjGJwu8uPbfxIzmTceuhsNZFpfKtupLfWJKI3nIb2iF/p5HAzZwVRScD2F0NyDoKDZxFQka U4Il8eW4dcgSvAM0hirIEW6OoE8OtwkKdp/+NUEhlCSu8/DJKYjxHceowUz5Oa1utvj4e9XCsAJf p5Qynys05uBWUu3/EQdOiAW1YtvxzCYTVNUa8o4/6jI9fZTHzULd+noimXGZZM/MQ1OA7X82y1io 0a/56jDpv5QgLCSikr4f+l99URwbxDWbpR962JxtQ7soJfATVYa72xbbzRL8t8tWDh0sLo5+8bJZ z80HWZJWwQKj/IYcSWsloyHWBVulu8MXobQoS8pfc8lRlpn1DeHEnrPVoXNqA/iIF08Uzhi9yZfe OzZ5X7ev6gG6pJUmLfDoQ7vuePTovrm2U8ZOiIhUIMwM5sdWXzwyWKD0Jt+KCsfxHdk8/HYW/rQt zLnW5l6DCrofJTGQGRi7U+oZStDy3fuc3PGzNywXYkaenCKo+gfJ0lszPCgLKWwTrWjOU7u+gV/6 MX6mZ6G5Cgx/iuENwS9vywVH60l0WL4wKRnvSKAp3ED1p+fvr+PNj7QbCYXDF4GnnUS2TipkpalK uAe/hrGAUov5Xf0yRrnyIMk1qrxZJrZ0U6uN8ZCaLy+oKmp7WDfGnuCdRue9/bvju2jlsOBM9Kt/ oirlQWlYPNDpg3fYLlkXECqAH/LPw3M6y7MFCz55nafPITS0JUz0Rp9FtjekR2fYwL2inld8DfGP 2U2A0itJ69wHySB+5qASm46Wt3+d4AGJ5T3OvTT/nxbngzvg0/jEdrN2r9wX/76Nm4GtaWgBLUyY 267RPQMzXqFpgwh2MoRHdqPSu+Og/09ZkHwv8pYDHjAusyiQVIQPYUb9b6zEmCPZRdIYeUM3VMLv Pj6bmxndIViizOziwg4Gh4fXTEErAfxtuV4DDBkHwyUSmYKsly38jXzkB2PYJXDtG3kDTdN/ZeJF S+ZTEaYIdEBB1nyHpoca2Uwk9pitpOYmOYYjrZaQATlAc4ZTDoT+AzBpsTKt5FsftKBApn23TqHF Xvw1MTsqYYP212wZ64P+KIrL1RuMT/nIs3LQTwZVpf/lbcIExi+7c8KEHX+8Eo2yOUAZznjKQrue PFyrsNhQd3IcAbqFkrXuE9VHrrEeaawREx7vjOMMrLhyf2qVflhAzih76k9uHIWH3nRFYWeWiVd6 jnLhq1krpN4SAoslZ1cvCM6NLM0M+VWK+NdieMWHScFg5p9VRyHojZVLoMoweljyJ8EWMVHBOwl1 BV0xbk6woaFd0SoN3pTvk1OXpK+3u+2Imy1WZkFaS3yj0RL9BF0TQT5ALsuDRePbEg1h7SXrGGHr 4jYv764SPU2o2aMXzUvrCZydlcwLZd4UjZWRg4YRNRcv0RxORFEFnomYD/EKXfUjfZQ51Q6gpXNX KLB5wV3dzmyzFFEj1ItiZqygCUtJTJtz+YEkqF5TFCDW4GY7p2JFaIioHncZGziRUIQPniPIlByU CD+gvbBpY5LWHjS1zG3OEPZFlV+pgpYfy4ddYRp4YGAx//N68/6VZ3ojbxdgPFAN7H4ANZrIRmRs +0m+AY0dzpf5qSPSh2tegz4nXGqBZwJoUVrcmw9iKRdL9aJ4tuieHsB1yPGYWJPrsTrtaDm6HWjf epRrsyS7P1xZBo375CSWa7DxgiqXWZ1KwIP4mnCQ8rd/Z320drfkWlamYXeXwf++uEDLHSEUSFxw jpnkS3RXrFy6vsOr6mCAmDNBLv+OaFlo9nAphnaCj5/T3YYwO5Bm/5bJLkCuxs9XXtHqk5DpbCJK nEtkUCbLug73WjGW3yhe7GRJ4d6klNwf2wegdlVzMl6XAdKH36SbOt4tmoLixZSmVtoK6VLa5VMr eM4evChw4gT2SMwdYeSSMn/zeHjbHf5XW1fFWbthkcsFReSxuvZNWLzmcI7NVRtUjPtubjCLddcl +vjyLKIhDtiHxIK/UOI18OrWD6uOIQdSQ3PjAl+bwUDc3uhYIchXWuxDrlXGoG18QKrpe/G4PhoJ 3RemnnT0rFbCQyG3LDn9bnOgSMI85Yjy0N0D5S5pHa+x3ac0LMoWWcy5n8SpxMYZ/xLSS4L6k2cT zOJ4KpQsZf6ZF2MfVcfiA9GpKRKliqp97H/mXaHVptbC4oY3OZZR1VwCKeYtuVrYDVBR23L7d7wc 3UzrlaM08m47mBxAUxiV3pe8JsdDqi5oXTRlEsEpSsx0uo93UggcLyV0h9Ct5Zx8wpgcHK0CB/ME W5wejp4lhMkdSSxe6IO0wxZ5cKelzmAcW8073sdKVue+TW5o+EsFdbSowT+pXPvObRA23oKGYv+P hGj3/NDSPtNANmGUOobmjuqrpmovzHyKGZT61L5t+XztzdRWH3NXaT61kRCXVy09hOm58a3iLjIJ tFxeE0s+uyCgvg4/y7SlLuWrv6sAGGUVrdfemft7XzmovAEvJ+daZ7UYBumv2+z6FJiO6fOw/Rye sTv/qtYEO+4JWUyDMROxczliHb1Y5oMdU8kltfAzeO2GH+Fv0ZcrDpFo84rih5oAKA9VCyNR/6Wp m0X/xZObH7u1oagqtqKwTtGon6NSbgTFCDbnWivoY4NDUy5tOgK3hIld2jC1fyPfR0FlEC/oYgyE XvSCXBtLvvjiNS1qlwE/eONm1LeUv6eXZwKrxs9vHENkPHkrAZgIXRUa+3sDDMe7OoJrmh4rWZSM 9+F15cYVDZ25QRanyrQqiOIKfwVVK89VjbWJS93ciuHZFmUrZHsHoxCbQyCO5jLJ4qgHquwmKJDE 6x8dwABMIOOMatRFJM9OyLaxZUFbGIlGGPSLq/mAIJpJYDIuHDGZRkNKq62f3nmpfwF8lIKoygkU k3NwrgCf/DT2xGlR1Yv5qwgNJ8nS6JKAcTCcYwxUcnASg627YV+InVg8DmVVYyncRKTCu1Uhg6av QeIpPKppi4ozc8k2Q0X0AIPmzVrSFAaI3P9kJlGdKlMwentyk6eM1Qka0obG1ACtWEXxjFoybhvS EmvRM6javzvj0SPJsThamE6Jkzc2/2JpnSt0eY7GTnC7PQEnZGUjw9pSE0Hl5Ne21w2c7LiUMHjC 6ke270YA/z602jaPbxok+grM008yobEFPpvucbJn54Rgnqtv6cng3u0Gx2bZI5SvYsUJAZVV5uHF s4vXDZ2XmseL0eLXt5rJDqlI4VnhGUVeXJ4h3cAr1zCwx9TtVdzrXH6WVr4/v/YVhlK9sSxkHP1y AkbGDxx1QKuVgXjeIIGwmlFMs58JJge/FchxA2Gq0ROUAFbgUkbOz3P//o8MRu1ikO0JT1EUNmvc LhLeSdmeF6gDX3Gxmi8NGdmTvI+SlxspDDyQyRqSyg2c2bFivYTwm130RHVsUoadmW5vk3g3hOAW yh93J76Mu9i93ZC9+Fv99HrB8YOzjKcQst1XpbXAeklNx+wNbwcTuHRPUChDQEnthpljgOPytlPk GF/ITCwZ7OPovF1MLKX5ZrVsMNjsMlmqLajmQ+rbPJJfEW3NSTAHR4CWdcHd/0vixdKQtGMkdMt4 vuqX9hP31EhFJa0g53j9F5SNuuRg6Mn8fDOKCwfkXfvMtQ3Eb6/hcUXR2JObAh/Uo0toR3htV6E8 Yp24ezDWKfLjP86I2Re42IfXsHIjghBTuCtpq+pIMEvn+VifEvUaMPLUzvYAgzy47sEDj04/hPTF yyatGU9UHsuoNSwGkUtMZjllDcfCb6Rz4OSnF+18eLP9slozPpPYUjX1BPWafy5ndVTdn7ReQwjQ CbYiM6rGSJLlrzWle9Eq/C4nItM+8HoiCboIM9lou9QBU8ovLPDtHQ1mlM1nWuJCMCjutJPd8dyB JwX6qk8/HDGHnLadsZ71Z2wPzMDu7wyuvXbF4tMqS/RYZYiTa/9FK7Wqa72YuiM4r3fA02OaAotu NSjV4s8X0wZNMTVO9NHV82jGLkEbaCWdfeTg+nS767FoUpCNvvuW/nJE5dVTtbnCHyftI7bwCOiE p1TrxAEbgyRv/pbTKTett7MYqCGMq9mP4FvViIPjSblk/v5cMIenX2mcTvRgmK74nfZv9IVO8htO KP0SutCLITYRxBgyXfDDCejri5q9J5Enij5EigZIzxttGZUpXbt51gXqNCELwcisxE8UtvoF2yIl Q1ValHJbQRRTkP0TNEwho48Zkitt9fdftA4IEcS5H3wpkjHLDZUTA6C6k/dumbRc/ACKUm2625H/ lqa4zqfnFxpguS0zqLSKyVTwHNQo3upFJ2wbNkUwbXJCYeVSiJdrwn1GVi7oK0YtCR04SmjpH7Sn 3/fFiN6OA/qtgDGf2RtcM8QuMb3zkxpwPnKTCIY2+SgumL4ypZ/4UmJhUn/m5LugG/IVkPkfFIqh iufHzjat0t7Ci2feRa+3biucmOr4Y3hRcMjXrs95KVn9fcndoMNz/PR35NnymygSDh+JS6c0Yk3L fLau6OPMVuz4XZbg3Sy9lES7rBn/b95O8TJ29mHab/YVCm1BIowAREfm8hrv735cvgRM/VwEWCPl PeqTUDHN8RJiH90qWkeUroLSIl124/ubiJanLDXKibTjvu/6A6XzVnSXS8iKS0O5YRrpVJ6EBw8C qKVXEZlt1qCzu5BkZ1p202tNaNxoWf4vScDWhqyxEXSTPiROCruy3RZrr8GEFJ00aPvnW9yO+NU2 hM0ahQTsfi6hhAl3snFJuqzy+IJXeI5UcWcels1d+QjNBgBBG3IPINV2IdDjxn7tstLzhT1qwGD2 H9juA1VgDfKmL4xRxJZXoY3wfSTTaHKxfgn/+5u1dVYfD6/CDAwoydAsFUNwDohg3wi5tVvMg9tt pgCJ9bYEIxPuxp9pXRvehuIGw/kLY4w3lJxeZ7a58Lxv1pg1I0Xyg8bkMPVmTc4NXdBBPN1V4s4W k48f20jfurgHaql3FCE/Ys3/nV6f0D03ygn4Bq4LvGCbyJd9MazmtdOk0Gbk6MoYj8Vyokm2T+WI zkbBpSjPYQPsbExpHeUYM6nEdcZXPrTgMW1MeNW3pMEy/yFCR+WCWaf0jwNVs6oGHVFF3Gf2O0BC 0l2Pw1QJL0d0QyDsL5xOSkOZs2TuNkcqU5/5ahfyAx8q4Djb5f39alRVslact22JU8DKejum63Rw A0HDyrcY6t/01ZZTJ7zf2SECz/bmJBOnQSCwLYlMl57zQc+NDzByxzvsrQerMtISlfKclxqXHEa+ DY33KOZ1NM24rFrBx1dkHmY6IsNVcxJNN6Jo5DUc7W9HBWETcs7kUn+fcDQfqx6RHVPkHyoscxHg oodTV/5ozdezOAoh08EgxQG7jUV8S6R60OFshjria6OWdzFsBKH0Y8yv3kvcL1ymaL4abhXoyTSu kQtlqzACqLMxq+ORa1jZxp8NZAj+w2ewB8tNDBUdrewJ5tMZfjbEg4r+iccdJgQo9aU4Y3Qf5Zwx HITQ3V6VpdJj7grrhHxKFqFueNP1+xhgqkNzwmsVj5hjdZf7raN02AdZcYb9RL4zZXK+Mrvfu0oz NoqK+ZvP1w1BuPxdrVQCfrSylrCXxzknA8iGyikruGAVBeqLpNJeISlANXAvJYYj1dshMKFzrVN2 S0nt5V0+Fpmt0L0+c3Hc1S4A7VL28m3OqGwYw9VICp1l7u0SUifEihiNon6GO+kFpaHbrgR3Q67Q b6U3oLJXtmC22x3hyBWI34sOivI5FuSVTu8N6uijlnvMZESAedq6OyIRfHib77XaBAwAfLF/LyMy j6BgsioVBJq9jOW/eEC5liVg7PPU/DIfw7GfFALi/uFYviEKFv/I6iywYYBB24OkxxZVNaGEN1GQ ckC9Sw3cvevbtkUeRe8JyTxt3gJW8uIQiFY2NQqX+T9wV+N97eD9s9ej6D6bW8eMOefpHa+iIOiO +eK9n6+QPnKY4VLTtAta5l2F5hzwxm0fvxCCO9psQjUCQ0LoIzCSaXHZSeUZuZJvML93sDKomIrH hQlH8vAQrQD2oblONzgtdkSBgcVRXlodAkHbKDJdLkPXXp5CdCCbapo4Ly6+zM0IENLl14EE5VVN eUuC1TxH1sxyIQEpjrooXP6hYFD5lJTnCEjYi0W7xwMvD2cdrEODxEq+AQjyH0kSRRjGaODNMv4a W/kB/lvVZDUnSh1TY6RS3Ws3J00gqR5EwoCa5BRzkBkJL/HC+oWTNWTA6prCjvqAs6DUa53EkaA0 q+UMO/e7O1G28hDuQvzSnpZFMWuQMQsAApcZTI41euE+6NfTra5gmkmw6V412EGvNwkpEDjxRzwm LF6jKSjgpO74JQCCD1oc2Uq/97rjHf9Ihmwqh19hYVrr11YYxMfyHsrz5kaKIWj8xRcHlEBS5RW1 KngNE0fS3LgwYWEN52777HAK3EHqJ2xMPRi5uC9Uf0xGFni0dW5fErWFao6+bZs8R2le7K2aB4ig SPcVY3wwmDoYEPEoLaIZHtJmGKxmkh6mklSWTUF8sLp2pwmq110Gs02YYnBpXrZR4p8WtCinrvQ8 ri87q7hP0ME7R/qFXvqZPd9V8TRlaIgGmn6AfInPe+LThayWP38VwkYdL4Ud1pL919H/2gznE+ev 0iVYVkuzAdzDvNMo7J4MVL/E0k3n3gT0gBJgCxVfEFVx0ZfgQClHwVJ3fVDBahQRyn8VWOGFIU9/ k1dOxikg/UCBPTI0ixuaqxA4FsbQeSCpXuB+5oqsrnY01tjWBjj5JzoILWvbgdpLBlBEWPtCraoP WPEFRHx3MNPPe6lVKFGFpFmC+VKgQ52taPzRfSUCx/0TLE1VuoKz+K44LcCLXHvt7xmYdkbvD9x1 k+gQNfqOgce92UMd05jZbjRPsQyIB7jMP9lcSVZY8MwgVtptCjSqPh8c1PvjcGHHoBuleCPFjOGr nrRzhzgRfg6XO6ke6HFgKCSA2x+wOlvHKEbNhaUFZugMFxi950AYZHGRGVwTRTWHlJBK7qaj1Isu /r4fMcw7Kc7ZXjyYmww2hWGZ0HWU/nDH18a9oZcBhX4vYrfn76iIGc272D4igYIVxHSxn1bAnExf /9BX9J+NGRMfIBhmP/BsiaYB3S5Y7dt/FzRoxKPBfAGWPcqs+4wV9fIWRw8PiqBbxf/g/E011pPO V/zPPqXWY+I5KzG8Y+Ki/iBskxUjCaANUdMFtSc3OmX0H8QIZr2aQoimy85zztGinC7oRyxb4Clu +2GwZYm2iZLAa+4ikg256om0MMKm8eKQEov+ygtgaZ2vRvaSzWt0xP3DQi4wO93IX40V2l5B57jv v0T7dGi9mluaLVdS/vFPLdzd/D08XFjiiKdQ7VPWQnn+l4saB8YW60A3I83uXKguI/kMffLPpk8a L0H0xS9G2OxSuO4x4SPfibZbKj1lO34QjBBtwdv3Xu0VXIQxMt9J8ByGgA+EmkNTkkjDZbmNyKf8 VmO5VkDb6lv1MUAlTU+DskYibW/7HX0Mb/4lINm6hSqwLsItS1H181EyxlxDkXwHM5qidp/orzvf 3NDR9bu/qyrlogzNdwgtmPUvACH3th07GPl45duxh+NtQskAODHqfIDNRaLIO57ucU28hpgpUt5L a2HJ3pcWYOvTlL2Dvcwa9aNIhELhj+0q3TKH84CP6nnhwMjRPUD0ahZ4MSn8Weg4TQhwygo1W3sz fblam0CqkYAIKi6IHpUj/86hSGeE3a+jPVdKzvg034wvzphr84xQ2BjZOiBDjc/4MJ0TCH03baHp /+an2NbaMez0ctqihXzTPkTyBSp+VnfxU+qkrOIx3OpEd0TbeN9IcBLG33b8lb/pgJteV/h5FQe1 AFODPbM+jVONHvFB4SY0b1COD0aM9z0ZR1nla9FSHMxtVOBEtUMosmqAvAW3j+5n4i5vsRDLEhqN 1M2pCsD51mvuHKYmfscNqWSLQZDoXzea0ERI/scET1RxqshX7GkR7UozCo34FQ/Mn1/qFblrgvmc iV2iYC67Hgqh+WAz2gCToHFbW7WQ210neTsQSSTNDUwBMMNKS7RWDEY3ndUUmomyzDbSEEN+EKdH DHoD6pSkOl8/SVmBrQFDI7zcdrkrVF5eqzHkhDfEVmhObH920pcu3+kOq5T6ddiWGAm5S+BIrixB TuDDzVZF/060RVYy2XtQNWb4czb+hRwT+cDAZ2tfFzyGN+mm/HnxoRIlanTYrfQ7Z+isP5OXW0/L 1ZkUzfla6Vi/vxuTkq5QEOfSJvYBiMrmp6fyGSzyS2P6dZPon5+Q+WxOPXZ8ZRTRFmFm4NqrzNyi AOSiJwXNaqZQ7jH1nBnQTMrExqYiiu9Ekp3DLF6gANuQxwR3keSU1jDdtedyx7wBhfhWnDswOHqe kZPaTmGnCFCW+i/Fc8Ko4N5XQqIiz7IP0j8WtauE2+KVOsPPAOQ9QrMt/zedfTMkIanzjF/ce1sn h7Mqe6fOS2/KNE33bCbCgc0PNzAAo/wm5wZY12mHFlcIl7r88j5cpAqz/Afz+s94d9aPE+BE1rKt EJX6lpGlEyDHBsdTuWbkqsc01i5ltrAhIb74fN8b18erthN2BlQHT69FctnH1+eeyOp4iHOyTxjB xnBAQ6t0Wo/SjfeHwvE/EISxuqCMSq0VO8ZH+b6UbpcBS3dt5t9+mCH+SXzqJTNYut0EZo13ZTAZ 76MAPbQhtK9xk6KO323h8rJFpXGcjCewAXguTf8ozrN6ksy1PX7B/h0q5C8mXit23FCHRwePFiQe M+xzIGMM4M3ztgC/zwgMgjh2H61TD+7m7s3TUJZNJC4y0ORXrO+WJrhLZTM5e8P3aQgaH+oAbl1R PHJz8iCranJrQ6+AVswGHDmpfbALv0dADp4WjHLAFpflJ6sHs5Zdod8zHXgEQ+RzqHqMB+11OBka HWe9U5xj4kV1uIw4lrm4/Ig3kVyNQd45AjMyqYPpcUjJ1bKvNNG8VbXghFG690V2KoYx8kWERHvn vxtr95nI61j6g6r5N7j/S53nSK+KgzryOG/tQH2NRs4HdSoh3hWmHCrVpPUoTkZ3k6x18heIZocb vxj7Uab/44hTK7wWD3EMULB/KZ1dWlC1HmLyv3cLNBgdUEsaEhH1NGmwRPyzST4zlN0FksDtLB/R ny6NqCyyK4J+60mvfeda7fcC+Q2VXKnu1ByrPPkLYf7s95eyGfOmai+xk4B1pU8o/sF/+zHv9D/5 Nk7sbKn7T9O/ZBQICfUyVnAT1gZPxqr2ex2yPQ+0w3vmy6FXDLs7v0OBIljGXGnlp37v/ze+N6a4 XHpn/w+SVeDHg5zHVqzvq1FRyisK9CDDrEF0wmeEveMGG3nOVLgzK6Bq59fsRr2ydDwudV3gLrj0 fjH72u++wq+eSkoVBRmb6OPhg86UWo6z+X2cHUZn9NI5H6zG+mcZ9CxNXDnwATUusxPF5HnaBqdZ Y31FKiTV0GOazPV3tHoaZw1konpMDrAK4Aj6Jn64VmNveNMhI8ITkIO8yLS77pXHk9f2KUp8vXwR 5jtq7MLKZGZHuWTkh9DZQA9AJP5EtWTS8cfeNrWWJfLhnfK1R9GXGarYQZ/GtHgAc0G5Qs/NCN+9 fYUWe/sPoFnLTa+kNL8O8n4yJFCsE1u+aVFdNYrHcgtU0LEN02mCPAEEJqlFsEbYJ/ID/yvn88D5 cpbYN3lVK5aQEiJaHlKSE6ZaczSJ9VtVZcdmhXxJG9xI332nlj70BcIPqh+JLdNTXQONjFlAqMm2 7/YNkN3HZXEUwfgUJUv3R3AVlUUYN4aKbzmXqM4ps9BwO2hoXeTrPWDLDN59zSpIcDyZyN7cD+Ym 3S4dkb4yrKMJqqQHeH6MQKHb7z+h6P4m0YX7JoCIE9L8dafOOwRwp6QrlhPWXRt82Fap8435OErd IBl5+0bS4M4ED2Bl5cCBfQ1gkP8GJUwcAxPmhMi3HUWYkxnNLjf8Gp0hSaeGTco68HrM4rswu0Ao 2ljvvgR3UG+Kt6/srb1T7v0hvxnkpgWM9VK6+ouv16V9Botb+tAIDPTB+WR0MgOjgQ76T2zEj0HX /LgC5hsPcfQkH9zwMngJ6C05aI/Mfg59J+nMmVgt+RrvvHVtWPByJmXW6rel0kxDZ+sqFLkJRMGf K02Ucv58NSm1pAR/rUoAeFj9B/SUyPZh8gsYQUFn2e8VmICy+XOy4OEYtO4GwEePi1kLLnFI51qu 7srXKn4EyaSXqLqB4lW9kgj3V2hzSpBYK++SjOtPiULD+98Uh+wNEgxuTYRQGmb+xtwiKe0ZxaO6 dqDz6Xrn4OLrdfAVpJkWsFiIak8anHyWBBGg9WV1Hz/F560fN13xpv0bQ7hQrV9hxRX5wDHwFd2D PA1jm0BcO3RRfpx2gCwq4Gh92ZufxKDUOQme5425WlZ8OVmtJD7TvSJV5l5TmEBnzMk+jZ2r09lP +rDshPjkXbBYa6mCmCaxywv7ORqsBPsZJQZ6Q5Qq9hUMKacy8nTH1pa+/TJU7ltLJrCLTqaX/DWA DXr2SMD1F0A/ziyWgYP45VcA8TOt6WOkh4aTlkKXXB/Z0W/SIvRDHn2UGE428W9MERkp9/Fj6Wd4 7cbltvBHkm5KOBMhv7JXudGttiNFYOXqyhkM1yeY9L0oCPTH4j8AjAjqwzaBUTBQpS7gA1XcgSX9 iupaiSIXLgM5hlFON9SHN6NE7BfWlC9oovZBcnCv8p51X17XQv3L/0JqOcx2d3KwT9gKcOd4rqJO qJCAhT/Frd/tW6cJkbfRr1uDbU0UaWLAyi7DemNrJZ8CjibAY3ejy0HaWedn1EhvrXwoPtCt2W/g ii6wqrdo+xHgkkRe5h6wJW7c1vTTP439jaCsUiJZYOOgl71HGMO3t9vKdvqPfkRWZbGfJkGShonb ynr6PMZR64dmfJtIo/AGbaF1UhvQ4gYfpE9W/DADL74cnUbNHxEU6Qwik+Nuc0Mbg99kqTXnZFBY xsXwFtuKxH2Z9H+gLuO74jGpMhXCj+znrVzXfdHVqKnnLlkdd15UtO2y8uVofOmrN4TlJDT5bu9Y sSLBMZd5jovJhVfDftfQ/fDPwAE/u1avKnOXOnsqdG1qW+PvKkOMHYcsBNK7KSUFmvRutobKCePX 1AhUt0Q5Wzxbu/WsjDp49sjQxEyKND1FqDtZMOhUpc34ayW0zGkT7bj7tbsVsQ3+wY3QgLpS2UHc PNlIArRXt8i8OvPAzdemR/5oiKRT15xvt5AHz4JEfOoP9YKOs5SYtNsYNYKWghvS1G+3zkY17QhS eARnxQeHygzifPaxAnLzQBUun3BKxTJUKlikCtG1Rd9/8VNQAnnHGfNyX99jGSEiW58JIwJFPIoo nZE96gA6PdYc//jt3fl6vOeO0cDxChO8YsEZPvkSu+8Za0DDGmGvBQCwonw2jkbvzMWL5uRAaLYn gDdhKsfa/M6YJzXTH5ZOoXOaO9K7pXh+BVPTKKM65etMwuCXb9EtMZnmaPIYUdPdIv4YQbn6mMA5 3y8selkjDXvZyALQscdTBCMwuHFUeWpAruGnjY96BKnbC2mKqBrbaK43MQUNhSZg657FRcEECcKI BXHhuh/emqRA/2OO85LX5i8037bgjdmqvgrhwayXuvfcygHs972cblsISdJ+IPm/HC1S3M6Bs0wz TZOGeRGUftAIBk/JSV28DNAmmck9FcQuvpBJn9U7U3vPSgOo2IL5E7oNG87jG0fCAEnpGlZCCgER 7XyzDDHMrkOsdASIQ8ptoVSQy6Hiw5a/pZXyceyJCbu8RQqfimdX0VaivraYTD1hiFpwBYhDYXXJ 6T5tcgXIjBxWuEvgMIFgGvtZXEZ//EtcNe36obeUj/f0jC67lX1Bgou7e6D7MR6mG94joJlbTzbT VWPydx+y57y3/0U4eRhujLF+IOnO9JbiLMHK3v+HZ/mIvUd5JHMV72ZWiv4oFf929ec8hQgqDTs2 Fim5tH4RFlOen7GxdZ36cZldV4U1yd/Y1kZH2xXcCs6rzN0wGqxBFZfLVOlPE+35lrMIcyVnF2dG ynG338F5AbAh8v2XzVEcacRzGFlFqDo3JdwM2zfr2JvcT+j11s+Tv16agNt3P3PVVfqcRwamLWkf 9Trls3ReQlpMYKXMZMV3of0ESuYn4fRnsJ2Rp+OwocSc1Mz+a2wiMj9l/tDgDtoGwE8WHG41OXRi AuiazbPsiiAqFnbIQR4HSae1nEUr0zO53NTtmvSO2Hf3qPtxaCftMBq+bEWv4jNQvbvoTMwHQzhN eCNUr9ARjk4vivo2ysNNx3RDNLk9BZF2DEO0Jk7y2/gfstcmw+ePzkIpyWJkI2QpnQ9QT/Cgfa7Y Bmc348jF5qGP32rY/t3LRwQncX+Gh4GOvK0f9R2RuESOp9CWfvNHqhsydPeW99MRUJsv80+4j0EA 78O48S6rk1LFt1L3HAOWZYeSjig676lQKTG290+kbNSQeUNJG8il8I8x0cV6KTLTk1+FBx4qRFS4 Wgl2TkVpRTkLbwxMoHqGHKG7d56M8tx/hv745YMy/TFlhcih8hkRm0FZ+KCqN1CygokxLR1P5opk gosIBZP9rTawAZdUSM+MSN+/TnpC3q+/vplvOj+vFE3zoO9pjLsCQ4xeVL13LDGiK4ydYLX/+D6K k7BXod1y+uz1jLRkVXPwKBDt1+6t50rzoC0eqxWd/jhXGT/c0dHVMTpck5l1cNx36IzzIDxUYsM9 fDSuCW+DVyWPk5cXHoV39B96+960XsxdyL3U4a/eukZBJB/NA1B9E5YPwxFqjRtzmmiBV3YnOJ+7 Q9RavZkhqVBUIFwhfBLnQYL7oFTaoYtJP2S33R51PsvogHA8ClPNuTOQ8otCkyd4VwLe0nzoyEEL pxwrFwFn1yUayGamXJ3SjEmv183sB+i4P6HqE8s4ZMrSh7wlQnKNbTJOT3dIg3dsdcngvn1KYd7n DdmHng9USM1vVMuIbefTrxFU/511/b42G/gP/BWxbkAYTH18zDw7VF8j6D3pxavTPjL/2WRw7JmF kslxRxCriOQkbVkNP+hiFmJif7IBCOu9Ljy8+wvV5bHogMu47gPE8fFsNAYuzzk3SmW6pAc/OLqZ ZGqFReoeK1WOzNXmqovpaMr8lLEg81KH8GV5R6DPrLrGF3oUkCxBlpaC+3TsFQM2SeJMWS804RMP +HrS1us8BWD9sGHPfLZxpzQE5ixP4zyozu3hNQKMCcxP5w3xo6Y0YWuB2rO4nhF+Orgimov9x/mP 5WOQCffk8dn3te65Z4E9yl/H5dYmMewoORGKZCX3db2Kl8sOmiH1WXaQr5J8YMQv+cjjd6YJKvb8 wHhjJIAO+ZNrvRa2IYqG5Gj7p1wS0gO94IxfxoREQU3Xg1pPnmDA9qDJiugG2vMmy0TLrr0Zyn9E YS+JZtkekkg7bAar0FAhsveoUpa+WIVRQU+Ynra2/NzdbJnsbcz9pG0ji/XhzUaBfb1CRTjQoa6D 7UVVD3yhbJvyoKl1aKBk+VFtB1xH19ICCvqhLM6bFgc/O35qV6znEs+LxerHqQyNZg6AV+MdfyHD k725sj3SLksFYHhHZpCIbP8VZ5+q/lOb8J07NhjKMVwioueJW7F40gBaMAc+dmmHUDOrSniue1IS yFhmKBI4ESB7bXDAGH6IBc5YgEzV63OPPNrowcObX8uhUQZd0bSoFikIIKepnOIJyAJUC9Stjg0P mWUxLBekOZ84lc0QEVXJ0EGeyZwEqBhqPlGxdiyJxze7DgEciL8ErbPCG5UNVDNHkYudkBaF0aPk 40DOzuAIYC88Svvq20J3Jldy5fL3XdlvITHqfmNz+QvT79X+VG66hBx8fVJ/r+XhvM+MPqkOdRDU EGxulNTtaodtcEqq36gQNCdif+IdCptnay2k1JynL6Fb/6OQyfqSDpGMQ0DKg3w/QUPEptQrQr2a VIwZs1patLMn4qHLd7Jb4w6R5EsU0zVen0UD/WonSZLX2nE3EE6mQPG3itAF65JEDzcp+o9s26r1 5bY5hJizo476cZTG6uraeE4L+QR1XzIAI+zpwm5llmcNYaBafG/Y6yOW1grEuPHqjegcriGOzwF5 ZMPtgYTrki+l1Pd/cKTl33XjgruI6H6ig9Hhn28ISm6uhv8D3wEFfgxj0bkt7H0r3JS6Sp9QOWBz v6yR78TZmaCuIWmNqSS5hQ9fxO7pmo75A6IpCiqPheh7qNFxa5PUvnjkUDCGzboKYmZ5A0pRkBk3 Qf0L9A5GSDpwbVw+3RpDAN5N/KJ+VMgbDOqZ9/GvhEW4se1O63bAkHcRRDfVaieG2kaHBwep618G D5mAQ2qeDpl4ux+uR6RBA6l+iFng1VItKQO6W0L8L/VrG/SVsRmoSKyl6IcwGnsKZjK89THNN/St BqyeVJ3Px0ryKbkoFLz/bYhc1pg0eriGeHJx1JcNBxdXkVADnBPsYYwVsf4ueRFd+6EQzc//KHfq DZYfd5F3HrzdnBDF+59KRkYFtt1k9oT6KO4lNHr8CwNlrdI6Sni3ftfe046MRUjpQnnvFzvrlK+J DrzaGaJHX0+Df6jtkuE8bxNt8D6pIfYuOQH2L8NgC4hzWYywjLLmlBICoHOjSj2FDO0mq8gDOeTb 8sOc6LamZG/KA4JaFzsQHNGyYZ0Pm30Q9hHL/OI0iu2ZkAIHRbXNPgNCoRTE2cbhristWY9FZU+C wFieAKBAWkePPgXZOQO5I9gW0/iSuCvup2+r7db7vy0aEqETp6YPej3NtY+mfx5GwZ3D9XMpCo66 6TV0DHVkcbR4569d2b4EwZhs959q+Srr7W6Nq3+w1TPnwPjFUbn7sWJn263Kwx1ofDLLfYjrqKUn ve/Uo0ccMYMOF3+CPqLUshicymHDVAjDwsYbVN+HjwV02cKFBHF87YtXVGdK5zxhzKsXf3bDHDGw /+EYNDhGLNEWvjzWANBoS6iqpOy4SlIjaZdiLQp4ezi1nO1ZSJvsbRAAF1GIbncqdJQ2ATCuCjr+ 1REV2CUtAiCDBgXfGoZyciwdg31ykN86rT4pazWQC7QSjKLlJnoMnP1p03ra4xVaVOJj7FuxFmnc l60QarAPVp0PZfngmOj8iww8PQlVVGsHaJ4wSTFihnIFvQPVfGaDfIOg97OLrKwEcHxTWi4oiqnz r2K6R7BAw/kliIOwP6fqMQaSl2Jt3j6qL2X/yXMMXJLObQrSkDp02CjLpX5UWkr6BPZrbM0lUL/B IHorY6SWl80E1yZvMQnUTwQFoyddPmdSsHUS2XIi+P9ZjfE4HICcS3Tc7toiYWjP9SDtUjMGaxYa BmQKQxi8w4EYFEXKLlFNrW6paREuSU0Qw/x+t4AV1R1keQcLafdxU6Of2kPBQ4YXKNINRL4IzpJC guv1jD/DqBOiFvbcdTD9N4xgqD4wFFi2wyIO0qfEG5e7adiv5lX4sPFz97XGMUtTD7e1wwYAweeD vBZrR3A7i27vSTwkpJ0Blxp92Volnwv8SyLUE+h6KbukCsJ5XoFVPNTjbKxjE/FTXEnVc8kg5uoM SuatgukiHmFtto7AeJaWPBIP8rYFEnzUVEbbKki5F6Z3U1rTVMogwCo41dq6ClbWoRZUJABSGqAh vp9Dk1h3g7jTXObyRnzmKxKKkgTaibHGYpHXRZRPXMNQjgXn8DFl5Su34lbatKKtdn1aM050wDBL E5NZkcVwOtg9u5DSffcycH4Yw1kNNXHJsaOFPk7JGOr70Q7aTuBkHJSqvZYS3o2zGXNDDb0ZFU6B B070MtuRT/COK7M9yoQpxZcy5ZlsJNoVcaSQdWwip+r3HetNvBr+c1RNCeNjsh9VVxbAUtjvsW0z e5XrKMvF+Wz8EDloJteHfriSthz04P9O2C5Mo8HLze5o9M5SmfESWtMjxQGWNRdUISR12d76Zcos +X55rRhxPIjqzeJHjVF3cvract+8Bu5+jlVs8KTwBKL+HVZZgvo4HhVqcakOiXMCQF7yW+h9mxaa GNHKy4pP/Wsh+gOAmMeTJBmlDQXfXd3h52jO1IXWGNfz9Q732ZHCbkLmQnYNjbaUUxtZe2Dxwbjg bTc+nI+tkef8xDDfmweVkskIlwcGdOAbsPkq9+JZCDXNksvTeJCp9vr4YvfPFSA/DZjO1F6QsVQC mF6TZcpV7xqk9Y1XidCfLcvi4gtHaHaWDXpdSC7MsyDNrzbunTebOr8C7NpgRQoc2zF/cpX/ULUJ ig2SCV0wiZrTpOyG7oJYy3DbdP94RzJ6cqUWrvYZj+6+oNu2a7cTE2P9ujCLsA6k9ZIBHqPEHAkC UuvRtTyDzgjPcT5NB98WKdaM/YMOMv3JPTxziEoDpVyP5B2sOQQhoBVfrDLfbiaYbfnFLud1lL2f Q4nFEnUt9Bs2Kzx7i3U3YcY7Ap8YyUeXM/64E40ozI9ewKp9JcSdxcL8INW47ljh9o/9DSQ478wn 5rwzWs30GIfvQ8CvIQtKVIfNOqFmAH2cvTABUx8D8ktNTrbdgSavM3UIXXdKSJJppXdPPAc8zGFs v8Jh22Cv9PbrHINJMkX5d0s0oR5H3Wamoe9v8j6oIt7WITgycyplt9Gm5zTXKXKQT6eIaagsgwad OVMTXJyf21b8VoLG760FCxlQKe22iJoXeqcyvFzThJ2hCU4waAcAdCKmqQX3VqgxcToMYiW6HwlS yDLju7Hs9gCv4x8ujYxDOs0N4XjSESAYkgvVQ0qPI1gXG/HsCK9qgKvATdozoT/mqCq5VT7OE+10 d/8WNL9PCm3H+VpmIDlG2ZoklbOIBP2AkwONSoOYizPchlTxDTQQBmAkWpL6u62G/CTwsp4aH+e7 PW8KAv7GjqifYOqMWTU6AhBjp9+zbaYNilMlSVF12M76+RSbVdyeFXyD2VxfHm/bToQYgAmuF3NG CPM6TKzp6ZpQe5xtbN+LAMUfwt80+nYo+jPTuca+cJHUlM8w/GLcbmah236BCkAT/ThSBhgc4OZ/ iJcWO88iX53mTd3Yil9XaCecpbcsbdhhVkZu2udGTifdwk8eUp8EXD8biJ4aQvkxUaKqkVEe0O0m oGVOrlDg90vQc1mIfL8lKIC+/06ItLdn3tdOFXfMjVuND8IOtefWgXflz0cKe8r81MCk/iKM79TH zNDfn1i26seWCIuRTwtfGvpOezuAkGJHMXqsFU7kG2NkrLLi/KVdxiT0z4HAtpMy6dMJnnwmUS0v 9PEd/3006+OupuvAgpvke1U3PO0HUD6l/pYgpWwt816K3E+ZKz4rOrMeLKW6S3Lur3XsE6PeNE6m /9AxLRr0pOrN3XsPZ225QAWC8enEbhT/mvNVhGw936+qMavh26PfDmbOAwhoFvzP6wpu+5jJ66uf 2nvjxd/8xj/uaoF7l1lE6Dq5eAS93hwLjH/yCF8O7cMeL8f536+DMfCBI/+SGFitWLV7qrBz7LlB cLgK2dmiRKd0l/6bNPx/0BkMxsBGy/a7KtWFzC1BhxzE+YKH9zUk2RmhIYlvUDuMGBkmIOirOyvL 94ARpQn60Aif+LtCIPo//NGT6X0REe6GYDa6mHlSjSzt/7dVC6biuU5UThvhs1ZB/EKiLed6IygH TCuTVO352V6gztYNuQxw4IHSXXP68Lo2bH4OWoGoGQ6/JYxkgBMt0Vwc5JUnnDioR7070rbCL1D8 8flUv2BhfY2V0zzBDIvLphcrfwWGxfx5JEbWcHG0+nG3lIzH13hrcs2dMco8kS7oYLeSctI6sZwk 94Nsbqi8R2cpMrWA3lYSUumzNucdHo5d8C8CgWNiDzClj3bt/TJJkRdBxuP6HvSfqsBu7EpXPqvP 9DUoIDGhzS5luB2gaQKQnxZZNkmlZSsxacQ213XDxjV05ealhSdv0nrONmMRUak9NW3e7CMI0Zh0 HEsCP/TQTfSYWPfd34v+J1ZwsUL+9VnpHxO+mQOxEvN+L+6ZNhiGgnSRRSmP2Joe7BSk+0iOgO44 KYaUVzvOCqCuaNRcUmV8uBzUbheIDeFFz+riNga21SaF7wdowNozjo/Q+SXqpDe0fVqNOyyaPUJo JN5dBFuvS0R6z31DkA2aSCHkGFL8L6ZV4u7YShrgXksll2lUcKJ7imJKgpjLP+zD2u39qnMcct86 CsGGGaJm7mqeEfr+a84kaakJRf4mYXXojxHeHMYOeH4b4RCg/iiThIpEIxQxNohoB5ASnHjr5QCc CkzJbQ50qU8sCkQpfeFShoVxootn0L0RUpkbRHUWf2gVBjPxc9Ke3DZn313UacgQvm7q17kbz0pt zuUfsKZr7ManMWKF3E8ru9TE7Q/okYeh1XTqKJmCxIPYoYv70K7pCUe5d9JK+vRmLIiFHMQfEpy4 u5aletMgDd4+vePcycx/gQIIHm4BoiEaZgOZm2n9imuf1ibHUpepAs5MU8XVGIPlxm2x+O73U9Yl 58TStylwcUPpQReNhIJm8tetnlzuZELHlZPIX8cEbPdjfF0IOQt6ePJkz6ASS9SjE0hwXHuhpqcc NIxgqZ65G52bObkuFN+VXh4dNQPoWS+a3ZkYKotgrMoRkWygIV+pamhRcV9tQyuKl9Nv9OEIXXN3 JIUeqckS3Bramx1UYZvVJkcroOasmx75dJDgsYn/PmMmEHcc4r2l797+vZjs9ZhgMpQ1zff7BGAx TQT97ZJ344TNa3z6NURsX1jRXclzIUKfFkSzH2qQVo01flk81k8cHA00uEP9pvOqICEvWlpnVYk2 2nsB+JdNlxU6Y5XTP/wvHBOTtAUifjQFJRXIPL3QwOLVUM7Vp6OXl6azVwjIp1wVMuDgnNaPv/wi R7Cq4D+DJLCPvkBcSK3IrrHqARwXny0NsnhyYNPIjw2FrexmEuKN81E1fIbDqHQ+goEJ0bj06IO6 wBXA9+jB5ScmJkmSyiJnELD4RkHUI+w/SLxjJWDLoJ7XJVJJ/yChftd3pi6sa61OhGo/c/4mXZYH o/ab3TUtlZH99yLnk7rlVuQrzhG5XSwDwJfh8/dSvOJel3EXEn4EEqz4icVpm87STk8y3eofT07Z oUx2ksGBbXTT5ipj+k7JRFlEbTxYUC0zsb2JWvAALrmsGh/B8bIZ6YEzzUafHyXBl3vP5aoqkB8U jFqhOkmS+gYH7xV0zCCf3wss314+W4/Xm4VDZGKOd0yGtM3dPnb602vzQ/VQoxWyTCm9IaNEKOnE /Z1h8EqF1emkwuSV8NT6gu7nUbiUN5NjF6mfCbf4+aBYDKwTME/fU/NyoauZ1b5tL4+18cmE5Gan e2BLkbbRrq7AGc6zVFZqRw3gB4taoAlC5cME8SDwbpYM14ArkP/My8tvbJumFIwwT9c26GTYkRlR xivUjmmnzv72YHVcyLjJfUS34vp3287ayThQnjxwBEuhQgIQqGHzLLQx0rRZKEdfQoCSuLiUjLQi NvahrJLg5BvdsBCV1JNc/v+sMVDmjNFRNEK8doHoepDOjULup/kwEINb+lqE//6gHtrcTAghM5hG Ybp9Dg1crHN4yph3HxQbu9bRBjQt2HW2wogSRClxmL2GjbgptFMleB09t6/dm+M0xpMcgvXuF4fC WHZR9g4hMjpHK/83WmBepotd1jJO8FuyXH9ssM0ZqYlun+I00cbf32pMc4SQSNcjwOIWglG7TOQ2 4m5tPtAPPWhROD/jk92ZjNOiBahkAE13KcH38d9f/YMIuMSiA0UEnyddoXMLrGGTNVwJU/PCHMLW PtJDlT4mpXLWJLq0agtemdvp0fZ0CnKESCPrgQKzsTTiR2uE8JS6Ltpmk1ovHY2WlCe28EE6WMLK qHJRfM2UGvxtHylQRy68i5zqQDjZVq4ahtq9WFvMyLXhKty1Apma39ZBQL4OUB8eA85MppBaOda+ W+j0YY4Y/fxQ6EMYdOzcgQL9Q0NlbVHms56403aGLunAf+p+KMpr076RnHXIw/AH0e4UC29sTqcP CZI7xZyqXYF2as9EYH1mEUa2wiKJF5hTuSDq5GPIseB4RWJh965pPPn2WSXrIcJZN6iGWKXVGsGA OmwJwwM1EcuXCkqPq4/eU1+DDmhPiGBy7obfHndRzHfESkCquMWi0iYiDddB83Ht1HfebfSSEQOc OwLhESowNY1QrJT7kOgrVmurhwL3mBD8pcz1SDuYI4FDbDLnx7F/UEsBAgAAFAAAAAgAAAAAAGta /OUFAQAAAAEAAA4AAQAAAAAAAAAAAAAAAAAAAHN5c3RlbV9pbmZvLnBiAVBLAQIAABQAAAAIAAAA AAAYu+27igEAAJABAAANAAEAAAAAAAAAAAAAADIBAABlcnJvcl9kYXRhLnBiAVBLAQIAABQAAAAI AAAAAABsTck4rg8HAMDUBwAJAAEAAAAAAAAAAAAAAOgCAABudmxvZy5sb2cBUEsBAgAAFAAAAAgA AAAAACBw7ncSAAAAEAAAABMAAQAAAAAAAAAAAAAAvhIHAGRlYnVnX2J1ZmZlcnNfMDAucGIBUEsB AgAAFAAAAAgAAAAAAHeNO5e7MAAAAFEAAAgAAQAAAAAAAAAAAAAAAhMHAHJtXzAwLnBiAVBLAQIA ABQAAAAIAAAAAAAxy9trhvwGAMDUBwAQAAEAAAAAAAAAAAAAAORDBwBudmxvZy5ncHUwMDAubG9n AVBLBQYAAAAABgAGAGkBAACZQA4AFgBDcmVhdGVkIGJ5IE52RGVidWdEdW1w ____________________________________________ Skipping acpidump output (acpidump not found) ____________________________________________ End of NVIDIA bug report log file.